From 91aaecdb73154c282320f512ad2035278f0dd55b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Ond=C5=99ej=20Hru=C5=A1ka?= Date: Fri, 18 May 2018 10:23:31 +0200 Subject: [PATCH] fixe dlabels --- ch.existing_solutions.tex | 2 +- ch.fat16.tex | 12 ++++----- ch.freertos.tex | 6 ++--- ch.fw_structure.tex | 30 +++++++++++----------- ch.fw_structure_toplevel.tex | 10 ++++---- ch.gex_units.tex | 6 ++--- ch.hw_buses.tex | 36 +++++++++++++------------- ch.hw_functions.tex | 48 +++++++++++++++++------------------ ch.introduction.tex | 4 +-- ch.pc_software.tex | 6 ++--- ch.requirement_analysis.tex | 10 ++++---- ch.tinyframe.tex | 18 ++++++------- ch.unit.1wire.tex | 2 +- ch.unit.dac.tex | 2 +- ch.unit.fcap.tex | 2 +- ch.unit.i2c.tex | 2 +- ch.unit.npx.tex | 2 +- ch.unit.spi.tex | 2 +- ch.unit.touch.tex | 2 +- ch.unit.usart.tex | 2 +- ch.usb.tex | 14 +++++----- ch.wireless.tex | 8 +++--- thesis.pdf | Bin 8163743 -> 8161883 bytes undash.php | 25 ++++++++++++++++++ 24 files changed, 138 insertions(+), 113 deletions(-) create mode 100644 undash.php diff --git a/ch.existing_solutions.tex b/ch.existing_solutions.tex index 1605671..cc8bccc 100644 --- a/ch.existing_solutions.tex +++ b/ch.existing_solutions.tex @@ -1,4 +1,4 @@ -\chapter{\label{sec:prior-art}Existing Solutions} +\chapter{\label{sec:prior_art}Existing Solutions} The idea of making it easier to interact with low-level hardware from a \gls{PC} is not new. Several solutions to this problem have been developed, each with its own advantages and drawbacks. Some examples will be presented in this chapter. diff --git a/ch.fat16.tex b/ch.fat16.tex index 51c3fac..ba84bc2 100644 --- a/ch.fat16.tex +++ b/ch.fat16.tex @@ -24,7 +24,7 @@ The storage medium is organized into \textit{sectors} (or \textit{blocks}), usua Data area & Organized in \textit{clusters} \\ \bottomrule \end{tabular} - \caption{\label{tab:fat16-disk-areas}Areas of a FAT-formatted disk} + \caption{\label{tab:fat16_disk_areas}Areas of a FAT-formatted disk} \end{table} \subsection{Boot Sector} @@ -51,7 +51,7 @@ The bad cluster mark, 0xFFF7, is used for clusters which are known to corrupt da A directory is a record on the disk that can span several clusters and holds information about the files and sub-directories contained in it. The root directory has the same structure as any other directory; the difference lies in the fact that it is allocated with a fixed position and size when the disk is formatted, whereas other directories are stored in the same way as ordinary files and their capacity can be increased by simply expanding to another cluster. -Directories are organized in 32-byte entries representing individual files. \Cref{tab:fat16-dir-entry} shows the structure of one such entry. The name and extension fields form the well-known ``8.3'' file name format known from MS DOS\footnote{``8.3'' refers to the byte size of the name and extension fields in the directory entry.}. Longer file names are encoded using the \gls{LFN} scheme~\cite{fat-lfn} as special hidden entries stored in the directory table alongside the regular ``8.3'' ones kept for backward compatibility. +Directories are organized in 32-byte entries representing individual files. \Cref{tab:fat16_dir_entry} shows the structure of one such entry. The name and extension fields form the well-known ``8.3'' file name format known from MS DOS\footnote{``8.3'' refers to the byte size of the name and extension fields in the directory entry.}. Longer file names are encoded using the \gls{LFN} scheme~\cite{fat-lfn} as special hidden entries stored in the directory table alongside the regular ``8.3'' ones kept for backward compatibility. \begin{table} \centering @@ -69,7 +69,7 @@ Directories are organized in 32-byte entries representing individual files. \Cre 28 & 4 & File size (bytes) \\ \bottomrule \end{tabular} - \caption{\label{tab:fat16-dir-entry}Structure of a FAT16 directory entry} + \caption{\label{tab:fat16_dir_entry}Structure of a FAT16 directory entry} \end{table} \noindent @@ -84,12 +84,12 @@ The first byte of the file name has special meaning: The attributes field contains flags such as \textit{directory}, \textit{volume label}, \textit{read-only} and \textit{hidden}. Volume label is a special entry in the root directory defining the disk's label shown by the host \gls{OS}. A file with the directory bit set is actually a pointer to a subdirectory, meaning that when we open the linked cluster, we will find another directory table. -\Cref{fig:fat-example} shows a possible organization of the GEX file system with two INI files, one spanning two clusters, the other being entirely inside one. The clusters need not be used completely; the exact sizes are stored in the files' directory entries. +\Cref{fig:fat_example} shows a possible organization of the GEX file system with two INI files, one spanning two clusters, the other being entirely inside one. The clusters need not be used completely; the exact sizes are stored in the files' directory entries. \begin{figure}[h] \centering \includegraphics[scale=1.3] {img/fat-links.pdf} - \caption{\label{fig:fat-example}An example of the GEX virtual file system} + \caption{\label{fig:fat_example}An example of the GEX virtual file system} \end{figure} @@ -103,7 +103,7 @@ A FAT16 emulator was developed as part of the open-source \mbed DAPLink project~ The GEX firmware adapts several parts of the DAPLink code, optimizing its \gls{RAM} usage and porting it to work with FreeRTOS. The emulator source code is located in the \mono{User/vfs} folder of the GEX repository; the original Apache 2.0 open-source software license headers, as well as the file names, have been retained. -As shown in \cref{tab:fat16-disk-areas}, the disk consists of several areas. The boot sector is immutable and can be loaded from the flash memory when requested. The handling of the other disk areas (\gls{FAT}, data area) depends on the type of access: read or write. +As shown in \cref{tab:fat16_disk_areas}, the disk consists of several areas. The boot sector is immutable and can be loaded from the flash memory when requested. The handling of the other disk areas (\gls{FAT}, data area) depends on the type of access: read or write. \subsection{Read Access} diff --git a/ch.freertos.tex b/ch.freertos.tex index 6156f79..16f81bc 100644 --- a/ch.freertos.tex +++ b/ch.freertos.tex @@ -4,7 +4,7 @@ FreeRTOS is a free, open-source real-time operating system kernel targeted at em FreeRTOS provides a task scheduler, forming the central part of the system, and implements queues, semaphores, and mutexes for message passing and the synchronization of concurrent tasks. Those features are summarily called \textit{synchronization objects}, or simply \textit{objects}. -The system is used in GEX for its synchronization objects that allow us to safely pass messages between interrupts and working threads, without deadlocks or race conditions; the particular usage of FreeRTOS features will be explained in \cref{sec:rtos-in-gex}. The built-in stack overflow protection helps us optimize task memory allocation\footnote{The stack monitor reports how much stack space was really used, so we can expand or shrink it as needed to make the application work reliably, without wasting memory that would never be used.}, and the heap allocator provided by FreeRTOS enables thread-safe dynamic allocation with a shared heap. +The system is used in GEX for its synchronization objects that allow us to safely pass messages between interrupts and working threads, without deadlocks or race conditions; the particular usage of FreeRTOS features will be explained in \cref{sec:rtos_in_gex}. The built-in stack overflow protection helps us optimize task memory allocation\footnote{The stack monitor reports how much stack space was really used, so we can expand or shrink it as needed to make the application work reliably, without wasting memory that would never be used.}, and the heap allocator provided by FreeRTOS enables thread-safe dynamic allocation with a shared heap. \section{Basic FreeRTOS Concepts and Functions} @@ -12,13 +12,13 @@ The system is used in GEX for its synchronization objects that allow us to safel Threads in FreeRTOS are called \textit{tasks}. Each task is assigned a memory area to use as its stack space, and a holding structure with its name, saved \textit{context}, and other metadata used by the kernel. A task context includes the program counter, stack pointer and other register values. Task switching is done by saving and restoring this context by manipulating the values on the stack before leaving an \gls{ISR}. The FreeRTOS website provides an example with the AVR port~\cite{freertos-task-switching} demonstrating how its internal functionality is implemented, including the context switch. -At start-up the firmware initializes the kernel, registers tasks to run, and starts the scheduler. From this point onward the scheduler is in control and runs the tasks using a round robin scheme, always giving a task one tick of run time (usually 1\,ms) before interrupting it. Which task should run is determined primarily by their priority numbers, but there are other factors, as will be shown in \cref{sec:task-switching}. +At start-up the firmware initializes the kernel, registers tasks to run, and starts the scheduler. From this point onward the scheduler is in control and runs the tasks using a round robin scheme, always giving a task one tick of run time (usually 1\,ms) before interrupting it. Which task should run is determined primarily by their priority numbers, but there are other factors, as will be shown in \cref{sec:task_switching}. \subsubsection{Task Run States} Tasks can be in one of four states: Suspended, Ready, Blocked, and Running. The Suspended state does not normally occur in a task's life cycle, it is entered and left using API calls from the application. A task is in the Ready state when it can run, but is currently paused because a higher priority task is running. It enters the Running state when the scheduler switches to it. A Running task can wait for a synchronization object (e.g., a mutex) to be available; at this point it enters a Blocked state and the scheduler runs the next Ready task. When no tasks can run, the Idle Task takes control; it can either enter a sleep state to save power, or wait in a loop until another task is available. The Idle task is always either Ready or Running and has the lowest priority of all tasks. -\subsubsection{Task Switching and Interrupts} \label{sec:task-switching} +\subsubsection{Task Switching and Interrupts} \label{sec:task_switching} Task switching occurs periodically in a timer interrupt, usually every 1\,ms; in \armcm chips this is typically the SysTick interrupt, a timer designed for this purpose that is included in the core itself and thus available on all derived platforms. diff --git a/ch.fw_structure.tex b/ch.fw_structure.tex index 8f1dde8..3fa1fad 100644 --- a/ch.fw_structure.tex +++ b/ch.fw_structure.tex @@ -18,16 +18,16 @@ The core framework forms the skeleton of the firmware and usually does not need The framework provides the following services to units: \begin{itemize} - \item Hardware resource allocation (\cref{sec:res-allocation}) - \item Settings storage and loading (\cref{sec:settings-storage}) - \item Unit life cycle management (\cref{sec:units-function}) + \item Hardware resource allocation (\cref{sec:res_allocation}) + \item Settings storage and loading (\cref{sec:settings_storage}) + \item Unit life cycle management (\cref{sec:units_function}) \item Message sending and delivery (\cref{sec:message_passing}) - \item Interrupt routing (\cref{sec:irq-routing}) + \item Interrupt routing (\cref{sec:irq_routing}) \end{itemize} -\section{Unit Life Cycle and Internal Structure} \label{sec:units-function} +\section{Unit Life Cycle and Internal Structure} \label{sec:units_function} -GEX's user-facing functions, units, are implemented in \textit{unit drivers}. Those are independent modules in the firmware that the user can enable and configure, in one or more instances. In practice, we are limited by hardware constraints: i.e., there may be only one \gls{ADC} peripheral, or two \gls{SPI} ports. The assignment of those hardware resources to units is handled by the \textit{resource registry} (\cref{sec:res-allocation}). +GEX's user-facing functions, units, are implemented in \textit{unit drivers}. Those are independent modules in the firmware that the user can enable and configure, in one or more instances. In practice, we are limited by hardware constraints: i.e., there may be only one \gls{ADC} peripheral, or two \gls{SPI} ports. The assignment of those hardware resources to units is handled by the \textit{resource registry} (\cref{sec:res_allocation}). @@ -45,17 +45,17 @@ The unit driver handles commands sent from the host \gls{PC}, initializes and de When the units configuration file is modified, all units are de-initialized and removed. The binary settings are then updated based on the new values, verifying that the requested resources are available, and the units that can be enabled are subsequently initialized and made available to the user. -\section{Resource Allocation} \label{sec:res-allocation} +\section{Resource Allocation} \label{sec:res_allocation} \begin{figure}[h] \centering \includegraphics[scale=1] {img/resource-repository.pdf} - \caption{\label{fig:resource-repository}An example allocation in the resource registry} + \caption{\label{fig:resource_repository}An example allocation in the resource registry} \end{figure} The microcontroller provides a number of hardware resources that require exclusive access: GPIO pins, peripheral blocks (\gls{SPI}, \gls{I2C}, \gls{UART}\textellipsis), and \gls{DMA} channels. If two units tried to control the same pin, the results would be unpredictable; similarly, the output of a multiply-accessed serial port could become a useless mix of the different data streams. -To prevent multiple access, the firmware includes a \textit{resource registry} (\cref{fig:resource-repository}). Each individual resource is represented by a field in a resource table together with its owner's callsign. Initially all resources are free, except for those not available on the particular platform (e.g., a \gls{GPIO} pin PD1 may be disabled if not present on the microcontroller's package). +To prevent multiple access, the firmware includes a \textit{resource registry} (\cref{fig:resource_repository}). Each individual resource is represented by a field in a resource table together with its owner's callsign. Initially all resources are free, except for those not available on the particular platform (e.g., a \gls{GPIO} pin PD1 may be disabled if not present on the microcontroller's package). The resources used by the core framework are taken by a virtual unit \verb|SYSTEM| on start-up to prevent conflicts with the user's units. This is the case of the status \gls{LED}, the Lock button, \gls{USB} pins, the communication \gls{UART}, the pins and an \gls{SPI} peripheral connecting the wireless module, pins used for the crystal oscillator, and the timer/counter which provides the system timebase. @@ -78,7 +78,7 @@ The INI files, which can be edited through the communication \gls{API} or using \section{Message Passing} \label{sec:message_passing} -One of the key functions of the core framework is to deliver messages from the host \gls{PC} to the right units. The \textit{TinyFrame} protocol is used, described in detail in \cref{sec:tinyframe}; it is represented by the blocks ``TinyFrame Parser'' and ``TinyFrame Builder'' in \cref{fig:gex-internal}. +One of the key functions of the core framework is to deliver messages from the host \gls{PC} to the right units. The \textit{TinyFrame} protocol is used, described in detail in \cref{sec:tinyframe}; it is represented by the blocks ``TinyFrame Parser'' and ``TinyFrame Builder'' in \cref{fig:gex_internal}. Two groups of messages exist: \textit{system messages} and \textit{unit messages}. System messages can, for instance, access the INI files, or request a list of available units. Unit messages are addressed to a particular unit, and their payload format is defined by the unit's driver. An incoming message is inspected and delivered to the appropriate recipient, or responded to with an error message. @@ -87,7 +87,7 @@ In addition to message delivery, the core framework also provides event reportin This high-level functionality resides above the framing protocol, which will be described in \cref{sec:tinyframe}. The message format is shown in \cref{sec:unit_requests_reports}. -\section{Interrupt Routing} \label{sec:irq-routing} +\section{Interrupt Routing} \label{sec:irq_routing} Interrupts are an important part of almost any embedded application. They provide a way to rapidly react to asynchronous external or internal events, temporarily leaving the main program, jumping to an interrupt handler routine, and then returning back after the event is handled. Interrupts are also the way FreeRTOS implements multitasking without a multi-core processor. @@ -108,7 +108,7 @@ void DMA1_Channel4_5_6_7_IRQHandler(void) It is evident that multiple units might need to use the same handler, even at the same time, since each \gls{DMA} channel is configured, and works, independently. GEX implements a redirection scheme to accomplish such interrupt sharing: All interrupt handlers are defined in one place, accompanied by a table of function pointers. When a unit driver wants to register an interrupt handler, it stores a pointer to it in this redirection table. Then, once an interrupt is invoked, the common handler checks the corresponding entry in the table and calls the referenced routine, if any. Conversely, when a unit driver de-initializes a unit, it removes all interrupt handlers it used, freeing the redirection table slots for other use. -\section{FreeRTOS Synchronization Objects Usage} \label{sec:rtos-in-gex} +\section{FreeRTOS Synchronization Objects Usage} \label{sec:rtos_in_gex} The firmware is built around FreeRTOS (\cref{sec:freertos}) and a number of its synchronization objects and patterns are used to make its operation more robust. @@ -118,7 +118,7 @@ The message and job queue, seen in \cref{fig:gexinternal}, is used to decouple a The ``transmission complete'' interrupt signals this fact to the message processing task using a binary semaphore. The semaphore is released in the \gls{ISR} and taken when a new block of data is to be transmitted. If more data needs to be transmitted, the queue task waits for the semaphore and enters a Blocked state until the semaphore becomes available again. -Two mutexes are used in the firmware: one that guards access to TinyFrame until the previous message was fully transmitted, and one to guard a shared memory buffer (reused in several different places to save memory and avoid its re-allocation). The hardware resource registry (explained in \cref{sec:res-allocation}) does not need mutexes for individual resources, as concurrent access to those fields can never happen; resources are always taken or released sequentially by the same task. +Two mutexes are used in the firmware: one that guards access to TinyFrame until the previous message was fully transmitted, and one to guard a shared memory buffer (reused in several different places to save memory and avoid its re-allocation). The hardware resource registry (explained in \cref{sec:res_allocation}) does not need mutexes for individual resources, as concurrent access to those fields can never happen; resources are always taken or released sequentially by the same task. \section{Source Code Layout} @@ -155,10 +155,10 @@ Two mutexes are used in the firmware: one that guards access to TinyFrame until └── Makefile \end{verbatim} \vspace{-1em} - \caption{\label{fig:repo-structure} The general structure of the source code repository} + \caption{\label{fig:repo_structure} The general structure of the source code repository} \end{wrapfigure} -Looking at the GEX source code repository (\cref{fig:repo-structure}), at the root we'll find the device specific driver libraries and support files provided by ST Microelectronics, the FreeRTOS middleware, and a folder called \verb|User| containing the GEX application code. This division is useful when porting the firmware to a different microcontroller, as the GEX folder is mostly platform-independent and can be simply copied (of course, adjustments are needed to accompany different hardware peripheral versions etc.). The GEX core framework consists of everything in the \verb|User| folder, excluding the \verb|units| directory in which the individual units are implemented. Each unit driver must be registered in the file \verb|platform.c| to be available for the user to select. The file \verb|plat_compat.h| includes platform-specific headers and macros, defining parameters such as pin assignments or the clock speed. +Looking at the GEX source code repository (\cref{fig:repo_structure}), at the root we'll find the device specific driver libraries and support files provided by ST Microelectronics, the FreeRTOS middleware, and a folder called \verb|User| containing the GEX application code. This division is useful when porting the firmware to a different microcontroller, as the GEX folder is mostly platform-independent and can be simply copied (of course, adjustments are needed to accompany different hardware peripheral versions etc.). The GEX core framework consists of everything in the \verb|User| folder, excluding the \verb|units| directory in which the individual units are implemented. Each unit driver must be registered in the file \verb|platform.c| to be available for the user to select. The file \verb|plat_compat.h| includes platform-specific headers and macros, defining parameters such as pin assignments or the clock speed. The \gls{USB} Device library, which had to be modified to support a composite class, is stored inside the \verb|User| folder too, as it is compatible with all STM32 microcontrollers that support \gls{USB}. diff --git a/ch.fw_structure_toplevel.tex b/ch.fw_structure_toplevel.tex index a5f4a63..f332111 100644 --- a/ch.fw_structure_toplevel.tex +++ b/ch.fw_structure_toplevel.tex @@ -8,7 +8,7 @@ GEX is designed to be modular and easy to extend. The user-facing functionality \caption[GEX conceptual overview]{\label{fig:conceptual}The ``GEX stack'', from a user application down to hardware} \end{figure} -When we work with GEX, it is through units. The platform without units would be just an empty shell, the bare core framework; this underlying system will be described in \cref{sec:coreframework}. We will explore the individual units in \cref{sec:units-overview}, after going through the hardware realizations in \cref{sec:hwreal} and covering the communication protocol in \cref{sec:tinyframe}. +When we work with GEX, it is through units. The platform without units would be just an empty shell, the bare core framework; this underlying system will be described in \cref{sec:coreframework}. We will explore the individual units in \cref{sec:units_overview}, after going through the hardware realizations in \cref{sec:hwreal} and covering the communication protocol in \cref{sec:tinyframe}. \section{Physical User Interface} @@ -17,11 +17,11 @@ The firmware can be flashed to a STM32 development board, or a custom \gls{PCB}. \begin{figure}[h] \centering \includegraphics[scale=.95] {img/users-view.pdf} - \caption{\label{fig:users-view-of-gex}Physical user interface of a GEX module} + \caption{\label{fig:users_view_of_gex}Physical user interface of a GEX module} \end{figure} \noindent -All GEX hardware platforms have some common characteristics (\cref{fig:users-view-of-gex}): +All GEX hardware platforms have some common characteristics (\cref{fig:users_view_of_gex}): \begin{itemize} \item \textbf{Power \gls{LED}} -- a simple indication that the board is powered on @@ -35,12 +35,12 @@ All GEX hardware platforms have some common characteristics (\cref{fig:users-vie \section{GEX-PC Connection} -\Cref{fig:users-view-of-gex} shows three ways to connect the module to a \gls{PC}. Each communication interface has its advantages and drawbacks, and is suitable for different use-cases. +\Cref{fig:users_view_of_gex} shows three ways to connect the module to a \gls{PC}. Each communication interface has its advantages and drawbacks, and is suitable for different use-cases. \begin{itemize} \item \textbf{Direct \gls{USB} connection} - This is the primary and most straightforward connection method. We use the \gls{CDCACM} and \gls{MSC} \gls{USB} classes to have the module appear as a virtual serial port and a mass storage device, as described in \cref{sec:usb-classes}. This method is the fastest of the three and works out-of-the-box on Linux and MacOS. On MS Windows it may require the right software driver to be installed and assigned manually\footnote{The STM32 Virtual COM port driver~\cite{stm-vcom} has been tested to work with GEX on MS Windows version 7 and 8, though it must be manually assigned to the device in the Device Manager. MS Windows 10 and later should support \gls{CDCACM} natively.}. + This is the primary and most straightforward connection method. We use the \gls{CDCACM} and \gls{MSC} \gls{USB} classes to have the module appear as a virtual serial port and a mass storage device, as described in \cref{sec:usb_classes}. This method is the fastest of the three and works out-of-the-box on Linux and MacOS. On MS Windows it may require the right software driver to be installed and assigned manually\footnote{The STM32 Virtual COM port driver~\cite{stm-vcom} has been tested to work with GEX on MS Windows version 7 and 8, though it must be manually assigned to the device in the Device Manager. MS Windows 10 and later should support \gls{CDCACM} natively.}. \item \textbf{Hardware \gls{UART}} diff --git a/ch.gex_units.tex b/ch.gex_units.tex index fe4b63f..5253664 100644 --- a/ch.gex_units.tex +++ b/ch.gex_units.tex @@ -1,4 +1,4 @@ -\chapter{Units Overview, Commands and Events Description} \label{sec:units-overview} +\chapter{Units Overview, Commands and Events Description} \label{sec:units_overview} This chapter describes all functional blocks (units) implemented in GEX, version 1.0. The term ``unit'' will be used here to refer to both unit types (drivers) or their instances where the distinction is not important. @@ -19,10 +19,10 @@ Several units facilitate an access to a group of GPIO pins, such as the digital \begin{figure}[h] \centering \includegraphics[scale=1] {img/pin-packing.pdf} - \caption{\label{fig:pin-packing}Pin packing} + \caption{\label{fig:pin_packing}Pin packing} \end{figure} -For instance, we could only have the pins 0, 1, 12--15 available on a \gls{GPIO} port. GEX provides a helpful abstraction to bridge the gaps in the port: The selected pins are packed together and represented, in commands and events, as a block of six pins (0x3F) instead of their original positions in the register (0xF003). This scheme is shown in \cref{fig:pin-packing}. The translation is done in the unit driver and works transparently, as if the block of pins had no gaps---all the referenced pins are updated simultaneously without glitches. Where pin numbers are used, the order in the packed word should be provided---in our example, that would be 0--5, counting from the least significant bit. +For instance, we could only have the pins 0, 1, 12--15 available on a \gls{GPIO} port. GEX provides a helpful abstraction to bridge the gaps in the port: The selected pins are packed together and represented, in commands and events, as a block of six pins (0x3F) instead of their original positions in the register (0xF003). This scheme is shown in \cref{fig:pin_packing}. The translation is done in the unit driver and works transparently, as if the block of pins had no gaps---all the referenced pins are updated simultaneously without glitches. Where pin numbers are used, the order in the packed word should be provided---in our example, that would be 0--5, counting from the least significant bit. % here are the unit sections, all following a common pattern \input{ch.unit.do} diff --git a/ch.hw_buses.tex b/ch.hw_buses.tex index 2824618..77d1c80 100644 --- a/ch.hw_buses.tex +++ b/ch.hw_buses.tex @@ -2,19 +2,19 @@ Hardware buses implemented in GEX are presented in this chapter. The description of each bus is accompanied by several examples of devices that can be interfaced with it. The reader is advised to consult the official specifications and particular devices' datasheets for additional details. -\section{UART and USART} \label{sec:theory-usart} +\section{UART and USART} \label{sec:theory_usart} The \acrfull{USART} has a long history and is still in widespread use today. It is the protocol used in RS-232, which was once a common way of connecting modems, printers, mice and other devices to personal computers. RS-232 can be considered the ancestor of \gls{USB} in its widespread availability and use. \gls{UART} framing is also used in the industrial bus RS-485 and the automotive interconnect bus \gls{LIN}. \begin{figure}[h] \centering \includegraphics[scale=.9] {img/uart-frame-redraw.pdf} - \caption[UART frame format]{\label{fig:uart-frame}\gls{USART} frame format in the 8-bit configuration with parity} + \caption[UART frame format]{\label{fig:uart_frame}\gls{USART} frame format in the 8-bit configuration with parity} \end{figure} \gls{UART} and \gls{USART} are two variants of the same interface. \gls{USART} includes a separate clock signal, while the \gls{UART} timing relies on a well-known clock speed and the bit clock is synchronized by start bits. \gls{USART} was historically used in modems to achieve higher bandwidth, but is now mostly obsolete. -\gls{USART}, as implemented by microcontrollers such as the STM32 family, is a two-wire full duplex interface that uses 3.3\,V or 5\,V logic levels. The data lines are in the high logical level when idle. A \gls{USART} frame, shown in \cref{fig:uart-frame}, starts by a start-bit (low level for the period of one bit) followed by \textit{n} data bits (typically eight), an optional parity bit, and a period of high level called a stop bit (or stop bits), dividing consecutive frames. +\gls{USART}, as implemented by microcontrollers such as the STM32 family, is a two-wire full duplex interface that uses 3.3\,V or 5\,V logic levels. The data lines are in the high logical level when idle. A \gls{USART} frame, shown in \cref{fig:uart_frame}, starts by a start-bit (low level for the period of one bit) followed by \textit{n} data bits (typically eight), an optional parity bit, and a period of high level called a stop bit (or stop bits), dividing consecutive frames. RS-232 uses the \gls{UART} framing, but its levels are different: logical 1 is represented by negative voltages $-3$ to $-25$\,V and logical 0 uses the same range, but positive. To convert between RS-232 levels and \gls{TTL} (5\,V) levels, a level-shifting circuit such as the MAX232 can be used. In RS-232, the two data lines (Rx and Tx) are accompanied by \gls{RTS}, \gls{CTS}, and \gls{DTR}, which facilitate handshaking and hardware flow control. In practice, those additional signals are often unused or their function differs from their historical meaning; for instance, Arduino boards (using a USB-serial converter) use the \gls{DTR} line as a reset signal to automatically enter their bootloader for firmware flashing~\cite{arduinodtr}. @@ -27,23 +27,23 @@ RS-232 uses the \gls{UART} framing, but its levels are different: logical 1 is r \item \textbf{MFRC522} -- \gls{NFC} MIFARE reader/writer \gls{IC} (also supports other interfaces) \end{itemize} -\section{SPI} \label{sec:theory-spi} +\section{SPI} \label{sec:theory_spi} -\acrfull{SPI} is a point-to-point or multi-drop master-slave interface based on shift registers. The \gls{SPI} connection with multiple slave devices is depicted in \cref{fig:spi-multislave}. It uses at least 4 wires: \gls{SCK}, \gls{MOSI}, \gls{MISO} and \gls{SS}. \gls{SS} is often marked \gls{CSB} or \gls{NSS} to indicate that its active state is 0. Slave devices are addressed using their \gls{SS} input while the data connections are shared. A slave that is not addressed releases the \gls{MISO} line to a high impedance state so it does not interfere in ongoing communication. +\acrfull{SPI} is a point-to-point or multi-drop master-slave interface based on shift registers. The \gls{SPI} connection with multiple slave devices is depicted in \cref{fig:spi_multislave}. It uses at least 4 wires: \gls{SCK}, \gls{MOSI}, \gls{MISO} and \gls{SS}. \gls{SS} is often marked \gls{CSB} or \gls{NSS} to indicate that its active state is 0. Slave devices are addressed using their \gls{SS} input while the data connections are shared. A slave that is not addressed releases the \gls{MISO} line to a high impedance state so it does not interfere in ongoing communication. \begin{figure}[h] \centering \includegraphics[scale=.9] {img/spi-timing.pdf} - \caption[SPI timing diagram]{\label{fig:spi-timing}SPI timing diagram explaining the CPOL and CPHA settings (shown on 3 data bits; a real message will use at least 8 bits)} + \caption[SPI timing diagram]{\label{fig:spi_timing}SPI timing diagram explaining the CPOL and CPHA settings (shown on 3 data bits; a real message will use at least 8 bits)} \end{figure} \begin{figure}[h] \centering \includegraphics[scale=1.1] {img/spi-multislave-redraw.pdf} -\caption[SPI master with multiple slaves]{\label{fig:spi-multislave}A SPI bus with 1 master and 3 slaves, each enabled by its own Slave Select signal} +\caption[SPI master with multiple slaves]{\label{fig:spi_multislave}A SPI bus with 1 master and 3 slaves, each enabled by its own Slave Select signal} \end{figure} -Transmission and reception on the \gls{SPI} bus happen simultaneously. A bus master asserts the \gls{SS} pin of a slave it wishes to address and then sends data on the \gls{MOSI} line while receiving a response on \gls{MISO}. The slave normally responds with 0x00 or a status register as the first byte of the response, before it can process the received command. A timing diagram is shown in \cref{fig:spi-timing}, including two configurable parameters: \gls{CPOL} and \gls{CPHA}. +Transmission and reception on the \gls{SPI} bus happen simultaneously. A bus master asserts the \gls{SS} pin of a slave it wishes to address and then sends data on the \gls{MOSI} line while receiving a response on \gls{MISO}. The slave normally responds with 0x00 or a status register as the first byte of the response, before it can process the received command. A timing diagram is shown in \cref{fig:spi_timing}, including two configurable parameters: \gls{CPOL} and \gls{CPHA}. \gls{SPI} devices often provide a number of control, configuration and status registers that can be read and written by the bus master. The first byte of a command usually contains one bit that determines if it is a read or write access, and an address field selecting the target register. The slave then either stores the following \gls{MOSI} byte(s) into the register, or sends its content back on \gls{MISO} (or both simultaneously). @@ -64,14 +64,14 @@ Transmission and reception on the \gls{SPI} bus happen simultaneously. A bus mas \item SPI-interfaced EEPROM and Flash memories \end{itemize} -\section{\texorpdfstring{\IIC}{I2C}} \label{sec:theory-i2c} +\section{\texorpdfstring{\IIC}{I2C}} \label{sec:theory_i2c} \acrfull{I2C} is a two-wire, open-drain bus that supports multi-master operation. It uses two connections (plus \gls{GND}): \gls{SDA} and \gls{SCL}, both open-drain with a pull-up resistor. The protocol was developed by Philips Semiconductor (now NXP Semiconductors), and its implementors were, until 2006, required to pay licensing fees, leading to the development of compatible implementations with different names, such as Atmel's \gls{TWI} or Dallas Semiconductor's ``Serial 2-wire Interface'' (e.g., used in the DS1307 \gls{RTC} chip). \gls{I2C} is a basis of the \gls{SMBus} and \gls{PMBus}, which add additional constraints and rules for a more robust operation. -The frame format is shown and explained in \cref{fig:i2c-frame}; more details may be found in the specification~\cite{i2c-spec} or application notes and datasheets offered by chip vendors, such as the white paper from Texas Instruments~\cite{understanding-i2c}. A frame starts with a start condition and stops with a stop condition, defined by an \gls{SDA} edge while the \gls{SCL} is high. The address and data bytes are acknowledged by the slave by sending a 0 on the open-drain \gls{SDA} line in the following clock cycle. A slave can terminate the transaction by sending 1 in place of the acknowledge bit. Slow slave devices may stop the master from sending more data by holding the SCL line low at the end of a byte, a feature called \textit{Clock Stretching}. As the bus is open-drain, the line cannot go high until all participants release it. +The frame format is shown and explained in \cref{fig:i2c_frame}; more details may be found in the specification~\cite{i2c-spec} or application notes and datasheets offered by chip vendors, such as the white paper from Texas Instruments~\cite{understanding-i2c}. A frame starts with a start condition and stops with a stop condition, defined by an \gls{SDA} edge while the \gls{SCL} is high. The address and data bytes are acknowledged by the slave by sending a 0 on the open-drain \gls{SDA} line in the following clock cycle. A slave can terminate the transaction by sending 1 in place of the acknowledge bit. Slow slave devices may stop the master from sending more data by holding the SCL line low at the end of a byte, a feature called \textit{Clock Stretching}. As the bus is open-drain, the line cannot go high until all participants release it. Two addressing modes are defined: 7-bit and 10-bit. Due to the small address space, exacerbated by many devices implementing only the 7-bit addressing, collisions between different chips on a shared bus are common; many devices thus offer several pins to let the board designer choose a few bits of the address by connecting them to different logic levels. @@ -80,7 +80,7 @@ The bus supports multi-master operation, which leads to the problem of collision \begin{figure}[h] \centering \includegraphics[width=.9\textwidth] {img/i2c-frame.png} - \caption[\IIC message diagram]{\label{fig:i2c-frame}An \gls{I2C} message diagram (\textit{taken from the \gls{I2C} specification~\cite{i2c-spec}})} + \caption[\IIC message diagram]{\label{fig:i2c_frame}An \gls{I2C} message diagram (\textit{taken from the \gls{I2C} specification~\cite{i2c-spec}})} \end{figure} \subsection{Examples of Devices Using \texorpdfstring{\IIC}{I2C}} @@ -93,7 +93,7 @@ The bus supports multi-master operation, which leads to the problem of collision \item The \gls{SCCB} used to configure camera modules is derived from \gls{I2C} \end{itemize} -\section{1-Wire} \label{sec:theory-1wire} +\section{1-Wire} \label{sec:theory_1wire} The 1-Wire bus, developed by Dallas Semiconductor (acquired by Maxim Integrated), uses a single, bi-directional data line, which can also power the slave devices in a \textit{parasitic mode}, reducing the number of required wires to just two (compare with 3 in \gls{I2C} and 5 in \gls{SPI}, all including \gls{GND}). The parasitic operation is possible thanks to the data line resting at a high logic level most of the time, charging an internal capacitor. @@ -104,7 +104,7 @@ The communication consists of short pulses sent by the master and (for bit readi \begin{figure}[h] \centering \includegraphics[scale=1] {img/1w-connection.pdf} - \caption{\label{fig:1w-topology}1-Wire connection topology with four slave devices} + \caption{\label{fig:1w_topology}1-Wire connection topology with four slave devices} \end{figure} Devices are addressed by their unique 64-bit ID numbers called ROM codes or ROMs; they can be found by the bus master, with a cooperation from slaves, using a ROM Search algorithm. The search algorithm is explained in~\cite{ow-appnote}, including a possible implementation example. If only one device is connected, a wild card command Skip ROM can be used to address the device without a known ROM code. @@ -123,23 +123,23 @@ Since 1-Wire is a proprietary protocol, there is a much smaller choice of availa \centering \includegraphics[width=.85\textwidth] {img/1w-rw.png} \includegraphics[width=.85\textwidth] {img/1w-reset.png} - \caption{\label{fig:1w-pulses}The 1-Wire data line pulse timing (by \textit{Dallas Semiconductor})} + \caption{\label{fig:1w_pulses}The 1-Wire data line pulse timing (by \textit{Dallas Semiconductor})} \end{figure} \fi -\section{NeoPixel} \label{sec:theory-neo} +\section{NeoPixel} \label{sec:theory_neo} NeoPixel is a marketing name of the \textbf{WS2812} and compatible intelligent \gls{LED} drivers that are commonly used in ``addressable \gls{LED} strips''. Additional technical details about the chips and their protocol may be found in the WS2812B datasheet~\cite{neopixel-ds}. These chips include the control logic, PWM drivers and the \gls{LED} diodes all in one 5$\times$5\,mm SMD package. -The NeoPixel protocol is unidirectional, using only one data pin. The \gls{LED} drivers are chained together. Ones and zeros are encoded by pulses of a defined length on the data pin; after the color data was loaded into the \gls{LED} string, a longer ``reset'' pulse (low level) is issued by the bus master and the set colors are displayed. The timing constraints are listed in \cref{fig:ws2812-dia}. +The NeoPixel protocol is unidirectional, using only one data pin. The \gls{LED} drivers are chained together. Ones and zeros are encoded by pulses of a defined length on the data pin; after the color data was loaded into the \gls{LED} string, a longer ``reset'' pulse (low level) is issued by the bus master and the set colors are displayed. The timing constraints are listed in \cref{fig:ws2812_dia}. The NeoPixel timing is sensitive to pulse length accuracy; a deviation from the specified timing may cause the data to be misinterpreted by the drivers. Some ways to implement the timing use hardware timers or the \gls{I2S} peripheral. An easier method that does not require any additional hardware resources beyond the \gls{GPIO} pin is to implement the timing using delay loops in the firmware; care must be taken to disable interrupts in the sensitive parts of the timing; it may be advantageous to implement it in assembly for a tighter control. \begin{figure}[h] \centering \includegraphics[width=.5\textwidth] {img/ws2812b-detail.jpg} - \caption{\label{fig:ws2812-detail}A close-up photo of a WS2812B pixel, showing the LED driver IC} + \caption{\label{fig:ws2812_detail}A close-up photo of a WS2812B pixel, showing the LED driver IC} \end{figure} \begin{table}[h] @@ -157,7 +157,7 @@ The NeoPixel timing is sensitive to pulse length accuracy; a deviation from the \end{tabular} % \includegraphics[width=.4\textwidth] {img/neo-diagram.png} % \includegraphics[width=\textwidth] {img/neo-lengths.png} - \caption{\label{fig:ws2812-dia}NeoPixel pulse timing} + \caption{\label{fig:ws2812_dia}NeoPixel pulse timing} %TODO reference \end{table} diff --git a/ch.hw_functions.tex b/ch.hw_functions.tex index 3a348a9..9ed5954 100644 --- a/ch.hw_functions.tex +++ b/ch.hw_functions.tex @@ -2,7 +2,7 @@ In addition to communication buses, described in \cref{ch:hw_buses}, GEX implements several measurement and output functions that take advantage of the microcontroller's peripheral blocks, such as timers/counters and \gls{DAC}. The more complicated ones are described here; simpler functions, such as the raw \gls{GPIO} access, will be described later together with their control \gls{API}. -\section{Frequency Measurement} \label{sec:theory-fcap} +\section{Frequency Measurement} \label{sec:theory_fcap} Applications like motor speed measurement and the reading of a \gls{VCO} or \gls{VCO}-based sensor's output demand a tool capable of measuring frequency. This can be done using a laboratory instrument such as the Agilent 53131A. A low-cost solution can be realized using a timer/counter peripheral of a microcontroller. @@ -10,13 +10,13 @@ Applications like motor speed measurement and the reading of a \gls{VCO} or \gls Two basic methods to measure frequency exist~\cite{fcap-twotypes}, each with its advantages and drawbacks: \begin{itemize} - \item The \textit{direct method} (\cref{fig:fcap-direct-dia}) is based on the definition of frequency as a number of cycles $n$ in a fixed-length time window $\tau$ (usually 1\,s); the frequency is then calculated as $f=n/\tau$. + \item The \textit{direct method} (\cref{fig:fcap_direct_dia}) is based on the definition of frequency as a number of cycles $n$ in a fixed-length time window $\tau$ (usually 1\,s); the frequency is then calculated as $f=n/\tau$. One timer generates the time window and its output gates the input of another, configured as a pulse counter. At the end of the measurement window an interrupt is generated and we can read the pulse count from the counter's register. The direct method has a resolution of 1\,Hz with a sampling window of 1\,s (only a whole number of pulses can me counted). The resolution can be increased by using a longer time window, provided the measured signal is stable enough to make averaging possible without distorting the result. Further increase of precision is possible through analog or digital interpolation~\cite{fcap-increasing}, a method used in some professional equipment. - \item The \textit{indirect} or \textit{reciprocal method} (\cref{fig:fcap-reci-dia}) measures one period $T$ as the time interval between two pulses and this is then converted to frequency as $f=1/T$. + \item The \textit{indirect} or \textit{reciprocal method} (\cref{fig:fcap_reci_dia}) measures one period $T$ as the time interval between two pulses and this is then converted to frequency as $f=1/T$. This method needs only one timer/counter. Cycles of the system clock are counted for the duration of one period on the input pin (between two rising edges). If we additionally detect the falling edge in between, the counter's value gives us the duty cycle when related to the overall period length. @@ -29,28 +29,28 @@ Two basic methods to measure frequency exist~\cite{fcap-twotypes}, each with its \begin{figure}[h] \centering \includegraphics[scale=1] {img/fcap-direct.pdf} - \caption{\label{fig:fcap-direct-dia}Direct frequency measurement method} + \caption{\label{fig:fcap_direct_dia}Direct frequency measurement method} \end{figure} \begin{figure}[h] \centering \includegraphics[scale=1] {img/fcap-reciprocal.pdf} -\caption{\label{fig:fcap-reci-dia}Reciprocal frequency measurement method} +\caption{\label{fig:fcap_reci_dia}Reciprocal frequency measurement method} \end{figure} -Which method to use depends on the frequency we want to measure; the worst-case measurement errors of both methods, assuming an ideal 48\,MHz system clock, are plotted in \cref{fig:freqmethods-graph}. It can be seen that the reciprocal method leads in performance up to 7\,kHz where the direct method overtakes it. If a higher error is acceptable, the reciprocal method could be used also for higher frequencies to avoid a reconfiguration and to take advantage of its higher speed. +Which method to use depends on the frequency we want to measure; the worst-case measurement errors of both methods, assuming an ideal 48\,MHz system clock, are plotted in \cref{fig:freqmethods_graph}. It can be seen that the reciprocal method leads in performance up to 7\,kHz where the direct method overtakes it. If a higher error is acceptable, the reciprocal method could be used also for higher frequencies to avoid a reconfiguration and to take advantage of its higher speed. \begin{figure}[h] \centering \includegraphics[width=\textwidth] {img/freqmethods.eps} - \caption[Frequency measurement methods comparison]{\label{fig:freqmethods-graph}Worst-case error using the two frequency measurement methods with an ideal 48\,MHz timer clock. The crossing lies at 7\,kHz with an error of 0.015\,\%, or 1.05\,Hz.} + \caption[Frequency measurement methods comparison]{\label{fig:freqmethods_graph}Worst-case error using the two frequency measurement methods with an ideal 48\,MHz timer clock. The crossing lies at 7\,kHz with an error of 0.015\,\%, or 1.05\,Hz.} \end{figure} -A good approach to a universal measurement, for cases where we do not know the expected frequency beforehand, could be to obtain an estimate using the direct method first, and if the frequency is below the worst-case error crossing point (here 7\,kHz, according to \cref{fig:freqmethods-graph}), to take a more precise measurement using the reciprocal method. +A good approach to a universal measurement, for cases where we do not know the expected frequency beforehand, could be to obtain an estimate using the direct method first, and if the frequency is below the worst-case error crossing point (here 7\,kHz, according to \cref{fig:freqmethods_graph}), to take a more precise measurement using the reciprocal method. The system clock's frequency, which we use to measure pulse lengths and to gate the pulse counter, will be affected by tolerances of the used components, the layout of the \gls{PCB}, temperature effects etc., causing measurement errors. A higher accuracy could be achieved using a \gls{TCO}, or, in the direct method, with the synchronization pulse provided by a \gls{GPS} receiver to time the measurement interval. -\section{Analog Signal Acquisition} \label{sec:theory-adc} +\section{Analog Signal Acquisition} \label{sec:theory_adc} A very common need in experiments involving the measurement of physical properties is the acquisition of analog signals, respective voltages. These can be roughly divided into \gls{DC} and \gls{AC} or time-changing signals. Analog signals are converted to digital values using \glspl{ADC}. Several principles of analog signal measurement exist with different cost, speed, resolution, and many other factors which determine their suitability for a particular application. @@ -59,10 +59,10 @@ A very common need in experiments involving the measurement of physical properti \begin{figure} \centering \includegraphics[scale=1] {img/sar-adc.pdf} - \caption{\label{fig:adc-sar}A diagram of the SAR type ADC} + \caption{\label{fig:adc_sar}A diagram of the SAR type ADC} \end{figure} -The \gls{ADC} type commonly available in microcontrollers, including our STM32F072, uses a \textit{successive approximation} method. It is called the \textit{SAR type \gls{ADC}}, after its main component, the \gls{SAR}. A diagram of this \gls{ADC} is shown in \cref{fig:adc-sar}. +The \gls{ADC} type commonly available in microcontrollers, including our STM32F072, uses a \textit{successive approximation} method. It is called the \textit{SAR type \gls{ADC}}, after its main component, the \gls{SAR}. A diagram of this \gls{ADC} is shown in \cref{fig:adc_sar}. The \gls{SAR} type converter uses a \gls{DAC}, controlled by the value in the \gls{SAR}, which approximates the input voltage, bit by bit, following the algorithm described in~\cite{adc-sar} and outlined below: @@ -76,37 +76,37 @@ The \gls{SAR} type converter uses a \gls{DAC}, controlled by the value in the \g A change of the input value would make this principle unreliable, which is why the input is buffered by a sample \& hold circuit. The holding capacitor is charged to the input voltage and maintains this level during the conversion. The duration for which the capacitor is connected to the input is called a \textit{sampling time}. -\section{Waveform Generation} \label{sec:theory-dac} +\section{Waveform Generation} \label{sec:theory_dac} A waveform generator is a useful tool in many experiments and measurements. A sine stimulus is the basis of a lock-in amplifier; it can be used to measure impedance; with a frequency sweep, we can obtain the frequency response of an analog filter, etc. We can, of course, generate other waveforms, such as a triangle, ramp, or rectangle wave. The \gls{DAC} peripheral can produce a \gls{DC} level on the output pin based on a control word. When we periodically change its digital input, it produces an analog waveform. -\subsection{Waveform Generation with DMA and a Timer} \label{sec:theory-dac-simple} +\subsection{Waveform Generation with DMA and a Timer} \label{sec:theory_dac_simple} -A straightforward, intuitive implementation of the waveform generator is illustrated in \cref{fig:wavegen-naive}. This approach has its advantages: it is simple and works autonomously, with no interrupt handling or interventions from the program. It could be implemented without the use of \gls{DMA} as well, using a loop periodically updating the \gls{DAC} values; of course, such approach is less flexible and we would run into problems with interrupt handling affecting the timing accuracy. +A straightforward, intuitive implementation of the waveform generator is illustrated in \cref{fig:wavegen_naive}. This approach has its advantages: it is simple and works autonomously, with no interrupt handling or interventions from the program. It could be implemented without the use of \gls{DMA} as well, using a loop periodically updating the \gls{DAC} values; of course, such approach is less flexible and we would run into problems with interrupt handling affecting the timing accuracy. \begin{figure}[h] \centering \includegraphics[scale=1.1] {img/wavegen-naive.pdf} - \caption[A simple implementation of the waveform generator]{\label{fig:wavegen-naive}A simple implementation of the waveform generator, using DMA and a look-up table} + \caption[A simple implementation of the waveform generator]{\label{fig:wavegen_naive}A simple implementation of the waveform generator, using DMA and a look-up table} \end{figure} The highest achievable output frequency largely depends on the size of our look-up table. For instance, assuming a timer frequency of 48\,MHz and a 8192-word table, holding one period of the waveform, the maximum frequency would be short of 6\,kHz, whereas if we shorten the table to just 1024 words, we can get almost 47\,kHz on the analog output. The downside of a shorter table is a lower resolution, which will appear as \gls{DC} plateaus or steps when observed with an oscilloscope, producing harmonic components similar to those of a square wave. A major disadvantage of this simple generation method is given by the limitations of the used timer, which defines the output frequency. Its output trigger fires when the internal counter reaches a predefined value, after which the counting register is reset. The counting speed is derived from the system clock frequency $f_\mathrm{c}$ using a prescaler $P$ and the set maximum value $N$. Only output frequencies that can be exactly expressed as $f=f_\mathrm{c}/(P\cdot N \cdot \mathrm{TableSize})$ can be accurately produced. Still, this simple and efficient method may be used where fine tuning is not required to take advantage of its fully asynchronous operation. -\subsection{Direct Digital Synthesis} \label{sec:theory-dac-dds} +\subsection{Direct Digital Synthesis} \label{sec:theory_dac_dds} There are situations where the simple waveform generation method is not sufficient, particularly when fine tuning, or on-line frequency and phase changes are required. Those are the strengths of \gls{DDS}, an advanced digital waveform generation method well explained in~\cite{all-about-dds}. \begin{figure}[h] \centering \includegraphics[scale=1] {img/wavegen-dds.pdf} - \caption{\label{fig:wavegen-dds}A block diagram of a DDS-based waveform generator} + \caption{\label{fig:wavegen_dds}A block diagram of a DDS-based waveform generator} \end{figure} -A diagram of a possible \gls{DDS} implementation in the STM32 firmware is shown in \cref{fig:wavegen-dds}. It is based on a \gls{NCO}. The \gls{NCO} consists of a \textit{phase accumulator} register and a \textit{tuning word} which is periodically added to it at a constant rate in a timer interrupt handler. The value of the tuning word determines the output waveform frequency. The look-up table must have a power-of-two length so that it can be addressed by the \textit{n} most significant bits of the phase accumulator. An additional control word could be added to this address to implement a phase offset for applications like a phase-shift modulation. +A diagram of a possible \gls{DDS} implementation in the STM32 firmware is shown in \cref{fig:wavegen_dds}. It is based on a \gls{NCO}. The \gls{NCO} consists of a \textit{phase accumulator} register and a \textit{tuning word} which is periodically added to it at a constant rate in a timer interrupt handler. The value of the tuning word determines the output waveform frequency. The look-up table must have a power-of-two length so that it can be addressed by the \textit{n} most significant bits of the phase accumulator. An additional control word could be added to this address to implement a phase offset for applications like a phase-shift modulation. The output frequency is calculated as \(f_\mathrm{out} = \dfrac{M\cdot f_\mathrm{c}}{2^n}\), where $M$ is the tuning word, $n$ is the bit length of the phase accumulator, and $f_c$ is the frequency of the phase-updating interrupt. The number of bits used to address the look-up table does not affect the output frequency; the table can be as large as the storage space allows. A tuning word value exceeding the lower part of the phase accumulator (including bits which directly enter the look-up address) will cause some values from the table to be skipped. A smaller tuning word, conversely, makes some values appear at the output more than once. This can be observed as steps or flat areas on the output. When the tuning word does not evenly divide $2^n$, that is, the modulo is non-zero, we can also observe jitter. @@ -114,16 +114,16 @@ The output frequency is calculated as \(f_\mathrm{out} = \dfrac{M\cdot f_\mathrm DDS may be implemented in hardware, including the look-up table, often together with the \gls{DAC} itself, which is then called a \textit{Complete \gls{DDS}}. That is the case of, e.g., the AD9833 from Analog Devices. As the software implementation depends on a periodic interrupt, it is often advantageous to use a component like this when we need higher output frequencies where the use of an interrupt is not possible. GEX can control an external waveform generator like the AD9833 using an \gls{SPI} port. -\section{Touch Sensing} \label{sec:theory-touch} +\section{Touch Sensing} \label{sec:theory_touch} The STM32F072 microcontroller includes a \gls{TSC} peripheral block. This device is meant to be used in touch-based user interfaces, e.g., for kitchen appliances or toys. We include it in GEX to serve as a demonstration of capacitive touch sensing, and it could possibly be used for simple capacitive sensors as well, such as a water level measurement. -The \gls{TSC} requires a specific topology with a sampling capacitor connected close to the microcontroller pin, which may not be possible on a universal GEX module; for this reason, the touch sensing feature is best demonstrated on the STM32F072 Discovery development kit, which includes a 4-segment touch slider shown in \cref{fig:disco-touch}. +The \gls{TSC} requires a specific topology with a sampling capacitor connected close to the microcontroller pin, which may not be possible on a universal GEX module; for this reason, the touch sensing feature is best demonstrated on the STM32F072 Discovery development kit, which includes a 4-segment touch slider shown in \cref{fig:disco_touch}. \begin{figure}[h] \centering \includegraphics[width=0.5\textwidth] {img/disco-touch.jpg} - \caption{\label{fig:disco-touch}The touch slider on a STM32F072 Discovery board} + \caption{\label{fig:disco_touch}The touch slider on a STM32F072 Discovery board} \end{figure} The principle of capacitive touch sensing using the \gls{TSC} is well explained in the microcontroller's reference manual~\cite{f072-rm}, the \gls{TSC} product training materials~\cite{stm-tsc-training, stm-tsc-ppt} and application notes from ST Microelectronics~\cite{stm-tsc-an1, stm-tsc-an2, stm-tsc-an3, stm-tsc-an4}. A key part of the \gls{TSC} is a set of analog switches which can be combined to form several different signal paths between external pins, V$_\mathrm{DD}$, \gls{GND}, and an analog comparator. Two input pins are needed for every touch sensing channel: the sensing pad connects to one, the other is connected through a sampling capacitor (47\,nF on the Discovery board) to \gls{GND}. @@ -131,7 +131,7 @@ The principle of capacitive touch sensing using the \gls{TSC} is well explained \begin{figure}[h] \centering \includegraphics[scale=1] {img/tsc-function.pdf} - \caption{\label{fig:tsc-schem}A simplified schematic of the touch sensing circuit} + \caption{\label{fig:tsc_schem}A simplified schematic of the touch sensing circuit} \end{figure} \noindent @@ -145,14 +145,14 @@ Capacitive sensing is a sequential process described in the following steps: \end{enumerate} \noindent -A real voltage waveform measured on the sensing pad using an oscilloscope is shown in \cref{fig:tsc-wfm}. +A real voltage waveform measured on the sensing pad using an oscilloscope is shown in \cref{fig:tsc_wfm}. \begin{figure} \centering \includegraphics[width=.9\textwidth] {img/tsc-wfm-bw.png} \\ \vspace{5mm} \includegraphics[width=.9\textwidth] {img/tsc-wfm2-bw.png} - \caption[TSC operation oscilloscope screenshots]{\label{fig:tsc-wfm}A voltage waveform measured on the touch sensing pad. The bottom side of the envelope equals the sampling capacitor's voltage---this is the phase where both capacitors are connected. The detailed view (middle) shows the individual charging cycles. The bottom screenshot captures the entire waveform, left to continue until a timeout, after the analog comparator was disabled.} + \caption[TSC operation oscilloscope screenshots]{\label{fig:tsc_wfm}A voltage waveform measured on the touch sensing pad. The bottom side of the envelope equals the sampling capacitor's voltage---this is the phase where both capacitors are connected. The detailed view (middle) shows the individual charging cycles. The bottom screenshot captures the entire waveform, left to continue until a timeout, after the analog comparator was disabled.} \end{figure} diff --git a/ch.introduction.tex b/ch.introduction.tex index b310afd..f754d84 100644 --- a/ch.introduction.tex +++ b/ch.introduction.tex @@ -16,9 +16,9 @@ Today, when we want to perform measurements using a digital sensor, the usual ro The design and implementation of such a universal instrument is the object of this work. For technical reasons, such as naming the source code repositories, we need a name for the project; it shall be, hereafter, called \textbf{GEX}, a name originating from ``\textbf{G}PIO \textbf{Ex}pander''. -\section{Expected Outcome}\label{sec:expected-outcome} +\section{Expected Outcome}\label{sec:expected_outcome} -It has been a long-time desire of the author to create a universal instrument connecting low-level hardware to a computer, and, with this project, it is finally being realized. Several related projects approaching this problem from different angles can be found on the internet; some of these will be presented in \cref{sec:prior-art}. +It has been a long-time desire of the author to create a universal instrument connecting low-level hardware to a computer, and, with this project, it is finally being realized. Several related projects approaching this problem from different angles can be found on the internet; some of these will be presented in \cref{sec:prior_art}. Our project is not meant to end with a tinkering tool that will be produced in a few prototypes and then forgotten. By creating an extensible, open-source platform, GEX can become the foundation for future projects which others can expand, re-use and adapt to their specific needs. diff --git a/ch.pc_software.tex b/ch.pc_software.tex index 359eb1b..5ef13f7 100644 --- a/ch.pc_software.tex +++ b/ch.pc_software.tex @@ -1,6 +1,6 @@ \chapter{Client Software} \label{sec:clientsw} -With the communication protocol clearly defined in \cref{sec:tinyframe,sec:units-overview}, respective \cref{sec:wireless} for the wireless gateway, the implementation of a client software is relatively straightforward. Two client libraries have been developed, in languages C and Python. +With the communication protocol clearly defined in \cref{sec:tinyframe,sec:units_overview}, respective \cref{sec:wireless} for the wireless gateway, the implementation of a client software is relatively straightforward. Two client libraries have been developed, in languages C and Python. \section{General Library Structure} @@ -21,7 +21,7 @@ The structure of a GEX client library is in all cases similar: The host side of the communication protocol described in \cref{sec:tinyframe} should be implemented as a part of the library. This includes the reading and writing of configuration files, unit list read-out, command payload building, and asynchronous event parsing. - Additional utilities may be defined on top of this basic protocol support for the command API of different GEX units, as described in \cref{sec:units-overview}. Those unit-specific ``drivers'' are available in the provided Python library. + Additional utilities may be defined on top of this basic protocol support for the command API of different GEX units, as described in \cref{sec:units_overview}. Those unit-specific ``drivers'' are available in the provided Python library. \end{itemize} \section{Python Library} @@ -153,7 +153,7 @@ This low-level library is intended for applications where the performance of the GEX_DeInit(gex); } \end{ccode} - \caption{\label{lst:c_api_full} An example C program (GNU C99) controlling GEX using the low-level GEX library; this code has the same effect as the Python script shown in \cref{lst:py_api}, with payloads built following the command tables from \cref{sec:units-overview}.} + \caption{\label{lst:c_api_full} An example C program (GNU C99) controlling GEX using the low-level GEX library; this code has the same effect as the Python script shown in \cref{lst:py_api}, with payloads built following the command tables from \cref{sec:units_overview}.} \end{listing} \subsection{Structure-based Payload Construction} diff --git a/ch.requirement_analysis.tex b/ch.requirement_analysis.tex index 3f6417e..c14bc27 100644 --- a/ch.requirement_analysis.tex +++ b/ch.requirement_analysis.tex @@ -4,7 +4,7 @@ We'll now investigate some situations where GEX could be used, to establish its \section{Desired Features} -\subsection{Interfacing Intelligent Modules}\label{sec:uses-digital-ifaces} +\subsection{Interfacing Intelligent Modules}\label{sec:uses_digital_ifaces} When adding a new digital sensor or a module to a hardware project, we want to test it first, learn how to properly communicate with it, and confirm its performance. Based on this evaluation we decide whether the module matches our expectations and learn how to properly connect it, which is needed for a successful \gls{PCB} layout. @@ -28,7 +28,7 @@ Generating an analog signal is possible using a \gls{PWM} or by a dedicated digi \subsection{Logic Level Input and Output} -We have covered some more advanced features, but skipped the simplest feature: direct access to \gls{GPIO} pins. Considering the latencies of \gls{USB} and the \gls{PC}'s \gls{OS}, this cannot be used reliably for ``bit banging''; however, we can still accomplish a lot with just changing logic levels---e.g., to control character \glspl{LCD}, or emulate some interfaces that include a clock line, like \gls{SPI}. As mentioned in \cref{sec:uses-digital-ifaces}, many digital sensors and modules use plain \glspl{GPIO} in addition to the communication bus for out-of-band signaling or features like chip selection or reset. +We have covered some more advanced features, but skipped the simplest feature: direct access to \gls{GPIO} pins. Considering the latencies of \gls{USB} and the \gls{PC}'s \gls{OS}, this cannot be used reliably for ``bit banging''; however, we can still accomplish a lot with just changing logic levels---e.g., to control character \glspl{LCD}, or emulate some interfaces that include a clock line, like \gls{SPI}. As mentioned in \cref{sec:uses_digital_ifaces}, many digital sensors and modules use plain \glspl{GPIO} in addition to the communication bus for out-of-band signaling or features like chip selection or reset. \subsection{Pulse Generation and Measurement} @@ -82,7 +82,7 @@ Summarizing the preceding discussion, we obtain the following list of features t \section{Microcontroller Selection} -As discussed in \cref{sec:expected-outcome}, this project will be based on microcontrollers from the STM32 family. The STM32F072 model was selected for the initial hardware and firmware design due to its low cost, advanced peripherals, and the availability of development boards. The firmware can be ported to other \glspl{MCU} later (e.g., to STM32L072, STM32F103 or STM32F303). +As discussed in \cref{sec:expected_outcome}, this project will be based on microcontrollers from the STM32 family. The STM32F072 model was selected for the initial hardware and firmware design due to its low cost, advanced peripherals, and the availability of development boards. The firmware can be ported to other \glspl{MCU} later (e.g., to STM32L072, STM32F103 or STM32F303). The STM32F072 is an \armcm device with 128\,KiB of flash memory, 16\,KiB of \gls{RAM} and running at 48\,MHz. It is equipped with a \gls{USB} Full Speed peripheral block, a 12-bit \gls{ADC} and \gls{DAC}, a number of general-purpose timers/counters, SPI, I$^2$C, and USART peripherals, among others. It supports crystal-less \gls{USB}, using the USB SOF packet for synchronization of the internal 48\,MHz RC oscillator; naturally, a real crystal resonator will provide better timing accuracy. @@ -92,7 +92,7 @@ To effectively utilize the time available for this work, only the STM32F072 firm While the GEX firmware can be used with existing evaluation boards from ST Microelectronics (see \cref{fig:discovery} for an example of one such board), we wish to design and realize a few custom hardware prototypes that will be smaller and more convenient to use. -Three possible form factors are drawn in \cref{fig:ff-sketches}. The use of a common connector layout and pin assignments, here Arduino and Raspberry Pi, makes it possible to reuse add-on boards from those platforms. When we copy the physical form factor of another product, in this example the Raspberry Pi Zero, we can further take advantage of existing enclosures designed for it. +Three possible form factors are drawn in \cref{fig:ff_sketches}. The use of a common connector layout and pin assignments, here Arduino and Raspberry Pi, makes it possible to reuse add-on boards from those platforms. When we copy the physical form factor of another product, in this example the Raspberry Pi Zero, we can further take advantage of existing enclosures designed for it. \begin{figure}[h] \centering @@ -103,7 +103,7 @@ Three possible form factors are drawn in \cref{fig:ff-sketches}. The use of a co \begin{figure}[h] \centering \includegraphics[width=\textwidth] {img/gex-ff-sketches.png} - \caption[Form factor sketches]{\label{fig:ff-sketches}A sketch of three possible form factors for a GEX hardware realization} + \caption[Form factor sketches]{\label{fig:ff_sketches}A sketch of three possible form factors for a GEX hardware realization} \end{figure} diff --git a/ch.tinyframe.tex b/ch.tinyframe.tex index 90a1ff0..652b3bd 100644 --- a/ch.tinyframe.tex +++ b/ch.tinyframe.tex @@ -6,7 +6,7 @@ GEX can be controlled through a hardware \gls{UART}, the \gls{USB}, or over a wi \vspace{-1em} \centering \includegraphics[scale=1]{img/tf-conceptual.pdf} - \caption{\label{fig:tf-conceptual}TinyFrame API} + \caption{\label{fig:tf_conceptual}TinyFrame API} \end{wrapfigure} GEX uses the \textit{TinyFrame}~\cite{tinyframerepo} framing library, developed, likewise, by the author, but kept as a separate project for easier re-use in different applications. The library implements frame building and parsing, including checksum calculation, and provides high-level \gls{API}. @@ -81,7 +81,7 @@ Message frames have the following structure (all little-endian): \textit{Frame ID}, which could be better described as \textit{Transaction ID}, uniquely identifies each transaction. The most significant bit is set to a different value in each peer to avoid ID conflicts, and the rest of the ID field is incremented with each initiated transaction. -\section{Message Listeners} \label{sec:tf-listeners} +\section{Message Listeners} \label{sec:tf_listeners} After sending a message that should receive a response, the peer registers an \textit{ID listener} with the ID of the sent message. A response reuses the original frame ID and when it is received, this listener is called to process it. ID listeners can also be used to receive multi-part messages re-using the original ID. @@ -91,7 +91,7 @@ Each message can be handled by only one listener, unless the listener explicitly \section{Designated Frame Types} -\Cref{fig:tf-types} lists the frame types defined by GEX. It is divided into four logical sections: General, Bulk Read/Write, Unit Access, and Settings. The payloads belonging to those frame types will be outlined in the following sections. +\Cref{fig:tf_types} lists the frame types defined by GEX. It is divided into four logical sections: General, Bulk Read/Write, Unit Access, and Settings. The payloads belonging to those frame types will be outlined in the following sections. \begin{table}[h] \centering @@ -119,11 +119,11 @@ Each message can be handled by only one listener, unless the listener explicitly 0x23 & Persist Config & \textit{Write updated configuration to flash} \\ \bottomrule \end{tabular} -\caption{\label{fig:tf-types}Frame types used by GEX} +\caption{\label{fig:tf_types}Frame types used by GEX} \end{table} -\section{Bulk Read and Write Transactions} \label{sec:tf-bulk-rw} +\section{Bulk Read and Write Transactions} \label{sec:tf_bulk_rw} The bulk read and write transactions are generic, multi-message exchanges which are used to transfer the INI configuration files. They could additionally be used by some future unit requiring to transfer a large amount of data (e.g., to read image data from a camera). @@ -131,12 +131,12 @@ The reason for splitting a long file into multiple messages, rather than sending A read or write transaction can be aborted by a frame \CmdBulkAbort at any time, though aborting a write transaction may leave the configuration in a corrupted state. As hinted in the introduction of this chapter, a transaction is defined by sharing a common frame ID. Thus, all frames in a bulk transaction must have the same ID, otherwise the ID listeners would not be called for the subsequent messages. -\Cref{fig:bulk-rw} shows a diagram of the bulk read and write data flow. +\Cref{fig:bulk_rw} shows a diagram of the bulk read and write data flow. \begin{figure} \centering \includegraphics[scale=1.5]{img/bulk-read-write.pdf} - \caption{\label{fig:bulk-rw}A diagram of the bulk read and write transaction.} + \caption{\label{fig:bulk_rw}A diagram of the bulk read and write transaction.} \end{figure} \subsection{Bulk Read} @@ -226,7 +226,7 @@ Unit requests deliver a message from the host to a unit instance. Unit drivers i \begin{boxedpayload}[Frame \CmdUnitRequest payload structure] \cfield{u8} unit callsign \cfield{u8} command number, handled by the unit driver - \cfield{u8[]} command payload, handled by the unit driver; its size and content depend on the unit driver and the particular command number, as defined in \cref{sec:units-overview} + \cfield{u8[]} command payload, handled by the unit driver; its size and content depend on the unit driver and the particular command number, as defined in \cref{sec:units_overview} \end{boxedpayload} The most significant bit of the command byte (0x80) has a special meaning: when set, the message delivering routine responds with 0x00 (Success) after the command completes, unless an error occurred. That is used to get a confirmation that the message was delivered and the module operates correctly (as opposed to, e.g., a lock-up resulting in a watchdog reset). Requests which normally generate a response (e.g., reading a value from the unit) should not be sent with this flag, as that would produce two responses at once. @@ -239,7 +239,7 @@ Several unit types can produce asynchronous events, such as reporting a pin chan \cfield{u8} unit callsign \cfield{u8} report type, defined by the unit driver \cfield{u64} event time (microseconds since power-on) - \cfield{u8[]} report payload; similar to requests, the payload structure depends on the unit driver and the particular report type, as defined in \cref{sec:units-overview} + \cfield{u8[]} report payload; similar to requests, the payload structure depends on the unit driver and the particular report type, as defined in \cref{sec:units_overview} \end{boxedpayload} diff --git a/ch.unit.1wire.tex b/ch.unit.1wire.tex index bf5d2a6..1462970 100644 --- a/ch.unit.1wire.tex +++ b/ch.unit.1wire.tex @@ -1,6 +1,6 @@ \section{1-Wire Unit} -The 1-Wire unit implements the Dallas Semiconductor's 1-Wire protocol, most commonly used to interface smart thermometers (DS18x20). The protocol is explained in \cref{sec:theory-1wire}. +The 1-Wire unit implements the Dallas Semiconductor's 1-Wire protocol, most commonly used to interface smart thermometers (DS18x20). The protocol is explained in \cref{sec:theory_1wire}. This unit implements the ROM Search algorithm that is used to find the ROM codes of all 1-Wire devices connected to the bus. The algorithm can find up to 32 devices in one run; more devices can be found by issuing the SEARCH\_CONTINUE command. diff --git a/ch.unit.dac.tex b/ch.unit.dac.tex index b39b77d..46bb19e 100644 --- a/ch.unit.dac.tex +++ b/ch.unit.dac.tex @@ -2,7 +2,7 @@ The digital/analog unit works with the two-channel \gls{DAC} hardware peripheral. It can be used in two modes: \gls{DC} output, and waveform generation. -The waveform mode implements direct digital synthesis (explained in \cref{sec:theory-dac-dds}) of a sine, rectangle, sawtooth or triangle wave. The generated frequency can be set with a sub-hertz precision up to the lower tens of kHz. The two outputs can use a different waveform shape, can be synchronized, and their phase offset and frequency are dynamically adjustable. +The waveform mode implements direct digital synthesis (explained in \cref{sec:theory_dac_dds}) of a sine, rectangle, sawtooth or triangle wave. The generated frequency can be set with a sub-hertz precision up to the lower tens of kHz. The two outputs can use a different waveform shape, can be synchronized, and their phase offset and frequency are dynamically adjustable. \subsection{DAC Configuration} diff --git a/ch.unit.fcap.tex b/ch.unit.fcap.tex index 6bf8ac7..e8e0c90 100644 --- a/ch.unit.fcap.tex +++ b/ch.unit.fcap.tex @@ -1,7 +1,7 @@ \section{Frequency Capture Unit} -The frequency capture unit implements both the frequency measurement methods explained in \cref{sec:theory-fcap}: direct and reciprocal. +The frequency capture unit implements both the frequency measurement methods explained in \cref{sec:theory_fcap}: direct and reciprocal. The unit has several operational modes: idle, reciprocal continuous, reciprocal burst, direct continuous, direct burst, free counting, and single pulse. Burst mode is an on-demand measurement with optional averaging. Continuous mode does not support averaging, but the latest measurement can be read at any time without a delay. diff --git a/ch.unit.i2c.tex b/ch.unit.i2c.tex index de03570..15d1db1 100644 --- a/ch.unit.i2c.tex +++ b/ch.unit.i2c.tex @@ -1,6 +1,6 @@ \section{\texorpdfstring{\IIC}{I2C} Unit} -The \gls{I2C} unit provides access to one of the microcontroller's \gls{I2C} peripherals. More on the \IIC bus can be found in \cref{sec:theory-i2c}. +The \gls{I2C} unit provides access to one of the microcontroller's \gls{I2C} peripherals. More on the \IIC bus can be found in \cref{sec:theory_i2c}. The unit can be configured to use either of the three standard speeds (Standard, Fast and Fast+) and supports both 10-bit and 7-bit addressing. 10-bit addresses can be used in commands by setting their highest bit (0x8000), as a flag to the unit; the 7 or 10 least significant bits will be used as the actual address. diff --git a/ch.unit.npx.tex b/ch.unit.npx.tex index 2aa84d9..2a2e6c2 100644 --- a/ch.unit.npx.tex +++ b/ch.unit.npx.tex @@ -1,6 +1,6 @@ \section{NeoPixel Unit} -The NeoPixel unit implements the protocol needed to control a digital \gls{LED} strip with WS2812, WS2811, or compatible \gls{LED} driver chips. The NeoPixel protocol (explained in \cref{sec:theory-neo}) is implemented in software, therefore it is available on any \gls{GPIO} pin of the module. +The NeoPixel unit implements the protocol needed to control a digital \gls{LED} strip with WS2812, WS2811, or compatible \gls{LED} driver chips. The NeoPixel protocol (explained in \cref{sec:theory_neo}) is implemented in software, therefore it is available on any \gls{GPIO} pin of the module. The color data can be loaded in five different format: as packed bytes (3$\times$8 bits color), or as the little- or big-endian encoding of colors in a 32-bit format: 0x00RRGGBB or 0x00BBGGRR. The 32-bit format is convenient when the colors are already represented as an array of 32-bit integers, e.g., extracted from a screen capture or an image. diff --git a/ch.unit.spi.tex b/ch.unit.spi.tex index 5258f4c..e36a4f6 100644 --- a/ch.unit.spi.tex +++ b/ch.unit.spi.tex @@ -1,6 +1,6 @@ \section{SPI Unit} -The \gls{SPI} unit provides access to one of the microcontroller's \gls{SPI} peripherals. The unit can be configured to any of the hardware-supported speeds, clock polarity, and clock phase settings. Explanation of those options, including diagrams, can be found in \cref{sec:theory-spi}. +The \gls{SPI} unit provides access to one of the microcontroller's \gls{SPI} peripherals. The unit can be configured to any of the hardware-supported speeds, clock polarity, and clock phase settings. Explanation of those options, including diagrams, can be found in \cref{sec:theory_spi}. The unit handles up to 16 slave select (\gls{NSS}) signals and supports message multi-cast (addressing more than one slaves at once). Protection resistors should be used if a multi-cast transaction is issued with \gls{MISO} connected to prevent a short circuit between slaves transmitting the opposite logical level. diff --git a/ch.unit.touch.tex b/ch.unit.touch.tex index de82199..9fdaf39 100644 --- a/ch.unit.touch.tex +++ b/ch.unit.touch.tex @@ -1,6 +1,6 @@ \section{Touch Sense Unit} -The touch sensing unit provides an access to the \gls{TSC} peripheral, explained in \cref{sec:theory-touch}. The unit configures the \gls{TSC} and reads the output values of each enabled touch pad. Additionally, a threshold-based digital input function is implemented to make the emulation of touch buttons easier. The hysteresis and debounce time can be configured in the configuration file or set using a command. The threshold of individual pads must be set using a command. +The touch sensing unit provides an access to the \gls{TSC} peripheral, explained in \cref{sec:theory_touch}. The unit configures the \gls{TSC} and reads the output values of each enabled touch pad. Additionally, a threshold-based digital input function is implemented to make the emulation of touch buttons easier. The hysteresis and debounce time can be configured in the configuration file or set using a command. The threshold of individual pads must be set using a command. \subsection{Touch Sense Configuration} diff --git a/ch.unit.usart.tex b/ch.unit.usart.tex index f1717d6..44d123c 100644 --- a/ch.unit.usart.tex +++ b/ch.unit.usart.tex @@ -1,6 +1,6 @@ \section{USART Unit} -The \gls{USART} unit provides access to one of the microcontroller's \gls{USART} peripherals. See \cref{sec:theory-usart} for more information about the interface. +The \gls{USART} unit provides access to one of the microcontroller's \gls{USART} peripherals. See \cref{sec:theory_usart} for more information about the interface. Most \gls{USART} parameters available in the hardware peripheral's configuration registers can be adjusted to match the application's needs. The peripheral is capable of driving RS-485 transceivers, using the \gls{DE} output for switching between reception and transmission. diff --git a/ch.usb.tex b/ch.usb.tex index 63f9ae6..f365d25 100644 --- a/ch.usb.tex +++ b/ch.usb.tex @@ -7,7 +7,7 @@ This chapter presents an overview of the \acrfull{USB} Full Speed interface, wit \begin{figure}[h] \centering \includegraphics[scale=1] {img/usb-hierarchy-redraw.pdf} - \caption[USB hierarchical structure]{\label{fig:usb-hierarchy}The hierarchical structure of the USB bus} + \caption[USB hierarchical structure]{\label{fig:usb_hierarchy}The hierarchical structure of the USB bus} \end{figure} \gls{USB} is a hierarchical bus with a single master (\textit{host}) and multiple slave devices. A \gls{USB} device that provides functionality to the host is called a \textit{function}~\cite{usb-function}. @@ -19,7 +19,7 @@ Communication between the host and a function is organized into virtual channels \begin{figure}[h] \centering \includegraphics[scale=1] {img/usb-logical-redraw.pdf} - \caption{\label{fig:usb-logical}The logical structure of USB} + \caption{\label{fig:usb_logical}The logical structure of USB} \end{figure} Endpoints can be either unidirectional or bidirectional; the direction from the host to a function is called OUT, the other direction (function to host) is called IN. A bidirectional endpoint is technically composed of IN and OUT endpoints with the same number. All transactions (both IN and OUT) are initiated by the host; functions have to wait for their turn. Endpoint 0 is bidirectional, always enabled, and serves as a \textit{control endpoint}. The host uses the control endpoint to read information about the device and configure it as needed. @@ -51,7 +51,7 @@ Each descriptor starts with a declaration of its length (in bytes), followed by The topmost descriptor holds information about the entire function, including the vendor and product IDs which uniquely identifies the device model. It is followed by a Configuration descriptor, grouping a set of interfaces. More than one configuration may be present and available for the host to choose from; however, this is rarely used or needed. Each configuration descriptor is followed by one or more interface descriptors, each with its class-specific sub-descriptors and/or endpoint descriptors. -The descriptor table used by GEX is captured in \cref{fig:gex-descriptors} for illustration. The vendor and product IDs were obtained from the pid.codes repository~\cite{pidcodes} providing free product codes to open source projects. The official way of obtaining the unique code involves high recurring fees (\$4000 per annum) to the USB Implementers Forum, Inc. and is therefore not affordable for non-commercial use; alternatively, a product code may be obtained from some \gls{MCU} vendors if their product is used in the device. +The descriptor table used by GEX is captured in \cref{fig:gex_descriptors} for illustration. The vendor and product IDs were obtained from the pid.codes repository~\cite{pidcodes} providing free product codes to open source projects. The official way of obtaining the unique code involves high recurring fees (\$4000 per annum) to the USB Implementers Forum, Inc. and is therefore not affordable for non-commercial use; alternatively, a product code may be obtained from some \gls{MCU} vendors if their product is used in the device. \newpage \input{fig.gex-descriptors} @@ -62,12 +62,12 @@ The descriptor table used by GEX is captured in \cref{fig:gex-descriptors} for i The \gls{USB} cable contains 4 conductors: V$_\mathrm{BUS}$ (+5\,V), D+, D--, and \gls{GND}. The data lines, D+ and D--, are also commonly labeled DP and DM. This differential pair should be routed in parallel on the \gls{PCB} and kept at the same length. -\gls{USB} versions that share the same connector are backward compatible. The desired bus speed is requested by the device using a 1.5\,k$\Omega$ pull-up resistor to 3.3\,V on one of the data lines: D+ pulled high for Full Speed (shown in \cref{fig:usb-pullup-fs}), D-- pulled high for Low Speed. The polarity of the differential signals is also inverted depending on the used speed, as the idle level changes. Some microcontrollers integrate the correct pull-up resistor inside the \gls{USB} peripheral block (including out STM32F072), removing the need for an external resistor. +\gls{USB} versions that share the same connector are backward compatible. The desired bus speed is requested by the device using a 1.5\,k$\Omega$ pull-up resistor to 3.3\,V on one of the data lines: D+ pulled high for Full Speed (shown in \cref{fig:usb_pullup_fs}), D-- pulled high for Low Speed. The polarity of the differential signals is also inverted depending on the used speed, as the idle level changes. Some microcontrollers integrate the correct pull-up resistor inside the \gls{USB} peripheral block (including out STM32F072), removing the need for an external resistor. \begin{figure}[h] \centering \includegraphics[scale=1]{img/usb-resistors.pdf} - \caption[USB pull-ups]{\label{fig:usb-pullup-fs}Pull-up and pull-down resistors near the host and a Full Speed function, as prescribed by the USB specification rev. 2.0} + \caption[USB pull-ups]{\label{fig:usb_pullup_fs}Pull-up and pull-down resistors near the host and a Full Speed function, as prescribed by the USB specification rev. 2.0} \end{figure} When a function needs to be re-enumerated by the host, which causes a reload of the descriptor table and the re-attachment of software drivers, it can momentarily remove the pull-up resistor, which the host will interpret as if the device was disconnected. With an internal pull-up, this can be done by flipping a bit in a control register. An external resistor may be connected through a transistor controlled by a \gls{GPIO} pin. As discussed in~\cite{eev-gpio-pu}, a GPIO pin might be used to drive the pull-up directly, though this has not been verified by the author. @@ -76,7 +76,7 @@ The V$_\mathrm{BUS}$ line supplies power to \textit{bus-powered} devices. \texti \noindent More details about the electrical and physical connection may be found in~\cite{usb-nutshell}, sections \textit{Connectors} through \textit{Power}. -\section{USB Classes} \label{sec:usb-classes} +\section{USB Classes} \label{sec:usb_classes} This section explains the classes used in the GEX firmware. A list of all standard classes with a more detailed explanation can be found in~\cite{usb-class-list}. @@ -98,7 +98,7 @@ This command set lets the host read information about the attached storage devic In order to emulate a mass storage device without having a physical storage medium, we need to generate and parse the file system on-the-fly as the host \gls{OS} tries to access it. This will be discussed in \cref{sec:fat16}. -\subsection{CDC/ACM Class} \label{sec:cdc-acm} +\subsection{CDC/ACM Class} \label{sec:cdc_acm} %https://www.keil.com/pack/doc/mw/USB/html/group__usbd__cdc_functions__acm.html diff --git a/ch.wireless.tex b/ch.wireless.tex index 6d60c97..abf4470 100644 --- a/ch.wireless.tex +++ b/ch.wireless.tex @@ -12,7 +12,7 @@ The Semtech SX1276~\cite{semtech-manual} and Nordic Semiconductor nRF24L01+ ~\ci \section{Modulations Overview} -A brief overview of the different signal modulation techniques is presented here to aid the reader with understanding of \cref{fig:nrf-sx-comparison} and the rest of the chapter. +A brief overview of the different signal modulation techniques is presented here to aid the reader with understanding of \cref{fig:nrf_sx_comparison} and the rest of the chapter. \subsection{On-Off Keying (OOK)} @@ -40,7 +40,7 @@ LoRa is a patented proprietary modulation developed by Semtech. It uses a direct \section{Comparing SX1276 and nRF24L01+} -The two transceivers are compared in \cref{fig:nrf-sx-comparison}. It is apparent that each of them has its strengths and weaknesses, which will be discussed below. +The two transceivers are compared in \cref{fig:nrf_sx_comparison}. It is apparent that each of them has its strengths and weaknesses, which will be discussed below. \begin{table}[h] \centering @@ -63,7 +63,7 @@ The two transceivers are compared in \cref{fig:nrf-sx-comparison}. It is apparen \textbf{Price} & \$7.3 & \$1.6 \\ \bottomrule \end{tabulary} - \caption[Comparison of the SX1276 and nRF24L01+ wireless transceivers]{\label{fig:nrf-sx-comparison}Comparison of the SX1276 and nRF24L01+ wireless transceivers, using data from their datasheets (price in USD from DigiKey in a 10\,pcs. quantity, recorded on May 6th 2018)} + \caption[Comparison of the SX1276 and nRF24L01+ wireless transceivers]{\label{fig:nrf_sx_comparison}Comparison of the SX1276 and nRF24L01+ wireless transceivers, using data from their datasheets (price in USD from DigiKey in a 10\,pcs. quantity, recorded on May 6th 2018)} \end{table} SX1276 supports additional modulation modes, including a proprietary LoRa scheme with a frequency-hopping spread spectrum modulation that can be received at a distance up to 20\,km in ideal conditions. The long-range capability is reflected in a higher consumption during transmission. However, its consumption in receiver mode is slightly lower than that of the nRF24L01+. @@ -81,7 +81,7 @@ A separate device, the \textit{GEX wireless gateway}, was developed to provide t \todo[inline]{TODO the above --remove/update/link to the hw chapter} -\subsection{The Wireless Gateway Protocol} \label{sec:gw-protocol} +\subsection{The Wireless Gateway Protocol} \label{sec:gw_protocol} \begin{wrapfigure}[17]{r}{0.38\textwidth} \vspace{-1em} diff --git a/thesis.pdf b/thesis.pdf index f62f87ae701138291c27bea6857cfcccfaf834f2..2ad3f769e0fa80215ef314f19835da0945531de2 100644 GIT binary patch delta 254553 zcmYIvV{~Rgvvuqzw(W^++fF97J>euzZ0m`wnb@{%JDJ#;IQizi@4f3=tM@v!s@JLW z=hW`n)!lhTcaR$?caQ-g;2{tpkRebZ&>=7(upw|E@F55xh#^QJfJS5{1W+Iu90mj% zXKF7yBghE>84VI8+6xqffJ_H8U(z<3tMTaZ!_4aHkmGt&toQaz9pr7^z3!-3>8Q|l z3RU1Dk328N&f5CMk=&{iY5%}98c$D?0A`3=K|W_pIIz_S!)45T=AJ33_G7J zmM1E4^1i-uvAi(9x_uDMPh*5dEAHqA#Y*Z0l%m}m_#v)OH5bS-(C4h5pYm%HC1#n) z{l1Zh#~!)2ZouBw`RCL&-oc`+I99iI?mw$EkC&J@zC%BN2 zyBX z_HCm6F@q<#Yw~dNjVPO#`i7GrLgy{KZElz zoOX)9GQ4t9Qh8}L*Lko^2See{I)EenQ5a$>^uv{s6f+U$Ei@TBZ5 z(3RF|`$eKVinO>kYgM-O%gGs^#{;3ZDb)h|5;=1ST%i(Zqld{O;2R`~K9K}@w3}F< zKz^ys2u|PLaW3$L1`gV~NR>{NlQ1exl+<-yly>j<#%GKO*klM;cQ1AZ8?!tqH(AO{ zh8Z}SVBK#z={<=k?u+*$I??Eq_ATCIz|VlGc(v51MAwf2yf2IC4*tk=shw>r1qI<4 zUlMDZsdNHYu0-lX)=q!g!GU|NvPJt7+gOLX|3ayyr{&`x7|$!n*wnl2E5Zomd*rZs z)7@2>WY28Yyz=%Mt>BeHktpD68(w}uZ^6%V z8j6aW2PJ1m=&3qh?$=&SG}_vbY)NCBG+*V8YIde7Yz@T2hg2kH|J>r z@e4da3P5JuG@l$>zLj%PP7wJtiKD0uvw8P(%9C;{)m1A1T{LZT+uwjOS-F~}=B~9I z*J%4SWHP+j73<y(592CrC&{Fm+*6jVCGyVFF5i3p$f_}GgiT{K(<;C>BBY63{D3s1 zUGYGzEj>5Nf*ILNeM8}357o(YBRwMW<^<9GgBcPgdbtK2t^11ItZ?}#7Owno3nf$_ z(Otv-BODtn|N)1u;uad*wF($$IA6h!w(0g zwa>&xS{IBA32c-%*@e~wUhBj<+Or!e`p*fa06Mr;FkEiRUv`+f=|3@ zx2TBEtt7<+`hHKp^MS)Pj7dU76?{O@YeOB?yun;^q|ma~>Y`MwtW-@pB4GkT94i}j z4~Lsd&6IdBNDvEi`%6+5b=*jD)Q}vYLp@0E;hhw3fJ>gZ*nrXd_?g(w8%GBW+9jAC zwL+Kym_J0ar&)aZj2*E(2^~7*!Yk@wbrOgfNgJ@|Kq@@gnbKSeSIK4HLMK7~P-ii# zSg1WmVxczf(&oJI9lF=61k@()8rDIu13IzT6Y@P#FJ_D7)3P&uqx&mDC@2%a{m{aGmveMWuM)4?0lXLH8bj`kENnTxnluqY_i3v8?N&^t@A89hA1^S z!Z(yAz_}VqdV%A~z;EE?A1~D-#{dxnH@$64CMm$XmbZ{L{W{x^^bA@E75SV|-OY6weL zSA4_cg)6@_HTF(ojRzl@3+?kGAV(5mu=akN%F1*wwAxgvNgl_(<%_}ZZoBOT*ClAk_RCe!ksT^@+PFG zB;WgW06G_5>}f#GopYtfc$4t%C`qbzC(>D~>z{g9y*5Lkqbslhlz|K<7f7cGw$GVz zE-qWGSWVt)JEl3)sP(mI{{9A|N;tVHQ;`(GkKkXqn{Z?I{5t_^{sL8L5=*wx9Oeip z9S3d}d>Se)YM95hq0%uZjC&tvkDkKDd-uv(nr@w<_iexxKIs8>LruxS;IZr;`I91T ziluBFk6i~&!P@M&&=b+Y?9y#t#)s!g^&CxkoJwq)Y{cf*e&1rKuUln=Sb%72NXFz` zdQmtTX?_v#JxGp0dFUNAP$Gsh5x<~4Oeir=71n7}ln$S`-*~L|$C>0~$-6S6C(2;ivz;Bcn@IiCzlXp58y$S+2PC zp8BzVA0*iAFWaFqTuKfQav3$LPUmk~E;<#u@~mdMn{vV(vjoYpR3uFOFBtSQT1Ny6 zM{_rKR|^w||5#3@wg_B2T%;_d|FHxF5ST$z`xJ03*_g;B2;i)sJ|s9g;FRv1l0G-8 z-%ibq+1tKdyw$KrC^@v+yj)cjMCv>_F`TZX4IM#ZY|5wK%x0JU7{nS~NO>apn@{}6 zLB_#t4BBBrP&t$(2fm)9x;PV_17aJ(Ix+1NDJS%N5Lb9oKm}$Ln6^Bvfu$O+Gb>>+ z=YY+>zli8q#zB<*&l0f)Fu;y(QNr4-9Lc^&g}fV-?6!(FalF|Tm$W(I*08t%U>n{-(s^`eIe8@2*JGx3~HG8Ne zX<<~#1G`eFvETm!bN&llu?k1o@-OfvZmuywpd?nk2snae1O#Ai4y?#j>(>b_B?wzi zmTP`4Fe?RvuNGL>FK)4zAX=a*{xd~Y{H8VrAN$?>mA+h+zO`7VD*%-}R5*%Nj0#$5 ziAAv1MRQMpi6zTaurfeb4U!t*9w)Nazl zM}lJD6o+JbM%hw1_b2uQW}i1siVr2rR_GKu!}DdI>rCD5ZfkARaM;0qzg(21KTh*v z`eF-rDS8{)KP0i9poUP7{i=(imgo#1?eZQnC*!7Li2-W`v zxxDz8;iMDt^Fo5F+3Qm&IZW)_VoX5JVh_v&pD>7o^#H@ohKAdfR!RovRh*`;W!!q^69vdk<$| zUC>|b9FnXx&$^fH_d}oHp7LpuVI$1ok;W+v)&7%k9e4oXA9DVICxcRYM z=^9%{zJgo9FuaZCEF7rx$1>Jke%*y2jV!JF0|mLi)(ORFbhbw3?hd34OxJXnjt8zy z5cuVahy=3xZbTGw*T|HFquZof>#%j$E-W7XaxhA>-o(_TKoFz6(>hqY*QW+nq^a(5 zw38s@gq0zp9C}xx%djn_%RskGOB{ zdM&pcOL(zK8iBj<70>KKC`cYbmC+uktJ0`t6F5F;_>EELqzP%Dc)xfXEBGN9iau5+ z5#53!5cpsoa*5~HetC_=zM`&|_GTz`d1SHpxnZ$5VTm+H7q&>}@t=`ulYpSKWAWPE zf4?}sc($liS8g=cktsZNp8U@Bv0dF=_Q>bAH-Tnh-PCgui=t>u<0TeIMPZ!~p78~S z{16xcazVnu__xgL!MgwJM(}{<2wfO}db)0lGU)zKH76~J6!y9$MUB3{v|tm(A`5&zWogUWn$2+BoRRh${HD;9A_yJQ$fm*qX^8VJy=k-psUA&-~{$hiF7p<`m%NNY*6Ut0} zwDr-}x)gp->8t-ZP;oc1;HCd)eIIZ2+;GKBt9o*XyBTr$1vyTu*q|o zr70SffBAr>YThiEN<5{faE^fkQ0bF1k~#>YQIrl>QLGSPa&pcC&bl6-ym*Uo7~VJ+ z&JB4+y9%kA=7IPiS+?Ebim1Hl?}|@X1LnT~5?|x0Y`!?y=e7SSnv|b<==q4iCalJy zKM=Ov)I>DC{#PcMt%kBa#ivAoySdiSsr~yEvECK2?*xG2BS&|^(mH+>c>NbSxXVE# z^KM=J@Q0OvVfqJ)hY`HZ>pWMP$q_)nHP|5-*u6{AVbk%7gk?x440nA}a=)s)*DX`i z3<>Wt$sb2?4Kx#WR(4RFsR@Hc&GK>JOs|4I!nIoWy*&qdMZ|$=^}oYd0m31;E9ZG* zFpCsIC-QmEUB4r{W738LwKp8ib{SQ_cpg1E`6#i}XXb_MM@*X!LG^1w<(4qiP|eD= z^L~es+!xjz-Z3YDY%jC{OdX`Gkqzw5nLu6`)0#@bDwlxA#VE}g9cwd-CyJTy2q^qC*x z`mIZToY@gIY+n%I%#;SlnO@s$M3l^`(hIl|%67(!q6>Kc#q?ifb@~$7cl59byz^iT zR+ziXLRfGXeCg%dbk-9py5IA$OM z53>o3Dr+V{Vh;Mw^+CgC>3-uPRKCbA_*EZ0v2k_lc)1oYin(T*09_T~;auBb5Ss>V zJs0;j?)o$}J>FS=Y9j6IdMAEp~j55t{gf2my%#D5s#9Bwml+t#i00tnjTy zW4qMOF1NlpMqIN4XGlhBbM4-5#Mgmapot5TR}>ycB#Z{aw$;l}hu1`a!~M|1u0z(* zXxYM|9oB?Y=3N32Y4-9s|8qLQ>9`YcV)Is5N&mcYH74s2Kb=i`ZENKn)>_pHc$?f5 zWce9;x!Q0Jw3;6FeUb}dOFU#0nn@cSC#vMOpHgV{;NGY9K7mDEpJiOJr;WBQ5R9S< zCWFmm4F3xgY)PS1lY{rDRq3$Wm+R?3#m3toi1w+f)6r}f^2HNw<^s9@XT77;7weu~ z5}eubT=1%&qhw>B)39V?Z~&n+gM=SxBY0`FO<<2g@`t#gLl*?tmxv z)Z!ud;ckj2AL9$Jq7zaYH|GFp)HS#5Bt}ANijhSNJ-RiP$=qCN0eKUZCTy9L0!tWf zJ;4MltDV@w=hWX<7diJ@f=R}X5Y8-^TdND$*xX!4wBI0ecMYk_5y_+JkKiex2hUNA zHcSzOW-SKyx}Od%fS)0{-p8Deh>BTwDzKF!hK~?@@oZv9%Ci;d$qrF;gqLYFqE31R zD5d)oTWo;;Ege?IE&m-Ac}Vt1p7PJE1D`sWYtV7R4(WSrVR|pw2xp)s024H@r8f&K zxI_-)T`wX*ueL;Vg&`*xf!rMyZD2QKXRm~Q&fEjb=4ju9_-YO1xTuy+&UCpDuQZbk zT>YZdu%1@Jru>#EqaThNQ%=SaZ3KA86)-E5%MjX?bHRO~S3b0`H-W(9HDdl~=RR)u zCX&U{V&6eGy$>2{9mSNd?!`@j(OnzL8v(2>+QL}qMM5o~?pJ68 znIl3MC$&BAC0rh*=vZlh47}Wm{NR^#+ZL~P*0evdgL;Yd#5z;o(Bjk*JJ!} zr3@@8V?VFuko0h+_GQ`)eE%ZD+mPI#4;@owQ$ogdlqtO?!|q;pR47EJ@RXSHPirg7 zI7X}(kG{;8_TG|>bw1^+-voBR(N2~fhRw=Y&e7#oY*aa!fu+3huKb=!%oEW{(n3~3 zIIyp0g4J4Nu-L#OB$N__#PRn*G7MB5xHvDFI&*pH)l{WU91!ykp)kQb#Y2rm!WZGe z7ydq?eMW9`J@`Dzk#_dCIox_k4-wpeTDJ%Jua7H`8O_MF8v6RcTm=&_{-HYW^`PaF zt%1%^#0a>7(wtkn7-*CG#yZ#l=h{P>hA4UBe}85D=kK&Wew~badWh&XL_KY#od4X~ zZ;lnFF;i-YEs|iCR;F+|MKP@wXrg?hj~rjm>d{p1pr1MRySdIN@FOZ}Ch!!%KwWXo zza5ggBEFjZ0)xx_gb9N{7nShJ@zhV!ArA|L7Ui)4XbpWiWbHsY-}0)S#~DgqC-45k zVJadGL5x>-M!A9L@fou=kB%S>l&cLHGGl|kZ&5d4^EC9VlzkOtGp|l<=AepGeq+?q zUwQm;@;H$QZgjP#N=S;}^(;B#pjKJaN|>kf{US_4>)eXFwx0kX+pHXYFzr6Zlct5) zjQ%|aaPDHpeBYdA( zvp?!S?vfiocN9J$v6Xo~+Je&O4yW6g0&@hxHpo$#*OIea(3@sS5Ar#$Gc8|KiwS|z zQC|B=P)`ET&eR2Bhplsh-d`dKC1z9jdcEy_^XE@-jM|hI6G_!buRR=rhhb3%=JB2( z559G7WgE%=>c`?Lo2F=!V!PR0xO-9!9g4Zr1?+nF=<@4HLe$cWb zXr3IK2(cIak+F2A`$C{@u%mf$;r=5;n#E>s9AswCn;P1Kc$rYq9etal2)d z7+(6T)I!IQ_)|h-@ZS9de@C!X^tF7yOu*H0_6|pZgdQRgkL&MGwD_ox|Px}FykMeFGrDC z{OtM=^>+|C=;Qpb;16+#(cARqwkW4r3rAh@y_8rRe=+`{uU6A{ZgssOVn4i27pTw4 zUJ|~M6@lRKlaZaqxI7mym6(Nf1B>`XS?gB!_-_@>2I||Vz-*CbMGis)2^e7jKu8~? za1h*FAl5cAdQbo}4HR$`t@~X222LxI#S&&_+(bTYCGLP$m9i~+oDUX`FcBjd3)GcaN-!FEQGL3(b|&h-z=Js7csg+gOeTKg%el>9E3CzRJWWQNjX(=TiDqD3-A==yb_qu$C! z;M%A8#R^a8-(!2ggO{@I2~mYSVpzpAQNo|{(7r%SI5q0-eMCe`!gUqK+6+k~WQYU_ z(QronkQ0pG9jLiEvoOmqwTtF6(VaE1*g=D`MYAYoIzDPC&0;l`!9C; zZntB6sNZx(WT?!$jHK}3OUW;-68~Ox!*8&Wh1mq?3|!0}^-yaE-S;R~;Y`R~skw+X znam;KvDDWJ27-r~d)n16mjgW%A@?n?24IUmwJMB~oxtd9D*rU7zdB%Pfthr|(QILr zjke?ZCo~9`E-e=i%2wAOW6hsG;gK(48oS`lG8e zY-!`tbU{v8abS31N)u&|mh9T|=M3rzYu_+ge6412t02W{zT4SWo?}lj>kiiLc+z=kH=<)kn7)woAX+iy+{=&w+q+Iuyhi^AG zDW8&aNPpelDbiN$(5Or-^(Kg;0*`;cxSM+hz62Z*39a}{tmWrCc^+9XHcHFB?oIK4 zHAMMUvp~>XLG2XtT><+9Nu4{Z)3mNPD$mg#)PCOEsl(}@&Fv%mj?2~4^5rED=tQ-? zwYq_-;%KC%vm{SqXW^KIR&Y>h4ZCx<6hw8kiguZBMe1&IJo9fV^^P)`rDfR<%pXBR z!3H+)pC3^(dr`>>Z}94#9dfWmk!G}vjrp)M6#kS{{SJ0e5NuU6-BDyz6X!o-(~j1& z7NYwnnl=|Ji-I3*Re*+^V^M0xG47KSZdB-FkhEx4+k~-dyI1Id1%jS*jm3poc+Zn3 zP=z!A{CW~o5ulBV%hplKBp<6CZ^jacJ^%zDJc5(2u@eagXf$@DKrG@8*OAngD(T@U zY!o_u5C7o-Gc;;^Rvu@J{wABG0QY$}Va8(8FO~UP7en2V-BG1DQ60(+JN2cE(~BH_ z&#&(4)7qRI16^~UCLrR?RAw*ZzMDkKcb)-As6+n)>6qzrfNa4B(zcSjv+ovl3J1p6 z&hgy|Cz7|y;s#Qi9ep9#gow&<7{W#lGU1Z3)-6&g?vOF3-_$PXeIq|#?GWu47R)KK zpkG*{jL7-1&1mB}un^(v0NF)|6|TLxn{?V<;yCr2e0{=D*n`CGjQ)|G>{N(o-2mUa z)b5w+vc#$fLNCFH49m^k;iW)S00`Ls8;rW85`TBZeue)=zu8fKhykys*RdGjPHK|H z*27hTCx@0^<#fXx=DWFH&UAj65PA`0*AGjYznsTxN><5tlNktY?E93GSYz5v6IEU3 za*X_?n^Eu?oehYzJ~!wb(U|3RYcLg)fzY-=u3;dqQ{qs>#Fz^vU9HRUM*vz388!t=L}!VZRGfXRYF3{t3mj)n=frw?iwpfhjh@qBVDNP9^my zCdIX6PlY!cPb{JpX{M0wV|wX&khz-Yx8Yjkk#qqXW~-vu_hKsSO{-AR3zDhVHt^hS zXMi|N9bo)LdsMgY>SMPTr+|V-A7u|PvjL$iS3;RuF05+`ewI{ysdyA5X7ibbx&!5btW)3>;q{A$+0VAD4kdE z&kuAmBrItnF^^3NMfWxFdqitqLV&gkDN~;;G=KKj z-Cw{UzqLFQi2{Gku?I!d(}U@UpdhHi(I)?3>IuSvvD8d>+3i=iRDR*PgSS@s z##~@qza==~^7ANb{T0CB&^c_576#rP75)9RJ0?F4-dtv+`NKm7Y`FrW#`@~}>|G(@ z@5$?qP@dIrS_Nn@ch!pFF3BqE6cfb61`5#EPeqFF^vgoJ;^#o?yD%}X{F}EZxwg{k zNf5X#Vq6AAKjdE+0*_O7Ml$GG?3-c|YDO}Guq3bn)c)n(4iSj5#p(@+-S5ac@66>P zy%l%Wp0!jXVn}Md+d7oX#U}DaRXfq5vyQD*43r@Tdn#lKq}CyjPE%?NVEm%e-7t{G zLzn_4B!gDvj|f2D>aSh@ls1UdvR?yUt*GiWNg;!Us6oN(R$#JMHm%oS9Y5z5$#_j6 z^~3erES!j`pxot%skc_YaT)USs1;e;r-(mlT%`ow@Pv=MgR!sSPLh5*5WC6sp5TC_ zo#^HXT%@SvoWirKz5pBilNTlsa@~u;;;0&M0Y3-*!TlOFBZc< zig@JDYsk?F(G<+CZ#Ga!{Ut5Oj|Z_Gx_=m->Va+?AEmCnE(x3FQFB~A$Lp0f#OoqP z;SIj3faVy?DuerNm8~nFai{8j@gxkQHv$t+KP>nNwv5+D7?ap@`~CGAoL&$jv?RFS z)SeXG6#W#a6=R(KX}=!l0CA#jqVl&0Rh*Jht?XMh0*3JfN55nZr;th&6Em7Pc7VGl7`MRaJ|%U4^%=JQ0~QIXjjMy$2M zbrmJ?x~p4lZq1(Evs`L=c4I3Qw6NaC8TuQL;B%HfyOd)RG{ZZ}%!Jubyo-^$6W3vd4`(-FEx{ zlF_tHI|LWMVj@kQ>_{Ll?I72a-{ho?;V#tGJt7L`_5POr{>5(JGrCa~oY3qXSm)-Z z0D$|z)@xkkBqAAGuXFONB*VQ*_b8Hu@|py?w&jOc*tDHEV(wRD;UAZfSwe|ViWH08 zU}h#bviz`zTZD)6Y%j!n!|ks?kg>WVI0kb!Zcr3_s-io&WpUODt;&7`cL-0oi$d^U zpWL%u!`ZquV;S3)ffq(z<*l*|`NLy>;;jMC8O7+KwQvHd*}K|SAo(??=)kJp9!;;) zKV)yci3C@%AvlB@iJZ!FQG3k;Kg`pJ{_|VYz~AAt2C~M1^6IGi;p`nD;z)@zSTv)w z{tQS6?yJ3C_fL*hIrncedWcxhtlbo5Vv00&q_JNla|XB!|E+*IL4A`H7%fxM$kGrX zGGTg3Q1323AY4)o`5#dJ0rely{sFy3QV!!86PyDS_`%8oax}t_2ImZKHp2J^%zwaY zX*R+x%La)du>VgC;zZ{P96{$6iy~M_kT)ljFuTFoMza6-Ru7Z32#Qi`3Ky8 zz-wtn!Y2*|kwW1hfnq*bsluU?@c)6}9|&8ZlZck7|9yGR!JP(~g&Toc!NSqX-I|n* zlN;nR3`+;%Ya>DeK81n?$au|7pl(Kn?MQ8qCLCJ;@4H@0qz#iujKl6ejk(AfsTtGI=*l3ls_PCdC2*}IFB$vB-ccrN5K2X@Cj+2kl8bTWwzD)?A|;3bY;7Xc$+y!$JCvhPRP&oYoS5vP=YYIX z-k+$M3Bk_A5yYc|5voLxRSPZx_nCVhz>4D80wg*B0pv&YR?&JgIxm6LnLY6(D)?o=#h?$ltGrTg$8DNmd|I*Zt6m4IGp* zB5iT{`&WURbL;l~r(-+CJ*egS7$_+C-Y4J-f@_zmbhK27+{Z(K?N7D1&?g{e)!SO}4Md79!aUb0O3^8sldE3> zDyI0=t@btV^9TugbJ8LQnbev++p`;c$#P*2E{SLn2fDO=wUDVgkEYcn)|gaoHKGhF zD<72P?qWvJr3{9320?SN;Ul3pgNK;M2G{k-3&-Hl4B%6Vy^tc*HwP=}5(S z#@-S|nfAn?y^GoV*?D|k+Q`D;lB?y3=*YIAr@x1sb#)EWkMOs!+`XM*U!fjdtu7(u z%!(>v->cO9=9$c2B}+JdyY8$#xzomsll~8z?#B(v@^0QckV-Y%)6@aJ4cS|aPKk-- zOEZh(E%zNmj~C6BDoy8y7a&idHtfA}sgG314w)s{0x1;o>idIjAX&oUtz75p@;B<0 zO%*a5+g4k3yOO51rjq7NtmU+yZ%S1Lz~kj=cW2%d<$-X#GllV7v z1~<`RZ2@M&Vy!7YcvPtXkiSMl5adu-k1KZU8tx;7M<$*RKQQ%SIhjW3Pp>_wG=V~1 zrdYWMX0@-z6IroCb)-!=)Khsg#H@h<2H-*=Y+=6rtiXysey429!|McUP-9 zH6a~C-)38PE+LF8wq}o&79$bs^->2BZoZ;>Y>A*PW5}=?%2z-V+YWQ<)XBwyiYh|K67rxz}+LrECP8OI_06QPOS{>MX?h z)ii%1Q$&QRxACWZY)+4A+}#E(X}qlzr^!)om|c7ij|G8tNab4 zA9d$NJ(qt1l~KyDY=foJ;AJm4XXoP1A%&M%{ib3EN7l!Rx<%N$c+$whmuc1*%V7S8 zkno?17Tjmh!zrCpP&@B6MERB~?_V9l#!$8Hn0ydjR^A4zM3O6d z#H$<`Ek?+s4y#vpfwYHDJMj*FjwX^ z8FRRsQtvy;BXK=@En(zU$Efa~no-?iCvvNi=$EY#pAY4pW!dxW%W%i_9Oi0AN8vNG zl^)TBg)8g7=N;#3Yqx=?N|UZG+;9EnbJvr=_3KEkyMANkY!e#TSHH>NT zc{1|0NfFS4Q4ShJb==eMn#8Zg5P0~E*;ZwEkNBSb)!KI}9LJ#euf}!3fZ$;PfeX7} zwj>P@g~R{1_GAs0pCW3JpCbNg1M(Xn!USz_kuU+r95+}{yUrQBQUarn5->rVNz_UU zg_77fv-A=X&HA!7Z!bhiriDBN>xMooP%`WTx|1W^6y7{S!q99^=u$@^i3cHb zo3dV>^&;>$VJiUde193_;W6i8j=eD3slXU3%7zsALe8SeKjs2dAUdL-L_J@@m4DbK zrkH?dc|{kxwh0AXT?T22<(NC$-)D+kAU?yv=d$G(A{(>uXU*&7x@l4v zlHIOnF+P%}Q?*@f3SGSFA(W6S{vg%pkd*>w+TV3+MBc?}7wM}vORGwHc@o!Eevw_g zM;%u766|iLC_Qb4g;8vy9PnagOP34fKw&Bc(a@4c>3xfFgI26!l~94+!hr*l7Zj`M zCOvj;BQq>M@rR%_;g-!U>$zPZ52xsDs3c_k-k5rDhfsoni^QC#Esz?WF z#~k^24K>^hL+IA@oBf1dNs*N_cl?$3BG|iQ2(5#+3iEtF)W;5`zlCwbD$SKTXd;uu4O42_h;FeJtN zZvY_jn~wp91!^Ma08L~>&-CN$xx^w6#T$3NyCRdz7&yeU!F+bA3=34<$wy}X`;HBU z2WyUuJp~p!eKa0}eZSG}9&WvL;*9`Ks7ak$@-{3T+i~UU*U^vpW{0Fr{SAm!F*Sdv z##Lq6*XqqOm|X%$`IV-4V_y@^RY^$V2VW}tBif-k8>WhhTYe{C+G-AKAaDgZq&%Tn zms|K{Du|M1sa75Bqsn>9Nf+Y9b^?jiq(;~lLiI9jZTGsbFimQxEu_c6+*Z0j7 zJDM~1Bb?uwIy!rFDOJn_8iZKUrfV0_#}ilX>3Q#u*%G(!Jdup8{EQr(-g7%3R3I8f zi&?i%zwi(t@TN-}!wTuRNNgA-pr8#qkd3tY`v-UO;pLzIQHT({{}pR3+I%EoE8uMZ zqug4M(MhWY{=Z&qxmY6gqy`lvQDB0cIoUKpxu2wHpsjaO3Xu6bDMky%2Pru?1RM8% zq@Wk5=JTKbe`M$3CutTG5H=7v{Fft9rE6&Z* z4^F+&ZJs$B83J`QO$Jidu^L{uF0ZnMSPCQaV9Gd?lBLsRpXMK2_H+b1#(1M9f^6`5 z&Yzzze{^g;_BE5(4AC22nl~tPzPnXcWYjG7N`_NhFJ11BvUf7wkEgz7!Lu*WG4;-_ zh5zRKf)bcqGeJmb01n`~;-PQ-cKFc%HO~u`Lx>liUlEhdF9KE)zk??bnJto%ThZxUxdd=&-6rA>55YuIx^t943dsPD+;LkM;uD-A8Q1TKWQZ1eM{`(V*%X-YG6VVVg5c-hc55c1>Z87 z`#8G94&yHt0<(d|Xy}m%n>ydilUJFO=H`vOgg&swfHXq4$opyynlk3VMtO2mH(dIl z0tn|@FFASo&%=*beBXs+lYJxl+{qDTZM`EsD&6AF*uAx?Qf)=Sjg!Y2B>tV*0dwLk z8Yd@LnqYH?VBmI+TUj3bCPP*iioxZBGF`g+bU%A6vBowg>f;|UOQWxtZ`(%c0mi$d z$z48#W#kvl_j5r7-RpLQcS-Vu?dw`14tAOF@rRMo38w0@Ovuf)Z{s7yBgubS|pNTyV3whRxcp=K*o;+HA|AJ%aFVWw2CZ?&D-M?uy5;?{BD@Uz_cp4N_^Q$HL>`WciPeS?b?3C+mOB zf3uuyEp4!5rEvdRDy&abv@H>+WRl?EoFGvQ9@>__Xk_Z(AVbuD`=2*2D$*&-L=mz=)y%`Rigj9>bi;kM=aEP-y}4aH!BPjpp}}@Q!j+!m zL5G_t1GzA(lxxe+m^$f}?Y`GN>u$TJBs12qWovooOCu_hO2=0}#G@;4RS|3S@&$&K z$k`^`C7^Ra{0l*cI9&M;6$U*pq~>wx@mKyKo_g6hc-Q7ofwN05@(?(`to@3CaDsry z1GL9b$TKBruDBxXOw9N31IoYI!w>R`p|pK$;*T(P$&crpD?BqZtEO{&fYMRYb954g zPVeju*~_aa+>|T3H;Q+Oh@=wTmxgzV(GZJ_^?Qt$*!RIuR6+B|oY`AoJ)?iq+_Jy0 zFdEo9$E@mzpE`J`pFKn6ZV%Z2YDP48-GCW{tqoryo^|D`d3rRw0+`{-+!Va z$%VI-4^X0qh6OZj@{wu@i>0vxB>#YA3a=M!LB$ln(MvCJYx@p|9v3Kkf%E*_vbxh* z9~bf7+qP9a#Pi&X_8_|kx_N+5Er>S~tJvMas0b537 z>8{#TjqiJ0M4H*Ylt>GLC1yxltn5Pz%xrG~fYZ<07Spe3fbzvsk(-3aQ&y^(jT_Yfr(#lN+pHubCIJZFW(w@N0M2N#%*JQ&&)= zTnxZ#Ep$mb69Zl6xwSv$$s$b+u-Qfw5HY z{mPes3VC_wD;uriZesXuU_n*42UM>oe2ZiZa!`N>gn@%WCo7K8^Iyw2M1l0GfDQ6Q zA)|pBtnHW4KW|6rj_wnINid11{(b3Q$J=A0Qg4puL$O6mU28oJ=ABehTw)P7EeW3? zI^w5Ox*2ZyjJyd#{{a^U&@Gl|hcfu#CV< zoh_$7&1k?Uqk?dVB?v>^#g^G{QqNmFM^|gtt$gsSJ5qUlW?%HC0?LlJ9jh?*MO!Qa zhq)1a#LCgVm7kxMqgh*JB%x0{`k~uJI6j`*CJCm9pLImy^1USQ-99*5*EQRh)s8~u zZ8%t>xzha`mt78c5|N-|6IAS9Eq1`p8UNasA5SY^rrOu{T;H~}K4vP$xg9#IeC}Vk zi9U@g+h0hNW-&}u!b+wjTo{Acm`oC<#AHc>rnutFz6?0a@v|boid5URMzkD5gi(LZ z0~9wLqEg`T%BN#*{YLq}HA&Hke1+~RkYvTiG=&uR-D&^=y^?iHJjOibOP46EYZfM zQKi=@cr(N!@I1%*qJ)~648iveWV1I8=a;U>NlhJVfgfzlyX zndFh1qcrBS&4M;YT;Sv1-kof?Vk$C^m4Z`|#tuXQ67K$BQ&vmO96$j=AA!RRA7fTy zqVdY-{yCi(C~LY*3%U_Nwl8~JnbQss$>x@%)7{WWLX=L+2TZ-o{=HHmNP&{$9M&SzUc`(C zP;}8MNOZjQ;tnnU45T!OjkEph}dG0msNmE364ez2p^{Pzz~D4r~LWI zBF0kyORCZvC%TVnKlk!^=HdCcvGm#t)y4XFb~QfVr(ts{B<1r~k!LyT!r21mF24YG zshiU42;YHugJsn!{|R6KD-jDR_{t%)Es8^R&i7{5C*4~21mikFjD{l9xj=Ra<>RsajcR^uSVykW~IDB$jbC{0aBo99J}ofji@ zNGT>rMzgfZapsvc^~*@suSyL0Astzf;#Q|Gxn{lRg-&FZ@kNA)d09E>WK#Niu(dDRRg50+9Y%{)70O{AubiTbcy+BgOM9 zIU^e^shWC&ELX>T*qUWIsa^H3kagHDB|@R547vmXkf@CIc$GMa0*p!;j5GAsA*_KJ zlzV<1!*A`e3u~Jlb$E}!f3WVIE}R!DptNwI--cDHw34jl^^a1Tlmt4&s7j0!D1u|1 z1fgrg0WCKhDX58ZE=^Vl!AC8HzvAk*KHnwyh7iDLH@ z0LL+()n+VP;&5M>=V6}+4pmc2Q~wbk!VOqkQcy23B*CZc`+?9ie;VQKCXvO1R2&F1 z9E2X=qGO?di*OjmMuI}k2VOdYqX#!y7NiPx2C#DE5nZH-=FUsD+b*eF!;(xBheFU0 zTUD(F56}I5n@SB3NfJ_XlFb!id;aGlk^RC*3hYe-b$@<5T%d96+Yh&OVx>H(Bg#E! zT6<)z@M?A0`VBveM-eq1dL``Aul0k+ETsuSGKFEvo|0<}xU70H7`+DZkLd~QF^S9a z2Y5A43$i4MvpILI-aq;88tl`Fx7L#ZDTaI?^w(WZJEsItYwESQ;!+DFk_6w=Pv8I55q8|W1set)@v!t)U>!XeIOH-Q8yD(1dbIJOLuXxyXp=$e>&2R!R^ zw4SzF?(f8QLDue{&SK6ZE^@uc>(Y>#0iu%K$UV@4h(||0^hjA^|3=AR0UG^l!*8Md ze6#ZN3TBD0A$2&Nn24u)0;EA7%ro8yy-0PN)Vg}R^9>4p&mL=>&cG+Yn%vK3pHYsa zQ<|U>l1PuBpomXo{o7>N9E4snOXXnJWSjBeFofaR+9Ia$+lb3c8B9ZSCer;&0atQ6 zU%?}Yurv%P6BLOK!c?G^sscW5Gkxub#NMF`2h(EZvhyE zPRZ$bh(}`URGhY}FcpuwY&A!wn%nWvh4%{s^ySkA29>d6sbe~4Y0jE;O=qXzbuvbN zaN;mtKkGBiWzmW2{Q?Z~N0E9y#rMHFr$NPX=$h7)Yx4m8%T?P9i%^q*!utD^q z5SAiwjW4R0$D4z<}tY^pUQatn<~hkbA!Oh=umTTJv1L@2?qyb*{XLsW>+0Hney9i6%Q z6@jSa1uEWW#t4g!XaO>ORn)~UY!EU8oN-rtw6Y&jRDp+Ts-{`F<9X+4c{hjHdog!M zYmkXrZ=iJ;pS~?~x(ZFZOQ;ORg?5^9W;oVJ@geL-VA3qtg=EhN&N1eg<(x0gzvzai zlp-!=#-THBlschMY{urufH|xnK{c9>F0@8&bMK)$K0vkEiS^j&@&=sfw^S-y>E=Ur zsbJ$E0-a{AJr|@Y%_|vM_F^Ja+Xu~dT&TZS(7KEgBKkQ%dVsO$IzDexp>_dBKAW3* zy^yv(E>h$Yo=I1)-VyPIZcVBaWG?jOr|y>$PjsS z-oEN1z8&akq}y^cc=9-{*})Q{2vZS^@>I|M4qFa~9f7|aE+J8PX}@vxEgKZgeI0FJ zdv@l#`5u_Vk!J2&-S&=GW0+W+xlQi=DRf59`d{67!ioT)_jkko)JZB1odDHPw8+OpPW z<3Ob?RW2dU6yv%zM&p6qr%M!1BqdyH2}!MTHp8EfuZGU~U^1`->=AL=t)iXn=9#1bmB9L!`QiuhU(TfM;cQq!}bBr4-RY+jKI z(g-yOoL{wDlpiC%0fT{AK}4J609kBzSP-#M8da4m&IOnbnYs+oBu-#=(*QUsNI=!_ zy_CN+E?SO(+8i9{d*L2s8Ud&uydU<7NtFW(OM+vYLp>lR%|C)Q2W&6OIE^gJ1@6ER z7=nz~h2SO;RJOh$a^Oza3FvoxuAfaq8n`qbvf8tjdvrFc$zJqBTiw9M7^B|n*k2#C zeyScKWE~(lDrn#;xi|$P2iAH6R(4qoUgq(|gy7q(V@jmJQGRGE;5{HLEKvwqT9yd7 zK>g{l#xejL%LK{~NLu*gZsTz??`u`Mk3V)FiwLVb`0khm5WQIJi+ctX>)!7;X>VjO z?BQAxdRhUgwq3fsNE5y#C8Yqo)!YP(!}Kg+cv7`s0u&lWqbKF{JM_ih;M+k<@EHhA z{b*(U4`EoQ`i$W_!U}9Dzz$DQbk>b(vZDJmw-Nvmv$ldhfaVIUQ3Q>JXTZMYLAoCZ z31ewq&bsJ`784$s;>J$x5<@Qnv!cN1)4NBtFH_G<FGi3k@bnRJFSQNbF0W? zS-2-CY~Kd$?CAcanoc_Zwl}O=;@Rxe9yXweJNZ``LK27pG<$%m*gMs82)Eod9y1gK zUL28qUNu@HI7z(w@W|#`8|#ZO&sQJ&ny?Lo05P%~O6WDa$A4IlFL+?IR;-#4ncv4+6`FBfY6L@gcQdWuE{ z0))pKHMG8j;qBX@OdHmkX<!=8-m!YDnqNY%iCe+iyr78)F^L#`nZJ{TPC|CrPz zF~S#OLMjIBB%A>aI2?=!{UH^+e?MRgNwe(uAY zls8!da=oS4X)frJbaEYy(T~%vu-ledpPJYB_=huuT@M@mvC2HTR9*YoDM+w9(!AoY#-DN-V8q5OozqBMN^{yPqpj~YndnwvS7(8 zS_+IGr4`#_G;I$VCDU)QK3Ok zpw+`TZ}f}DdFzqoE7#-nnpc@-2#*JrYDVrZgdHbEnA1x;}TXSlj?!6 zg8+`%be8}cLkvISM=K!v?rJi?EU5*XK<{(j664Pf#7hIn^`qD5)H>#9FOf;Vqjfd( zS=)D~5?~N{4W>2ZKjue_rNRLp1eB`#18RU!M@x@eK;`IW&d} zX@ktkwskZ@5C(TzBs*xIKBfWVkG7y7oZlMzKS;BI@5mkq1loWM!v-48aADMKMCfJ*!<0s0 zxpt_UPVgE^v(KdFcsB@h+%g@p$3}a1RTk2gjLS+Eo*RC&yM4DT2MX z2AFrf1VJ(d2}?L%XhEWP(4S`K%|(SzIw@Q_A0v{`zbT=KULRMin0UQuu`FMRWimVsVVhpKY@<9h9@yvr3KeTpu40 zK)8ULr4-dWFFE#j^;rzzb<;~&Mo8r!O@R7i9JBFbx6L_g-vcM>>Dg0UhW zCI*fM6{o9pk*fG)n^ufH#$=_{@ zxMqOs2IWdZucsHdCDH)Pr3g6`Y{+34fuKb#$BaboKM~bx6?sW4%M_u7gU&I0+OjtD zES{|m)}TuQZVr-47J)&Yo2j67&xANg2%POh|K!L>Y&r!7AIiKmIM2(1^T`z4Mhs)R zH>;b{hi?{RDDV&$#2@WNSEGvgQ7~h(*#<}l)dkcTVpqv*p0a25caU-DV9@LPGC-m) zyYauZDXdl`qTIMC?YCqnDYz&Vyi;T&-4I>|P5IkwKs(CY2Bl@QDiNgpTyP&Y zDN~ZuofQ>TbN{-j>CFCNg5?-Blwu*b-ISFQFkuOfy=+Twm<#wI!}+y{xlD z&2_0nyr(`3kbwaEbxB9&X#{I&lnEfuXX1@e2>in$SUU|7bj^f%BH1hsf&au?o&!5S zAl}?UwUdqsTXfwNX@XD4!ZgrN@oGbyJLCWJ2gAita#sDKyx>sOUpePdTJavhJJ&yM zKtMaHy>)ESv=Z#BL;F&&_DZ`!dwS@Olgmloi(Pl1>_%-1VWS`gVct91Xal%CnzwQ9 z2$rF5mW!5fE1fd8;+583c2`+Qt0=UM-eSY9rd1+~Jkir|m5KkEl#fdp;HJa>vz}EL z>$+YFjVx{)IaoWhA(cAI#`gdV*b7CBKG|+dl~cp(*VT9#PZK+{of5h zsgqNr(5;)vB%r_`Ks>E`DI_!CV9&*WAyRkBNQhEp87QIuJ)HhWssgSwHJvxa|5NI_ z8v*E+L0o>o4T$BOdc|Fi4SL#{D&#YuU@?+OLVo?knm@jsrCx$@@kUlJs>RgP{#+ei z9liYS9$(+tI#nNy?)7>@kvFqO@dk+B#`+@i0|KufO`KTY4$tTBm-p1ou*uNY$vQea z^z=^oQv>T7Lz)e306jY2$f5g}3jqJY;;=oY)N{kd&vtUJPgVd@${}oe*d{G^Ya8Fk%O5)^ zu_EO4BAD=5C*)GDtb9?cqalCF3rl7}cBK&}=d^XMP)nR{UA?g~4J&A!fnH;Jp(uA* z36$aM55AjJ^@*8c8nG&bH#uk6q_p8D3=1)s0a!jCs=3POn33%#nH_CaLgQ-PlZ@hq zc?FLN!yZ8GvYev&bVkU_QXSXVYwkKkYx-$7?nq5OX-LYtzV2u@$M+16=TCpN#j|nN zkU;m(6MWPCB8}W(2FmZ?12xoXvBrU~SZI1sv0*<{a5rW6Nmyf(MMTmulHn^(QSOlF zLZPKcL8D;ry}IsVS2nxWqCsCMiKj&ov-&NUYXm^L$=$vQ-jZ!wVS(k!79A?8|D}J# z87w{;)oElkj~rf3U4`?}?iwWg#5D2`l7n?N%Fog2+sbSlZ#C-d>%~nDvG1xfkLL(Z zD|FGS_=+UA?_uls=SJ3n4h)D^CwW6CMnHGWad*L+ZY#c%>{iPL#vqa_rDAxhZI(U% z(*YpGOfylTP)>8#I$It^GL|f^Xuyote+FK6{&Az?=8FJPRV*;6+G1{i<c8bZPRa&rVJZ{^kc4pS z!q=IUIf~k8^J#e!;^u`dWl%gJK%d*;RxJRncS*82{hpm;bM$tR3oMCZ9BgMu-EU1( ztwNEX2HMH^47O)!HPzNDU)7~IKC0@s;K{7vz!iecRtd4u*I$VX!Ide;8LoNZBkj-% zF}(5>PVoU?QX0O6MT6@#UU`O&2Y2Q8kT{5$EpCgt?fGS^ucnV~Sy6l26ssfK(pG?# zmlJOSHiI5jp68}g=ivx6RdMa-8ecz(`KnhD85oqn&#?v#7v6JT|1{=cKO*xX!MSxb z9(TeUv4t@uI{HQ{#GokTAf&=%bjoNZ)0*4v)m)d83M*%uW|$M zu+Drwffs~SP`92U&UDQjaOmF;VZz36myioOuBJ^LVE7X(Mo#XRm^mXDDEQHgrt>oY4PDYeuw4N}#}O6g-hEEeRAZ_gvX|^ej;k++ z@v;d5NcOY7Mrj0jdyg0mG8?O%>T*R1@1tX$E5UN;rVDfD9dl5{YI|X_W9y}7!q-uE zVqf*#t|X6h-n4su&Ys4!BZ)5;XPxh78^{3xs;f`qB8zr; zu#v<%ydDB_h6OiEHJXUHjuULJL(gM^#pvNvxtZF21t2lUNWq3w!y)W8Fs}LAy|$c! z<5&fQyvjM??$4Z%B6>Lz9N=7l8;wZ2@xAnw9DE}D)sC0*e#bmiNUU=_hE8CPH_@iV zA)L#MHTZnIt3bxW(p5l{Ga#zQ+(lC4a|k)Ca6GxQQaVO-B*zI^P6Iv~&E`56%Xr_o z59&`jEe7`LDzG7;ae0n#hNW>05j6jL8}7xs(I&Dc{#cRuSqWZsQ9MRQe3)9iRv?dU z8ViisNmap-z%{)b0#`#pCm$_QQ$V4ZhTxW{r(_iMy2=Io(-@#p=)@|$94ck*bg05K=w5ncTxf!KoD6lj2-4)AyJ(z{RbODpJ)RgShbn0e(v;ZdAyYJy zRzDV{uF4j=_Nftpkp;d?@`gSSfTT9D!VbpXLq+?wEt(xqKLbiUwjJ0J_X7 zGs=XOj^=ap3J$>eU30g6e7apL63*YGJ>wz#Xg|KEP5AZEw>DZ)M{O~FYv%Ho{us3xoz?2KfjCZ z)9f_+`}(n55{H4l2qS#F9AS9ivI4&-Ki~8DBq+>^QWc=QZ0t^jdJm89)Ap@cS-Dyv z6)qQqb>5;UaN{1jEfWZ;t})tC<)ao={Q=hxP`(WW+Y1X6{LT}lWP42pi-J+X+0S$G za(y$Sx!QN(p_#-_J#i9bOfJw}A1cg`5z<m$V3abI$R51y9^K3ZzYDwpku{EgN zBHR;MzXc5C*&Uk6Rh;grqxS8#_8H34;6PrF0`lJB{t#v2BFxM~y{}%*!%27n*7f`Blfsry(Vgdx3!= z5D^z)nKou8FTxeF3L1M|^kTDT@FKZOBN&-iQS;*ri{uHw3lRmgI> z)|5Sh5ag00;H%7R%Ah~jQo-E+wK1QA)CNdbY{mGYj)2oWgPY>1Px}(Yiiaj8irk}S zYX`3Cp0qE{w|04lR6G5!@2MUbj~J8MOk~Yk@lzZ5?J_GO{qn(Ok6TqJVpdJ?M*idE z>?jvTMj3EL zYca2=t8uJEHEtMMnn9hEztA>(OTXHj{VN>tMPz`{;mm>gGH`5dCYs?uiEs5!ib7Hl z?BIbxjEdu)lky`Z`uNuq^Zi_9W1P1~nwt(#TYr1#XaKEcwWM-)?Oj4F;hyjPku&5( z8Ut$IsOU8f2PO{fW33g15_P;ZJP)9`j`?FUW88l*1WY`05SA%D5BI~dwUTD^7lp)V zR5km(n1Tj4gHX6oMNXSIHXU49e?ME?FTKkYDZJ(v2b3m+pX#0@rXhzQeiY05tzZP?0OhZTa!z#bWpy~k+g|}p|Oyer2_g`l(k=Po*WIpu7M9!5D3OLvV;82#L z7%YNqv&sZ00r5GJzYlPn>WL3U(B(rh3JDz;W=hk1;s1hpfPI4~78D8yTA>Fr`IUk~ zQrx;p!@VT)&d`6uT1Wi?h}4C4RY=FcqbKh)@069sfDy1N~*O zoef_{O8HaLc;!|vqHev4Mk3Jo1@O&F>cxIeC$}*0qYVKB7e%&(p>wFEVp^%@(QUi- zj79V9o59$hy^Ng!4L2{9B`=l1f=0oi=9sV6&H9>mf3KF@x7IRiyK_i|=YkC<7cTr| zWk4yUFzn=^;J?AbSXiHNbfRlg1w*xvAHH{Ed|6 zO-4H9kYcRiRAv12go{pjE`zp-7Pt|1y2#XDtK3|j$U&{?B7dtd_|I^5w&ga*6GG4L zC3>d3D5=ZEnV8jxE$)9I^v_pA3Z6D7asW?w1CrZ7XP?zJxX(8R#op2r`Da=4-wkAHApQ0oS5RummMxSHCmu^OwQKG3mS){X-(-FI6~ZRIx?`8TwLmXy%z4K zuEe*L71PYYWhP2Y+y52ZloNLC3bPfcC>mMUzio9OFYLP;-0gkY!%tAx|^i z3^U=Qh0n>iV9Z7eI*IPt9GI3K5HvcUFf>7HGpDi$5$rHucqc)Hh;8n3&EIh$Eq^5| zkLUaivN2qP(%Z;)r+kqE!mbY=2QG=oppmS3VB&$j8? zh`ttZ5|k?xGL{af*|pj12x0&EQG-*2@mNMN28tg1)AP6)*dB1#I;=noF?)=$8S^ zl)}ZwQoMi3q`kAOU7z=fHp%v;_m)$D45rhf7@#4^fwOG4RKlhD(_(XzaW1b!a0;eJ zOL;D!Z@hwX6i}PuGj?icq3gtP!dsFM6Z9;>QC0TwjC{(iSt2;_&jUn$TD+#4L=F)a zRP6#Yq!y!YO)DYGBiSR(=)<`Bhxv;EDRC)8zp(=0Lf?+j@bg`YnZOetkzJ6v*#*Pq z9dCMQ1hemOr-z&)rEPf2uXsJiP~2JbubFlV;6dKI?q1fOQ4^F`MJUT#qZXA<-7ABN z@MD7QH|P%4T})o&0(wA)3>K$H{N(moFX?wvrCW&OvF}i?sS|8EV8BmUh3te8>Tj!% z42OnP!#yfWYv87cW4a;uK9Fr$AJBbAq_F0v&6L27#h^Xk4KPW-Ibq zd!3xplDJ|Z4MgEYx3NZmdggPMwQxcu(sbllkLl%4#thum0&tnM%8=zPap~=Bb){5C zA^-eV&Rx%l2xMHMO1H7e{)91l4G!2R!sqdKh^Cx zkGY2fEc$_q-}}DsN7jyGQga zO2rh!6OQ8n4e-Qb28pvJX%xrNyadOEMMKc#VQiEzMBWzJDKQ-t2frIdmUfeQ#@<_| z`ZLlqynTm=ej#j$u^!r!Rm)R|Yl{EPTFfT}9}48OIF3X}irydV|Y25`T)lz-hBZl}8s{d5~^q|bI~ zS|DqO&j0?rn!KEja%Djyc0ZJ;D&VwH9}ZHz@GFFv@G}sd5Sn_>A9_T26Cz{@9nWpZ z{lX+1ePd{f^AYRIu-DqAbML0I;!?}8ZK8BhXG7)4nMpFMjOcf2I7V0w<(MCc2!!{d zYn&?v0>C@0^mjVdoqF(UtD7T)3awJ;!~8HK+LU*dw)LZPIkJG;cNP9H#hA*B*G(*M zyaSz~;eh0x;BY<>e}oCB#Wa_4ef(VVYD1&!UYk{srB`Ql&>%kd#zsjK<)1jIf-BSd z{A`VaI#UkJV>kCg3nVF4idMMwBhu0R-#BMP%mDhwx((;~)m?f{w~=|e#buypu|1c& zwdI0sLgKt^2YjvMC|>p?mBjFCH`VYh*9KA0JhSY;T`ZVH6Eu`M@`g7jq-Y8ku)^5k z97}@R`L+_UUHSF!0sTD~Va#fi28FOdnmUu_z)h?~H>vp>vgiO zA%K<9sLW6tkH2g??ed>%+s7rya|mFo1tm=$m^(0qxqc0&?i?_>gsyxRB8!b0S`> zP_>?!QZQ-Tr}a}c8BTEedhaHBXn+4p;Yw(4l3H{D8Drf^Z@OBvU)-@Jf3a#|vgOhw zA^lF**3hkEuwYh=WJy6x4uQxK(!RwW*H4*3ui^$i(Ut8y=RUhcUH3!%M|e-dF97>r z5fsp6tEYCn(z20HOf&%20Tq>G1qR(6{7P3hxRy%NptFty@JjfDi8px$_yH1?Zo9E0 zb~_a;h806?59wt*FXJ~m*xrkdLFc4!3F$2?Fh)8AL>_8%AY-%>;Q=WwE2?AvAICef zu=5U|s@Xv^%3uDzkNc%Fa@go5S^&ufT53(td*4qMs)N`y##C#|-}k?OMBAS0_%)kQ zqCWjFU&rbAMi?g#shJSo(txAVbF89<2#>{3GWj3W9`@T=MF$ETxiapp3}s~x<0mLJ zk&XsLVLYC#bW8B{*CNjRXZTX#s~X3E+VdMvRa0xtPA(ok97>wANvFzftpQR?(Y1j2 zv=n6!1~Y&7*O3*Q6$TRa?%%;Pm@jWdpbG0?5j#u#Uj&}o7NQ&B{vbQbD|{O89iUCc z>z({hkkpfWVS{jH_duD%Q0hoQH1N0)nC%GQdK80xa-Ep<@g_8=LxMaiS-%%A%@Xg( zt>BPxJh+05&Pn8=F<@KHu>i%c&`!3;&`x&5;PW9Cqv|S3XJLq(TztC$y5h>&Vum^GcH=%@L~6jbM-0;S#n*NhH@)1a*#QHP#fv6N7j_-GDD zI6mlyqp}Wx=hj(hPaS!4At*g3CX_(X+fMuNA`7ymq}3_lbJ~?CZ-DX%{o_h|VslYU znH9_tEBW9IChE~)>WHB&d@5^O`)@nqMC~yq?>z5dZEdi^9Ik?qB0sfBQoUXPAU>F) zN)JT=#h>E%uH26xp3i}H?3U%lENu3L+jaIuE9WL(d{bgay;aoJg%=a$I~h2iN3GFy zI(OsgaT7t8#_|W20nhRwBK6yZ5~fk`VzX0FvB;)h@lOQl59kY{s#PlTJ{j6S#s5z( zqo=}$Q_}!6WSkG!QT}U|!>E`j0lo!xvL~38osc5$w6<<(u}T1??f=&iU+%Z){&~}i zyOk#@Kz)CVm0Br_V!`U?>;2U{gQ5PDFt00sIEgrdX+9a)lVM&Lgcsy@}?gNNUe7lLv-Ogis_smGWsrCuZfONt10Qvj;va)8}W`=u5j z3r}Yy$bWjIsgmHg$|Nv3+?2ofxReuQnNKvCW&5G7Gs$I2xRe z&dw6M8;d`AsKxbbKDC+aZ4x+;&`vGAirmfOisxi2e~Pa$=oE(4S4yc>UlBMQ9xtyL z=YA6j^7NlZuT@)&rS}J@H|h+pxO5)A5ej^zmZ)d9LP9{qv~KlfWLbVdEH7{ZXdpaT zuyBM$c9-02yIDoxT|N6tkz|od6Ka_Lpxul>?vVP}tdt$rLz2V4y-mI?bp>JZ=Fb{# zJ*AigUTH=*lJ$p%#9y@l^KnGO<5o)=L2JLq<95*bJh~YFg3FLdsJvO9SzF?ty0^?i z_sB4*D7wgMH3`cg8RFi6luxmlACb4SBiE;2}L;V!7^1VsRUpNLb(SWurr7@K}5cca{>`5@&fcyv?++b!3 z1EeW5mjjp*me z93X#{aC&Sg1S5nlA-hMv3>aFSLwMxOBb1oI7|i}y6518Ch71w4zF$2{1iM7|>dlQZ zGuFU;2wLu-nVaOF>x&!;UV|eajOfN`%m^x;o|#=0D9IC)#pf6RB0psIK#Oa3G*|mE z7b>R}iSZFxd<^TTxDLX*Sr;=}Nhk|GKDoavuTIe-23M!;HR{hloM#Lxn4_>awk9cE zYlG`8-jX3V|JawHL(?2Ia?BUxb8TyNxJ4F?I1~R&eD6=*kEXOQCa(%aMqbE&fm;>< zglq7j{m{nwtIHk0!Py{#oYH_z4dc}WHL@7ER)eVeS<ui= ztqLr_&inIX>Y^Ev>kX@?NH%%hCm5(5Y6) z+@7l`C7FRc$~VvxHy(+mC~)~KQ%cLesMErDe<{0uk-G`a-FbCRw5CaS1M4mHi7bsV zk?(-uRIY~r|GukdWKOP%Dq!%=ZleO!~QrJkS)mmGhZ!k%u}D zm!+eJWwcAkrER8taDm3v5~(Cl)T?-|Di`&FF+bjF#rpI)q5pv@r$^0>|CPF`NE$u@ z>1<(Bo4L|?mM3Rs2{QGqfSVkY!((A=4u7{C_^->H{kuR2e9RGctDSP1`A_yt+o-ai z+^k{#7dN|_(5HLrLHRm|MaU;N&%bO@Dzy9@C*8fMn!m1{U$Px132(nlNtH}Eb9_Nm zpAt@$juK(H0+E+$O_TSbB;4dOn5Dw1&mK9M0 zu>TbwNIqZJ?#6?Vgs6&PNKNI(BP8URBmBL>3Itx@np4s=%8bmA!8^G)u@wt%K=(2b ztnzH`_IcRIyx38#!>!pjz#Qr?eX9)Ml!k*l*yZO;|GYT2*9jCrU!_(0<=qsmqzjgL z9I@-DQUvbZK*hp-s_WqPH0pg*%b{)$5Ll;G&@58T0ON2I(i5$x1F?=QW7KqLgzpKu zc{wut^L2hPEnwLhLu&03v0;m_frP!Dwx5z~;Pt(#6;m4>?Ns=d5KB`yu-$%<2>_IQ z@V3|soJT=Lzy_D9qK&R4yra#@D+zYyM>>gM?qM=$WqylUT{Ah37s8M= zT>1Ve_d3E|>1x)FRya~-Hq1R8X*BbMz{d`r;t=fAS~R<`&5r)t+BjdW(kAAIFd2`D zw77e$?VIe@7rQ~SB$>@mN-yL8oE3h+5eleU4zD)^Po;3gyPouiY>g_m_Lz`#`+`bE zHMj(dEP%>v5W^$D?x_BbigxS_EcIcKyoWRXQJozN-Wak8UD$1 zJkQR%69a<+DB?VJ_94~^=mH~C>qB7?=^rht-GU9!GY+1Q`I(`zD3pXV@3pyc&h-sF z>9z}zII1-dty*GzNQA(Vb}2k>STwhQQ-umZ>I_$t!iHWScCsPu;O$BSAeen0=M=8A z_NjXq5Iy4;hLQ&ly1f0<$YPy_n`Ez+pMnU-mRF-ptQUgNF?$gC?$U3AfFsgUjy?#Q zx!CqZ*sigKb^853dK^RR&$YJax0u{dan^Q&HN(+X1`X9_;LpVy_M&|_{NDqU;tA@; zHvbwI!UZK{ur8=Ud9JDh^u(g*2P}zXK(G-(vEXSpmt!k=;e{}ya6)g~(bsef_vZ2u zUVH`#R{$dSTcGx&IB@6VeHCCG!DV?BLtgyS zZ!unZ=SYNJW;vsGzP@q27ishdywXI>`MH;b%AP_n1dqeX$-C6Ao2vkD%txgPjj`zM=z4M6r{W zxXLj>1*rqh1*D2D*cIU>vjSA@ytcRrd%yX0oX~QJ}a|8d%hS69cG{x%!{V1e~`7tq(4WE4mTwP&yp z1uizZHFbkKZ$s#KlgaSlhIiJE+&r)}iC{LFthgkfUTGJ1GVo7R9M@I=7nuDjd6qdm zav@1|K2t>vb34~U11 zZX%}dE#gGT?`zr{JSlVo$VH*WaoCDt|0{OW3eosIcHOD=xiLh4&|)|U^*K_^)A)}w z#tFLxd%U^jnqJBa@xHduCR>?ju#|{=BoGV+Tf=;S*@>8JTj?Y%G~UXGQ{Y`NW!~)u z%v)f1iedzwc%kNxedC+mO1iHJAqg2?Ey>Mpsn5c==(z%Jm0h$7M`_c-6l`049 zW0fg@f*vpYS{zqV0dJ0nIl*5v%_NQ4?w&y~(M4u(4n0B$g5UR7M6s6t^4I^Ql;dJs z$pxW@ERKv*4n97!6r`q#$z6g3Oa(<<51E=`ff(BoIf2I}{E#D@D(vCT*ukZj@{Lz~ z#QiNA6Z=e(vDR-UIfU)~&%5@|{zD4t#lO@5^JP-WCoNfWh+L68wyJqi>^dJC8OaNe zN`u7U(I~3BHPRB0&wQXp2?6?KSz$0S1{H5YyPT9Vh%T*)Cy#KLVL7LIK{=8{zZX7? zvfWI7$neGNcu!!&vC*Ue&;1oAq7LF(y~T7e55&FccsHhH3Hfa-5v`tnn@(YNKOPN0 z5z7zd8`Eiqr!YT&e!kX#O?NI9oev{W_@|P~p^>^TU1Z9)zNKZdNY5N;rzuwKP=D>| zBkVz^yPEuQaF??F`;o2s@0f|_-R9}t7j_2DXBPN(4gpzgauDG}I|#67SL>YfBdt`Y z54i-=H{KRBGKm7=Ls8P+!=D}ZU&odJ*z;_u3BdU;7aRlybtOa)!Ky#&C?It$H|NzV zheD68Z5?sjxOOY_G=1C7hzrw`lqCp=gF$>V;Ku{!gR>nqw$~?P(tX{(Ge* zJ1fSc@J_nHwO}RKdoX4H820zaKqVM2YGLl7;W-_4`uoJq#F)YDs|ukE%d&qh$6A_p zLLfxpyezUzsljYXFrqpLBbkYJ5n)20MF!^Rg(DJ zFh==718mfmtr;aWzA2;#*`xK;(++4Fix{&HLm_SGY!00)9d2P#zI%Ood|l3B?I0QQ zMWGp9!@l63qkegb2l2<9ZzC+7(w7Uoe$mPtSB;rNkzqxH{lzrCe`&}EG-bX+YE91w z$$)?ay3rKNzUErzgikz^%Ij0_E;(m|M8nrX4D4~XiU2p=D9|JFBCiz1;@OvT0mtz~ zmB%?Ixv=erORT-x%!;CW6#1C7P?c{zM?X?~zeTEPH+sm#x?UHivDk(fOVD&ICMaNw z3;Moi-$Wh5ur(2`{Pi~ne1fb}K99ePOQ*?#7{nxFC!q*lA|~ixlPBh~N5?0Tq<07H zNZ!TjpS^C#(_KBKo7G@v?}bf0bc>tH6&VwT|K1?WYyMTtv+8_S*Ef~q2AY1)cW8AD zZ=MF79oPOu8COATWiy`RfPLBWR%{ z$e-tvYMU)c4rMl-ZHl+8Mu*6UN#!c+0%I30MIyj)TcSIh12O89*_3G@x}743zID{^ zcE7y%ek9IbAfFxr?NlHEK}EDgVaO7fNgT&|H-40@wd1T=%#3k4XrT6XWQ}KI2+tP* zoO&9GL`p2*F>tzW_OWX{JwRv^ARzp>a&Hn$^eG9d%EsN1UoPLHC-A zYbDhOa*Socfc^Rwle+d5fsj%VrJxo0UOsogaY;-)p}iOdn62~ps!9ytCXXfWYo2kW zOU1HUbmO&|@cMDs*9G!R<+Icve(d7_?iFSz)Q`b}E#{rT! z|IpIY+yNJM6p$H21mu%rvp%ayg<~NZRDqZ67d9|u3f*aP88bCNQe4dA3ueogK^N$d z$%3v1+sZ0z8vqZB9+4ZnYA5|08bKpofUVgyoW@KQ;CiG#rK9d$Gz0XCfzrs(hvFGE z!ErT}Rc_Nl{|4=HHmDL)OqpiGU;_#AG5oM!tX7GxSNIU%|5(eQUeI?QNm0}*BWV)7~_3;M7@u>&PFFz`+PI*`<MOm=a)^rfgyX(92h5Zit-Qya0inWWkCxD*HDvXTllKI<6D z!qLW^MchCbN&l9(Wx%D_iBr&;xHFs(UD@=8rr^%PKX6879(q!0%}R31^xk&?twCV} z#taIn%JzPr`m5G~V!kH0lk1^Hj^X{JQyuZ_^^~)2 zZkKD@o%X!elG>8pbX8<)zTvvuGKD~~=4->SdAojW@}WP4Ev$A4w&cIhsws}*h> z%oSE7@&Z14xW1Y-<%f&mT@3Yc->@&h9KaOu}gBdm2$u zp1ht9Tmt3c&kq}=0YmLq@XnodQ&;xsJT{is9)p`_E$we(LdQwY=jdN;mG>M*8lYP| zt0!rAqX@6hUeAvP|JF`FNjtQBUkw{DUiED0f_v?BO@=25G zl>=JR0YzAk5>ce@j=~^y5}){waK{QH%20Ov^RkA&1|U8YOd_U&m?U7b++fmrBVLEy z8b{#KYp+sXTz}fYku@zms-c*zCZ3Yb*uGuYzL?J^@{}@>9l-!nL?T_4+b^cW3FFVq zblMP`qR-lv?+KZ|&iM&**wo8k4_#au1p%_a5)sQD(BjxJR3vTFf(+R7A}i4f`4CjE z41XWj`+H--UGQ@NJF@cIMMY$`&VuFqyc)6clm}a9W0r&6*{A}w1X=3=;>5WQ;Ztr% z9ah1m(aA{c7qS(BZ5o{I8NoWPKFnOUNEzVX5A?Syn)x49472~1Fg=2jREy$dS^(!^ zV~@S{<}6y7IKAmbdoMqq)i$nDX4VTb`~p_La`7@`x}yg6aVqO2kgUX_5-rZv^6jM} zeBQL)44UvTBxPBXy&td7XFQX-0#>yjES4rUArX z(qrR};Cfk?*8SC;3skX@cn!>%O+eJMtX64Z&?{Yl!>}`pA`>S*=|T!NZN%h*rS^Rb z7^!y-iJ}K%Fmz@0Ui%0U_gjr&A3+6tiE#BZ%C4see*4{eeois2VKn80cosm1c3Xb? zigr5dP8}&ep13CbE6PI30bDxpe7lyG;pM#~vLT&*@XSHWs)NZ2wg_Fh7_iYocii`| z%%8>vhnRF_!V+CJ^Wx2BAZ|e11rsX`+l#fx$Tc7^vHo7e1nxG$KQ4)w^eL zi&u-(?kq)saTZwYJpYD?gUeOZ72yV%i;NIKan1I|-TEh2T)Wzg8=z}3Hf{aRiAUb* zqwKmHpW`Q*#AA2vP+B#1#?VTSa1QnZCBV<$RxO)E6-CTC;jkIzS%^B?_jUGKuPV61 z_y)#fCjn{C2`f52`vau3c}RE}tsoIKG<{~$aOvvZBF=JHcGm#D9_%}|GN@~tvuX92 zi~oEfHt_qNyvC686+n}_u@8a8;4RjVTA#W4ux^?gI#5EF4}MviP|wCO9{@31xJ$d+ zmk~0O2%{UEe}KPXG(+1k9q?!hAC%aS^hl4T-nG^=b(x(CZL}loveTT$QF4hYoISJ zP1ayPpZQ0$2S?~x?$fF5-RACbiypq$T}7WW>vJ0@BgyDwXU#~Pp3o1E2)kdV$kRKqtCCl1A~mnnSgmfOuJ-1&b{Z zVr2kI@p$(@9LpEA$Yd&C3oMePSiE9Pv%dxPnv`ONPl5ukar2{e{it+HuK90q!5pWu^T<8waX8+%Xe;xi|Jl! zy+{w^3*Qs*&&vubVTl}VGJAvK`d?v7RM@MnIWM*rI!X0<-F{c_9du#!a9v{8kWF;y z-U=94LaKi6*Z?j|o0fn%1_ignX*iRaV-b=mNJIcs+n%F6Fs+TpT%Y%|os4(1jL^fq zSJ;4va|p*MNB$|-Cpss8S0!E_?u7Lsuo7pH0;G0Fw{D&~LpljV#j!*ea3oU0f{^m~ zRia~k=Z?KcniaPh{NVj&(<7QffL-7%ilbh4z%|<-Z@By_j*`_hOlNi2 zvKJtoQ+=J+Lp1onRGSg0?3RK;M2_+ahhJmJhWFwsHCb&pM|=360USV&WX>AW`2t*_ zmn~JJ?f4T}2yzg*iP()aIv2je*>WfeLA+R?1zUW^PeTv?x?Sh|5`=>m5=XV@VHu?p zo4y=OtZI4(z)0FZNV<%eGxi1D&UW1 zym{t=-fL_Z~L~(4}BB*AbQ^X-*mx`n1dJ!6_kaEB`smi^QY5LYs>y8 zVgQ)Y-)l_@5S39PA>ZOh+ZeP>_!aq#0{T+F0l8Lc>}EQ)G-Boq_?mJEZ7g2xv`VG^Z7DM=BVn_FB}r_CdWX8ZFt?24u;Xb{TN(&sjA|*DH5e6K|Usl!>Gb% z6-ys?Nhl>j%h0NBi9!s3Nds^=;z8b|F~j3DMT0oEzQ6gsU7QmNGD0{ZfA;N^4HbWo z&aRvZn;B+GOXy87F2U$=br7p?;-6hCVi4M?K6ji0{?GurV^)6Gt$%c!yDn8t{{z(B z8${;WZ-W})1eGg>kIse5`_rfuEIKuC1Kl<(DVqtIXO;@MwRj17qyWHLK(kZGxL;Sc z?HmSjhdT?uL~GpiUyf4O;rr_SJW;{X16VfZUzdTlf@r^Jm?!swbb(d1|tFHWr*>Ul*FhG@_MNTk`>ZX)+^CX&b z*hQKYo=s#Vj>iYrHox2DJavX_Vt%bh_4b^z`U`xsmV0JzAOtM>=F3$An1<~XMlh`_ z-e!i;M=)+j4_ps}HOI)=JLTtIMV~`6d8NBNY!ys2Sd55xztxPyE}zqS5GxCs6Fn`s zdp@esN)ECs6#%BC%^fE_wd42Jo47NTbYm)2zEqanj^d8mBkgHG>KkUM;yQlPHm|Mp z0h)H8+=FngY1dJbr}*CrZF@J~R{J$_(f&?-y9|o#u?R1E=WI+5^}IBgu6VjASIg}}`yc|l+FP}pSyeo| z9O`8(?f`2q>o-e_cu~l&K!QVq`9A1F)Njrz6#ykPjT_sal!6>B3~=aK_<-R*Nt(>% zlbG8%vVUQ@^O~}T3Zj{fFiVaW<%Qxo@TM!@(N4G!8fg#uGg0dF9UVI9+QGMZj#vGG zTZu6EA~GM_D<)c_83fDm*&yGCbA|66q88~mpHqkNu>IP!JIT~?!n95%DI39Fn@r!F zr~qNe7)7ur*KJd5Vk~8)K&_ipmJG7)-%z>W-G|{ygcTbL5w>GVG>DA(gP{SeTA5d_ zbax#PiHb}TXxXD2-hPf!kb`+;&$I~2(qRM;F*mLb1<*{`BhD=HK3L&F-C@GCH(;Kr z*x1dMoya=c+&57thAz#<{m7w4A@3?k5`ci66q@fkAv|)_RZ1R6$Ll%F>ocQ#8O$PA z2W?_K@smZ+gOTfvK8cxlg+y~hddS@*j|C}-?=f; zE(f!1KDTGV{h3mOf0=qOH9^-@72$s&2gq40(}_5jBv1Mah9d0CvbwAhSZ`Z9fB~33 z&SMlwvLTK*$P)tnD4c9!l-@QK69^b?Eq;G1799V_#{0lTu2p&A~V{-ml$-!?R{_5$a zc=tP3+=!ZZ{%Cm#xkD!+Ok8Zo07q*%%E38&H6Wy8_y>BMb`r zz!#|6w%(|B$UY;?yy6$j%;NQHdj>5SND^nN^HSXK z+s2(t#|U|^$m3I6nQE#|Bpi2L9G%0$rIPe=`A9@tCS4Bc6g@6c3--)w1C|h5C zLwZ^JUwNk(qO%#gy>@aEzV||NguA&(%b4;QB6hE|$XJw{`yr{onB0h{j;X_!-SauC%PS_o3qpz616&jVyE zg1oZZg=P80reyfkHGtg=3xiM)CwTEOJQ9e^mddM|YE;rXq%RxTS8`wv4jQtX=)%C( zml4$87%m42Ap!_-Nad~I>C$UHpWUNizmZ?#3HRKOu*N}A!l&M2lq3Gb59tkvJk&AT z%D`+-MhmBKTw{6-*p{hu$BnxaSP96Hd#tM;81~WBQCfp%Sb(uPIGjsj-NVS$(uZF@ z8beW^^Sb_pnG-oPK#JLa4YQema8f)V;Qsbau0#Mcht2%y2TsD8<+l+{?(3I!t53wY zN#t~Jxv!BDj(j@yEk3Hgzmk#xR@669*{5x%418<}q_XWST&LZ;pIUReiXZ|roL%*h zzBPcF!AP-1bOA6^hLL5A2GBq-uCCWIbSZ>7*avPeB_7y7e zP2tjexArSx>ag?Jx9?WTjXm`}h0~|uh6(1#gFIziHJAmx=15!XqpF~kAw?`{PRCaZ zXMNjbVz6CYfGEdr5|iY`58Th@yOHFSm@uytM6bcu_yE9d`6@Q*@x!H>U1OCC`*Gcm z`~2Fl`MrS}scbG}YPTs7(K{2-OH9@-&2CnjxD&%Z!C1?}$DAw8GD%q&!)ziw>NPat zCdvme%@hOu&22hQ8Xf17msyh%nFdPc(Rwhrv!9EF^~U;+;F_oHH}i@HB~~*bT?mGj ze^snZ1_Brc9=PjlrE|iRcCA4=)HTxcr2JzN5oB6Vb}jvPxHv% z%d_n~T^fr>6XH_v{22<+rY~j7J{u%ic&}V%Hln?sB$O!;PEn9v(ivpAd;^}a`=`n! z9%xD~Jw49!nZ9HkS}6l&Z#Y$cPSWpuPWJHchY1sMj~fOYjnR({1gx56*{xC5KVz+0 zZJ%a%%=QIERQuu17|ZU=nFkbam0c~cQL~3;1&?z;LmF}Wp2y-h$~)_?Uc-ep!e{dF zXvidxQekP*_5QN`ICy&Snq+<5D?$NvjRee_o8kes$}D|^olr--0tBn3lM%G4kMSz? zTmxWWYTFyEpjFzknQGYhP-wd&M?)PO7_HlrT~3guC;&Qe>T2u%_wta(ATTaDsV>*MK2XVfw`1IE z&P1uiop{8t9~+EB;Y0)jkxmu&y169$xDSy1{l-Adcv#hm!;~+kATv5f9h4gIZ@s|y zpD*M>;@i4oco-(=(@8uo$`%!{Gg>tbsJUo(Vl>I8Y$L*LoC1>5AUfyrTkr&jM8^~k|HK*SY z)uET=8z0H$qj_DOm_Z$ zdwTBN8TCK&!~c^q;+i5Q`!W78u`;9m97s6QklC53TXUyKksttyGp2>JP!uRy570~7 z)A^-!0VWD3`iGjSAl0dIamI#g?JHDOXwjyTXLFEL+6j*o*^px9q-7kOr3+X&#-9fllO^lk%S3A!xSfC@2Wg#B+~bd%afQ zSOZDv1<0BEhe`pwdMv366v+$e{Q2+1i2gMifZKOPF8$%Y^aNn>C2N=!EwWpllmpL~S3ESY)~eh0lv+8qODcE32axA$r#FJm z)5|&91h_dn+klRa-iUTwP1jYi{*srW^Yygy#FgcwNM3p+>BNIjr@^G({-2ILNi-Jf3) zE=@~0n1O-_#C-y^n;!Rs++&!13h+4A&UDUTASs91!-oaq1FF)4B$FP1=M+K1UZDsp|xB~om*Kd(ih zi7_Ti4wB1n`6=Bfq#N1zwmkLsWib$)+fT$AaDyZr-!K7(og_KR+&6o|VEHFljMWRF zWFu*(13yEyh`j^xGe-=ssSL&m-sI*hBI6ShWf_n;`Jf?t+?6NhtR$GyA0{BTh4zw& z2p#&#<)XVb*UF&dsMWfFF1VB*o9~7U-@nv+*iv?{`Ta459$4w2KL^Jlm6SS z1qCqq8kgjQ@N4Rtxhp8j61it$_3e+?W@6C6l&2b)Ct+;m%i(7BBtmHIq>2oT>IMvjB22edAn4hsU?nn5<*2hM^mJc%dFRi4YA7G9^c1bBhZRhJ zRC3t~=zOA&M)+ev|LfbynkxLjRm7ykO$n7M#B-E3DM*Ak#?vGwWO95wI$*zXqxfpL z=yW#~D84gZLCpC_Jos;fo-pn8m!ZxFi&@Ujw4QGE7i8I9T{d7q)kGM*O3snf85L<% z_E?~1ODE^cn0Gyd2Z~C0pjwH3of>_3y^qPUTyDQ82d;$l(a^{rADR`cmlbKrWcKkk z;$XLi?%fPGBA;CR?fB$G@SJxvRCo$2puSDYKx^=H2$-sERUL~i2H_OeF}cHOWe=VX z-p!W>?Q2#mvkS1LKBQLCpWE?KO7H28=(qt(P-iRkzUspgLEtgq3-JC}ZB}w<-|7z` z0!{IU^UwVhO~cea3thJQb%C9|SHVD6Zn z8BX@3C)#nP_^t(k><6X;w6guwQZ%puj~oWBAuv29*GA!@hJ3Pajo z{m(g%bVnK@tSgL^=bW>0kH_JkoBbUIcq_hqGoK*h=~>kQTb;YR2&kB*bLRsR!n!=T zp?9wY@Ejn=Xx&YUgvgOms3=jGr;ewzoM>(TiYs!PrD7Qo&)m?6)lu`X&Dq*J1b7xfG8m#e$b)0o& zT@LJZb@;=m`(7K>=rWu9TYwUZ)#M92UK{gR&JBR(&WF_|8vXUozwKc7@unsnQoad> z8Ml?<6`b2RyPLgi&E`5$={n;nF(Damu|ic}bhPC?Yl*!|E@NpR5HQE+&SL+>6=j`w zdRWrWla+qR)(*eSVoSjn#BQa2eMx=XoJvuf+1~&q*T{joTDhaWeRAr5!}Gj4Fa{$w zJO$vOsuUE1jYA-v>1hMj$%IP&UY6sAP4*m@yHl}~Ue4mLm(@xnt>lkNmK*m6J#~g* zNiBJQU+R73niXOd(Y}qU%~LTgK!fHp-zyS%O_B7rU**t5DMe!IWx33vg`LZJFRvrO ze&Sk*N!k^QK_CF}6XL4D?OHXX@$U=WuLtbFfXNmP(9RJ+?eb+j+A%usMQh7Dv)o)c zAbWO3`?AyDZO4YiJ<^>Q42A>u$VPz~ExH~}mL31HB5Zbue+#_Z(|Gc6m@_~UQ@K2K z2sq?57#TJxP`iAo-7w>9S6O{#wjaUzGBw|#z1BG`XM+?EkdSu|k}gOV zWSTld9I$BE!ZaQn5uen^JAEa>IBbCIt6kq`p!W#(%jw@x+&yDi`x-puFnaW5vI>R? zSYY!4Iv6>6A+*iX(j5flm#nTUA5Gx0$7q1fRZ*}>l8&D-6 z_Gr}t*62T`U7gkMkKxBA);b$%ri-qc_oBr8TZi&(h5NStUhL2zNz zpcdGO%x0|#E|ZX}U@a)2J)ps+md34aETzfn1#8~igW{=Y%K4O@b6R-gI9zB%k$I5M z)#n4MPf4$>6nghQ3?kxrEp63lp1#eInuvh#05pPrJq7rItwl3N0Mk2}g=hZaeE)&& z!Mrc1vL~5cLY$mRVBRZt$3eH^zQF`d?@Fz!mGGVltZ+J|gKWK2VnC$A$;k_2dHlo4z6w|#B%JmL4zH|uvO$}WVU&$9*X*@?kEviEd ze_4f?Ig8?ASZmpiw^)79S*N+HIGs{Ma>6;ozmpOP;4freO{GybsufuebE1>rxXAyT zGBJykf;YUgyNm^$Cjo50m;l+PbAjUpwyr~^C^fLWsWhS+9%I+G>G}LiKwCD1aN_zYp}IDjzQ}cr{@Qt|fG{O6LNOBp41Mit(hP zS=o9IFFc}`5X^z{qlv1gkjKzi9G_@Bc zE_zr!Q#Xzfj;6+;%=+aukq>cFs!9j+ULfUPr*r(64F!W#m1NSgNUx)#Ky3UBbI!m= z8)Pfhn+$!@>?H1UgR_M|12ku2xBs0$mG!mMy3^~G0r2W#WRT_=?<4%5ElvX}q4TpDQ!j(~Lil3} zi_L(c3@U9ASnnW}UsKl^s8t49RkO$@b-E3_q)+fnU{=m%Upta~cFC98paW|(6R}W` zdpSm|^A6-36u1#m*Q3Ymgod)_&GSV#XpT7+dqO%>TH4pMTN_NsRWis6!ZUUS01_D_ z7GGbVGte>o6u?(7$zR*=B0Xw?@;AR)#C4?lANVK!y!_T&KLB0k^;(dO(=Rm2XMi;(&&&L(VL^Qy!TRPQ{B!RIr@y_@01V85XNe%kbFgIk&yDAuD)wfy za!UI?(#DL1T8`0L{$Ka0F3soCR?5zfFH#l?#hjspKd;@^{Gd2R_|Y9^J;3Y14gQ!s z*cLPwN~W9$B|ai}p;)#*iwXtao5<&tbHVh$Ns-KNM;z;Y+fb5aI%mn(8#3cE|D4(aZRs)E*s#ohywAk)0N>$&T?;#m{Q9 z`G@Vvku>{KZOY*lgST`xG-%i;quqzML|7DSN*%}mz6kE)aij<~sNdDVAb5*MMRF(H z?R=cy?(%5c&lD`2l4cLTpvg0E`vDvnj7GPJ-#uhaXET%ndbwR(GrtGelp>oB@3}QG zgC|6(rOT%iCurW16PEyWX#!sXDoF|#vVfxZ1=S%ATc4u$(6`=d_U&vIDO7POAy`81 zcB5iN!Gl3Nc`VJE5TvuC8b{EK9*!8zhCjcov-RP&{8%n|fRs+u5zV4_hq@YGece6pdr^-=44_dnC8<%!$>&tbvaSFT+PgTVvJ}yhrHRvI zE0q5R{tQ^Kr^Eo~97Y7HlBNhk1KwmqPN(X%ShNn$LcX?`AUFL=vAeaPbNLZU!=Pb# zwln<}*0u~bhMoPgMG(%X@PhLEN~FN81p)@p=@Pwroq3q$lDON$Kb>5ob!oz171kg?`!RBnKGwwUJH5hNxk zxi63dNJ!!@Ktb|`v{Rjh`)&2_!%M7(2C9HsJg$Mu$bj=rnMrA2rQ3ASdFkqS-P;5G z`~DrT-fHkEnxVyy8!dWJkR|`1qv~X!VAE(3DeCe6qBUW!Uo#2TIwm4A@?jA4HM4L% zr8GOI%2oluh-95&eSD7ntEt~m5mAfd6Rh8F>7yb~u$>y{IvKu#y%Y4@DR3(7!fuS~ zn+!3($6a=cULqd9m%*}AAfp$}db*jLVJkrgzR8*RMyz9>zoe<5kAN9MqNtb?xJd&= zB-gy!E0Wk}iZ&S=Nxr?X9{@^(Q%O(DGTf0D2ZIs;Ko+|fmEdj6mdknaF>Nd8_Js{;r_)x z{ndb;kvM#c2oyX6zg2O)ez+MYDhMQ&{R<|MH0e~X*G@t5JkJ*&I0OWkhs@)%Et-k> z#_goZtk!Q^BrHG$+UMpu9b12WK`mZf>`_Bf^S5vp)gMM|lNBc&5$ zL^jb`p$!j6f2CgM%QRffOZmG#mJ`>1@H`b+Xk`}evrmg80k+`-2JhfI7e)11P`{Fi z+K-(p7lMxU+Z2_>nMdhZ=6Ccu6+3P+^vXHJA>u!fU=2_POIlBuAR#g5JvmJ+*LMNy zE4H`Kwr>Wl2%;Z!wKnTl2=`wpHCO)iPtW(B8E7F;?v}?vh5m=osee0#*Q!cOqVsqt z;KmDgK_=BoY!N0jJy9vX2$=BYxe268vmK@aQhcT(Clavz?NS=hP>+yNWfhQh;%W7> zo!-xngcS$cbCb53pSD-hxMVKw$L|0J=jzzAFj%vIbYMnqOy|m=Q`6?>@5k{iWe_V< zCIM5?ci2`Ls*-%NEYxkIzn)jqAlbCqRG zi@3Yu9F9(&8(f_p92SuI5sxg126RLDI_yI(?7uy>ABLAwTP+B4d0-|~iReDG2-P<1H$y)%mAhfu7I|hf498CsTY*gS%V&!hamh8V+Z*s_u?(pO1 zY1i-9+4VnLtd^^@`T6#?m!F7V*nZQd4p%cAa|g@l^a>iqG+FdRyI?CuGY;gx+zyQ* z3h*9ia8m?inp!aN%0H{VnPYBz&?WYKe3<& z!Z-+9fF@h%{;VkAUbea8%j+w|3e5YQB)5eERk{}zT4!(I+7tnNFQ#H!wi6ZE*c;5J z%2mw6&2y=dZW-H}axP{Z)`~Fua6dLq^iRy}k^2A09xAejA7!NhF~r*I$+l-Q67F=F zF(AugNOf>{H-x{n{Ngf04kb!!Vf7l;h4F1i)$qW08ZCa}lJM6Y6g+!qlV+rK));?^BJy{6a zeW0WqDIzs-T+bB#n>p=))^^&ROq`HrRu_5tj&_4W#yz^L!FwXq%W=okIcDc>t?>MN z;hqMp!y;VJLG`P>-{PIoo7q-^jiE${8T=9(&@C?A|3JYCE!}qh-@?T4g4FM)KDoUh z<-ud*`u`wgJe$t5hAZUHMHH(+bIx9%>!cayT$$(EeoGR2NlnzJA0|)jQxuvKk!-*u5FtKYY+$ z)lH-ym{eGZXUBwSC!~S5{X?f;%9(q*ut4Y6F~4@A1n^M(*2Kw^@LhhJVg3X}JGGJZ z!kim(j2s?pM735$={Eqdzbi>)#R3S;qFkMYp8Sou^{K8-?g}}gKB9W#P*GQbv&&cG z_Q}YqVMPQY*knO^E1Wbi=PC5Jq431|-+=->p@`Cd0r!(-1ZB~Fo#VK1_iIp@COHGq z(9aWrwdq#ltO6I>sUE?bRdfL$s+j8Y8jSAn(3{QhlJk(#?8~xOfu?7hsv(KkPOp1&a}VR`*ku_G_|*oyP;6m zDcJdF8(P=yR_XyMW}6&7YTbJfl#Di{j$CdaJ1N!k>7^HlI@iUu@x*dUEAv%aFI0t# z+U{MFJ4?+8zAHPyhHYE494d-I~@Cjv5v zrE3FcTv-(GVdSy?^{RPRMyy5BNYEQg8FlO0WVa>-#YIj8+Fr{aLxc*f~w{{zLh0i{dlw89=Yz$ot3A4 zY8OsS(QLrGCPg5p7BUqK0XP;*N zGnDEA9S*jf2~7bG@T%`I9Sc{0QP%rtuJG&7EG(jVn9-^>rtDq=S$-u%#TL5n9M+#% znb`VEzZj6UaiWM$6U2m8dbc5*E_N3#1F(~S2%CISoj5w~SjEn}M|o-S>OxigLV7tz zesBP{!}t|-bEBJ;2`ZqPFYP6M*@V`?^Y31e9Y(EFvil%j!+>7k8sIsi=V6sgvENom zV48Dnp}=Sfh{>I3rs-MmHyc69?Zb<-Kh-xe1g^&6F2w;WQz7YDhQZ_uW? zx5@Q-DmKy8QoJGR$-;B?lYQ1a@zdDF&XDtI)0U8TpFYU5Lpp6MioCPAg;bA`_l|&y zx#oR02#vfd$Ew#~5a=LLeMQa;wE=V*-p!z5z)Gw-<}W%sUy04_| zS2EZXgZ-X0FMk9&{HiP06@Z{XARhtk;7`@*`bPwu4h_!{7=e;#9S;6_%6^xU<1@>m z-sQF<WK^QX1pP&9WFUW&Yv!$~6H2u1gh0;3;yZp2SfLV^&N zkD{pqPMuigp{C(PukOQmcRH-ykY*grGwA=Vcy=X_7p|s~ z>9*hgMulBF^^m89JCAByW$Fh6cudr@J&H}CpU%;}kk-YwbCQu#<`5vmcvW+`QO%_T zJ@lO*{#N-KzJ^#wo}D=~sCfCF>U8EV>dkVdg!20$!bt6C-Cs#IrYoR4tR)mz2#*!S zL*Gd>qIUfPUE%*dn0ir{p!_)8Rd#s$(#o%1m)&%zf&LZKN5#Vd!AA?I)1;PpJG7AW zWK*vjf@ml?(kEULWGYM&eg0f^JRWJs`;e#)P>*{_h3t_SsdK@6!v~h0@g3x2?o@g5v1~77rEgN~wpt*iAHviE9@+z9q`D`1M>v z>||Nvxt$xpa?;>%Oos$e8o`RgODK{tpCn`&NyslE1u}68b|wfH&uz0o_);VcEwqw* zOSGi9s+zoG&_XBirvqnJpsVYDy+79ie_zvrP+J92*E(Iq%F&plsIa-lLG zt#6EqV`GNVmh#CDf&9x-2L$hd8(Cb&8!2&rFbEAB$_Mxih>BBK1T@umn26JDwzI)pqwUcLW(sKz zS|I?XiW#k=h2KF6#FDB(rSX$Ef|&}P%`IX3Si}^PBjf@=J(17s*Dn<|PS-?;*>ZfZ zvWpV*=<4R>PuSb3x%JMmv-KJKFsE2^|L9V$@p2QOS&Sl(_V?_n*G}Dj$Ja=RO`NxF zX-x93nc{g$@edP1sQfOSnPAM7A?64;+ixfWtkK{{2|FZ( z;nr1_j|vY!&7kjOrG)gRM8Z>=9-AMERmWB8q8e)xJ%|jo3Eg>{2DoGYI>5VO#bf|P|Yq8Qtu@XCayQT-Lc-f z90CJeQ_S5n)xqL}Upw7kWx3Bp`qN{FyH|>WiD{}~!Le9E38rbm#9m>^t2}v#vf9%` zQ_#R01sm&Z1+jy!cukej2D6dxZBn;wiM`Sm6fJB$$;23~FamFfiSt-aT%VC6vb+yM z>AT#oDRI?vnzJ}2g2Lq>Fuce)@5;%xH2(#No@O5#J(Ge>*P;}(%Y~6jKWz4hIBY5T z+ykWKWs}M+v5W$p5^-cfmF5}8q^LYF?>WV5lCfn#$9;t`KV&H~8DM#oKI6kFjKMWg zxM;L0Eat@Kl?Q4Y-YbM7#Sge^9dpy2C&-p|tOAyBh(2+4PRNclfOLAubCKaPsu|t zn8o_A3|_9>OQ<|_I(L822Uw`2BPxL7E|9Mki=?H3C#z@IC*xx@P8;qTEFWF;d4+kH zN?)=HT^Q;P)E=xk9iqa1bCIl$^tm2w?6hLhKr~|DAA=E!tU&Dn2p740Ui@wWNuOY| zGhWUf8^)RxOr)1kE>$yX28?SqGV~XksEAAEM0~nYzuTwux*XC7>1INRw{QSiM$v}x zyk(;xs}9f|$=~SLi1GZjuRJ5zXXAA?d@%Cd>|XQ-4pq1zGp<52`||)7y$1b)Ea+rFrV46UuPcxjs>mdIF8#WR#g~)6O7$9! z0x+OSS`6Tx!Y^lhAf=l;`&dQ}oK9HQ-4Qya4K83Sj5TstljOY~ZiBB7(R@Z4PDc@9 z9gkH=V+E)2@|4ylSXT-uW44OivNM5d97v#Ya1(v+?Ss9G)sgR#HkJ=#T090}wkHj$N<|}iup`s#+e9@lnl(Q^c|3y%4sXH5+;O(S7&fjoK>3W@ z{71GUpo}EjD&dt-;&+LXbnU7CE`0ex7_=!k{J(Gv2n$o%oUIEb0vFr=--ge`{vWA| z`lk=yS_PL2<7>KZwpGpwR`X^=)yfJQ4z(pwiiVM=N#?F!FwDbvvwCY%_WLWBv@-@i zopUyRO%7SRrYEts_WD3aYIz*1AowRe{y+Bm0oIxI? zyvIpl=z2`+gSL#_@>KrtDo72B%X}7y!mdOBW4wVU1&NVEZ!9P|-KKmJ+!R912)OAw zYBLxOF<}x9A0U^34kpuyJtP<0MZ%Gmg0=@SB@Ahe98jP#a3g4ZW_R`vcaObSS%W5o zcno~!Z zxKvD#XyS4ZDTSbQl;z{g$QMhN1U^S38(AWYs#X4xB7j8}@y zbrmCaoy97a9XEZ}c;s&sPJ5ck^>xxaEuKVOn3aD>N(|(7mXf?-a!liN063VURKuB? zEqa%`^=ZJ=!8K!xIT+-J&=y)B*c6!7#8o#}hfHd^{Gq4~r$`x#&~=h-sjuTIW?NL% zifGEip<9YQ_ir8MZQ02hw{+c)_b;ID6o&5%nZHk*zeZChUUM`S2CK9R?x;s>krO4>H^La$&Hg(Z&QXXpj#9FOgfMc zbDyR3q!cw7er;`kf5>OtYR(T6!B!Y(P~e=M(!?aLWi^8>JW}Sd11NhDGn3+i=GI8u zdG?Xcar*nb=RThD{-FR{?OINW3tvg34erfZt#g*R0vpX=AP*ilRJ+L;9gyLVkTjgy zt_*s00pXLe9yRYa9dPjO= zw(a<=BC*`}(hS{80Bd%Rh)v8vrt_t~fgg9$Sf!_K&l>ot)7)eI}*NJ0+`9GEqeEpu@fRtbnAU7qpTx zff;ZF(;T>L2~*slG3+1^StG(dFWGD+*6=k8>ZX#T8};&@V9}fJjvRO_#P*Bd8C)NNM!$ib+>DMJ zYrUK0?B>3m`St!LjzB3mV=#37wUnmAbtD;u3c^@(8w7^w_5|L8O zK2HfEM40~Yc2S8ZG*=z&|D!hBvq3qpk7+KtWXzQw%*E#Xilucnx+GR&|BV*GRxlyp zs7p!lN_=9-q!D`azeL*kGnXb%Tv@pam(90;s5i| zB`yXkM4&}rZPeFj$|P$-j`6TK{pMz?M5P5;cQ?elA=XZ!kDmH8P)k<$d?Y(jZW8() z>ebjZp$-|=LTms3SJ|LOnWTN|1#2_$5l)E3Jkvu#r=I9wDMds2+Jr$dRe_XtJEh52 zx$?{0stidXv{NxqRvB(3z5hT$v=c=MM?Fx~8xC*ht&ZzzT2v5annc%la~?*cJnP{3 z`#91&3J>j)@lkEiAFiumMWb4%nTf)(MjfA+JlpIf94^=2c@ijeV{7t4;>!1;*U|$= zjFLMxRNiN`ch=X4=p>3*b|)q$1cJJ9PZP<(H2sy#V9gZ3A^~3QaN1MTG#tg)^>N*H znj0Q!$PPlv^pkHSCXm)wDYN9iw^M(3Fh+-b!(|;V)#iR$XL2Xe?j@}@-@pH-6kqep zz$2D;dOZ;w6OlFm={P4Unmw!4wBOn?^I4G_$KLYE#0}EIqWJ^nhT_5x(iRHTCZ6n(R%+)5^c3kI(+}UbMxlC z`*?R#B!2i&BG!H7N3wB?f1O8ip?o^+(ZwN4X{83HNZ<0LRs0boGSgY6)Gf+Rn0*GB z=|$-yvbcZy;XJj7b5`PH{$R+v4u`M|AcjGOzwQCfnQDBRC7_JtO}Yv5oS>{rZcPat``rA2(ll(BNJjh-x44k z#7pv*0!ff({+L?mZyfkf%+5O$9>d0TJ=c-s{6t2S+UyIOMK#&IxOToGtvb+hHgVkV ztJ(_!=AK&$w&c~O$cYcuIU2JaFz~rKwl0JHD-LX{RF)qI5(kQrh@w_M_ z#=Uj%6Ut{?TV30qH3(N3D?8zH^43~8v>y{4?U`z4&o5yA`u84GM)!s)t#3L;PXupR zBH=?4*yt4~etSchg?DRj<{hN)qiBJ-gY z5aTMm`H{8cS7XjRQDnl*G6Zgqa5!K+zJF@{V7~@^X<6Xk&z+uXLpVM zxT*-ofJpR@sG=}N+Qghp#{mKz8o1_of9IcBkSwEBFC(DP=N{f~X2SoM;F|Y`I zV5iiWhgWg&jFE5UeV@RIje7Krk!|~xxB)5GFMw=UBRm;%>E7pYxhoYr-DNAi%l)4T zgT2p~AL|V*Xv$|6y>3-US_N5A4<#SojR!a$;qM#)%y4$m*M;b8^kr@jO~`b}PowNK zhZIy`W$zNkiA=1fBMBCtdm3E4uPawRzy{9Ivzpm;yO;jn(WMi6ZS;8O9T4PkqncrWhASvzlQS*ap6=; zRGorKM~c1MLQ0inD5#YUf^r#~^XGhf}R*!&jtk&g(_fSHp7 za&0nv%uIi{*sb9#ZK{NA8-B0aZGC|f_h+u1(6^f+R$;p0StEc%?=*qi1osE$Pi1D( z6jSquLU_F=I@(D z*%vKt*eE_dh;pjC#D|8!Z`8^x-rvW-;lfyB6HPNbVG^?lJ?5bMI6Rb3gFOm#=9&~F z2hy+9C7L{G0uy87oO*o}SWdTo@s-DK3kf02ME|sxA8v z*Jz0=aDozhH~t~hfQB(SNfs#o7Nx$RH)#l|fBXKigg}|zmmO8^f4en>y1BKcIRnQ; z1VjY4;_PnBCS$Z%?u356vQwDBGAF?4*Zp`JOR0w=QOK-$xHg2Rt$%$uvp;2?t=d?4 zXE}a2IQhSl!a#34RPdlL`wLbx$|Y3*0ysBl7uF54x!{=!1`%Y^O@r0k0R@1A1;sso zu~v+}01*%x5H5yaQGp}_ z&kZv<0Zw|XUp%Xq82Y1pW>jhact?G1RqU|z4qxPJ#NN0uUBfO8ud{Z>LR!7|S0d&&w69*Zl?9BOZx9j0zr$J0Jk3%E` zvUPdRR!3oSb#UTTKb}GO^PV2B4&Hkcc;8-2IA;2(3HD2>RU2SFwYYMs^CI`)i4gSQ ztty`oVxPISU<)x=I76d!_av^MpwfwIr zf!*c>t#&$Duv_3tCc*Cg{_R|Gj8BlYnn&2kcTHTWAZcC|+c+h8SbF%7g%=#^0JSoP zAd_F7W&(K>J8SE0ZUZqR@9d_p?q;uhQ$SplZ#u_Ka~H)sy_)8hgINPsGCwR>~roS3$iLNcsE4 zhkG%9$YhPu9tEK7YY?*_h4;X5>cp!HG=Z9f74RC0-aO|wG>Q{k;ZW{!MIq%pe-9=N zlZ3>9^;q{0ACmI5=oBB_VFpL7Wdd>!Hqq(+oi52kg(8$>pZ`8gKtm2iQVaK^b_}(Z zu=e+o1*n=g#ruO_p0u7z3}IsmSg`9x>bDIN`$)7s|2raXF}K@t1@C_fYhzR{jSB02 z4?39_D@x)O$`-DSom1f@DlM#5#T%lZj0=136SPo3+V8KA5zGZ<=?_tX)E9#ST+2qw zv7aHVab%(dBW3l@6yr8j5!f>b7VOUC>NLQhs*}ytEMW7~xwiQ*WfI za++)ugznlR4$BWs64AF<(ef30R3VL7lYlA zF50c4ka5tv9FGC}Mt#q~L7g1~4gJ9l7$0P9fnNwmDQ3NXP#QG?y{b0l1&6gGp=ZNqO1*%tF^bY3Ss#OV0<&wt7H~Cyg1Q5^^**8zoBV6#0dU<&w-bBHaHmf%|Wy# zYYsltQ5g;S#;nSd8!PP4LtO~p!WiALTO0u&kKydSwl|S6tBM*8>@Xjm)}P6X-y!Wm z;6?P0`Myu4rjitih=~)0>Ce|K9IJ`v+1#WkJzKVQgct%=d~u_wPru8$qS`(s5_OB5 zy%@B#0ch7RKzvhIuNP#8Gq#)Oa+hhMrO+8lb4X_4Z>J4OaWrU_ylhS0kA1DZfp$I^ zFTQX=Y3W$1$`f=0{t5_n6sS{CZZ1zCQ>U@9^>K14_G&N3;iUocd9MHXT>rf#h*wJu zd1&8s>3}d3W_#aUaz{JH$g+4LuSS}Iu?vd|*jYpGpx zN}Qvv&w6jL!D52QE7rX_jQGbQSQ7>w_NI9S7qBH7!2%Xj*?W*hBA6Qb6oX(8g_n!~OpHlr! zygK&QOd(h*z@wiTraycirhlT3=?w=6Kv+W~h2VX+!_I{J4X=S?&g?*3y2CKg6A3LE z{CMixwfg0j*b9Ly<$W;3$z?>ql__g@XJn5Uj6Qodetks~h?pQ}&iG9qlmI^^F(@{6 z;2$7r%lcx1g_z044FB;E{udZ@K4@0TAghsOyL=ry4P5!+F2f8pwB+On8Z2lw8emVB z1O7nxIOz)p%RbGIm!DwXB;8OEu!*cy?sdj6AEg>;_k7xKsxXb7Ph`O@y9E_e8 z_{JZ#I_pMKKZH@HT48WkcA0452nK7d|> z=79rz0HHa+T>raeNgF|-I>{Uk`b*?Ti0SJM;>&2 zw2yAXo8iq&)GKlBf4J3v0kx5u4Sh!mmG(>`AcunCJX3(iR9{)Xu_pNmv%?VS#d?Nt z;53pwjCma{9}C86^BGb0XF7vRMBn1+cnX5`6X;mv z&;DWPX?$)mIu*J%8m}Ktz}o(HT^+w2_|i<3-!aurY|#`vk$Dd>ZUsY3w}oZ8)s<=R zj#|nFXd25~CVII$x7zvUXS1m}-aZJ#>tj43Dn85^8_`t;&V1VQ-mOu9U(&C>Z-uNlg`eBxs%j=`0z0GjNMCMPolu}x* ziF&@R_I*JAP!p3GxJY8f@Ax+(to5pD&+h#%ug`EH#))6Oop+>r7q-+`M&)5_nt>tq zeVq8~&4*yDP*QWk!G&{Jtn0THax}Ua_?!`GTeB2Wsgfv_q&Te_Ak}S?TM%o+4;kge z+hY=g+QeXb4Ac`Bt97nXn1#fHU0O}_s)OX9wYY8L9XClyc-2y@>_I?z*ZR{WxfI^E zo*Psd_3duQ+jTn>vAB7%>_`}drHITLg(XrvxCH}im+c_=@}d_mhi;cdE$Wq~{RJr8`P(Gf=KNomX!t(*}H>)WX5enpK8?P6fk zHkx55LYKp7(XU)@qB~q4Og4C?O}20E_iGND(%%_wnVz5}PaOyqaagpM!jkv$6I+-q zu+quVBo_u4$rT1ZJZ=HaN8$AHfIIy)zr_Q>2k>*Oy54pY;Ej?I5={_ee*1ZEhmrqs z;k*1`FFM!sM(RMp#;5=y0-=Fr#}UF+uoqFeLGpIW-ddBJOvt6{jY6Qy#t9z8kw6@w zmvD^1ct0#-hS7k27tnL;qFQk{Xm9_Dt0(0|v-Ae#_DzZn);rOt}V<%Q}L+c7D^T0-Z^TMID9d7b^>Jpv- z4-c%f%eO-+ZqWQjeasw1Oxz|?w`sTC|E)<{^1!#MB=sc=7{a8yM}v*;BKdn7*ZO=! zyzP-zTW{3q(o^B+9$xd!nvTju!o-L4YXU`d`_ngK^4y_=9r7rDiM_#qEwza*P-K6Z z(BP5-Bq6wFtn?*?E1;C%XFYY;1|h!U{xnS*K8fao2Jac;G0$&nD6t;)ZzguddH)=6Lb#yqgjW;w%~z_yoWL&3=$!H($F0n4v+&?N0I_ zJ6`}N1Sj8D-}Bel$@PCEpI>7qJJPJ_&mFilV~U{g?{gnrSe6*}Vip9no;`wS!sJ!l7}h0phZ^hRx#JKQoFX%EN^A^k zZ^$)%6LIx#*$^I%*wRI`6%>-$7`l=AJ+f&PGyDuDY@tk)_V%MNyD7W$;P&$t_2lr& zb?oN5SpZs~ysI$P4A`TAdNC?Z0;3lAt$th)_=i_zD+1m@ETwARBX=skj+YYxeU<{5 zs)uAKbHM%Vb58$|--Ja1jJ8cLOAE&r8W$8`@%6pyrc-0EI&qy zQ7g?A7_#`n!3aX~-B#gN|H!XKf*V`VO$_ZY9BTX>{6Vig5ChJIP5IbUucedhr-FVc z%Yr`vlz;#u`Mua$5)GzXAiF?NGB%=)6+;=#FC|&04>jNFZ3I;nKu+2FGN_38+QjuHdkMh>sI{HttB`5@As$8@aMB#pdY7G$cMr3IOu)9@R6WZ(`wDs54)Ko_1l6GoW|B;^{ z(1+`tOc9IU?_o~VJ$at}P;G{AvIfp89mnomo?4C3XKqcakN&}Z_No!xwvhN%AjBfk z5a{(f-r*;Vr}Xpe-blgfc(P(gx#XSaT@3j64N<131PU1D5Kd+jED^(Qc98c*f-dll_SUYh=gapzo-qwFS$;!;Z$OZOn9|_cm+f z&{}G#xR9}m3pAn)bpv0;!;G7T;O&YzI_%8DHgrj;7IKiTmmCm7IHY{=B=wW$cBGt{ zrN{NKiDX8rLtSb_i|_>PLzGMOKDjpGIPwy33l79CH=(3i&d+k+PDOpi$)DFN$kgR#B-s*h za{}&u0-a?0=7Q9L4C?!JqWT4OlKo3k_oJqb0<~3`XZ&BMTmR$+0Xv}7?O%Dp^>=sf zPJ(SiAr?lyZXvrFzBdO!diz#{f6t~@Ui_>3;6m%KFxP6TIV$XZCs+UB7(UtlIs1GJ zBtW~902s1?;2)qb0do@`F7TP3jPBB zun$OdaD5j(idRqI(PZ@r=KgWOUVqKCs=s&j;~ce5+jNuo{93Nc+~>_r&|hdpfXHAQ zoN=>1p6B++mi2jAJ>f>hm96X7v~ZM_!125h0nwlU0juM=4lw&M%e<_wnWA!@0*xiTJ`&;2Wvy(p`MNECYY;p2?tFAm5h zxNc9S8p7z@db`*3Ap8f0BMUFZiMG7x%+YG1gU2tpE72z#G6-|Cc|qjGx`GphC<$}2c0BiYpYCq z29<#~9b`Q8Ku46#AQuon(QphAp(e%t+3G-K95RjR*~ zWBwm{=`}`CqSYymrwp9OYmhA-e%iM-bLQ-zWFSeTmPoHkUyM1o(#i(m`VmI~Vv@~G zER3)IK z_rHsU0Iap^hA|T3K%U=>T1|AN&&uUL$Cm;``}d<8x*A-befU!HOod*68Bp#t1ql(L6BP zQ_|lS>`aJ=JzqYIfn0vdwC)$tC+~T=n0*?O0`v$pnc=GnA~*zj&xrP1HhO z!fC>?5AKZY?KqnG+vf_s*IjdS{Gc${u&YIJZXyoM2CM5t;Ktono?2H=*LY0LBzXM?AE?F?ld@0J!oN_#h?z&ATGzL7Znaha8sSwY#tg z{C;@{jy7ImmX3KLz!eYYH1o!1pUqF?M4fpjq>jI&0Pg8xeo1A8kq!mLgfSNyotibK zkvAdzeo5IH$n^ZjVQec@L$rUI_gDIIcO|Ol=hg)x@IdVY112|Rf1Va)8yR-f&{6kK z1&H_iA%l8FRzYPkK&l^aO!^zbRb2-;lrM0~_Xkpsx&wFkGW{YqN84SQA7s z%ZIEd)q4Uf`R&Io-6gvWDgKa@&U(pV5bsaOlC^>7xv zX;NMY2?JNdU|2C$ZZrk_4zjXuroxZND~|Hp&+~ZV78oZ`(QkQ9EXb;AOsys-F^TMb z-%U>+5$i(@U4mI@sNA&8FhN+D=Y2Aa$lxTc-=QExh5lh87vA?8ELn;jYBrm8t5k6E zB5vaf{0hXF;WpRAmdn*~naDEV$Z+Ph{$mPlYuTC+{0NE~BJercP29PDZx60E=9P^~ z$2Nb;(uNq<#d*hz;StCdDW#o3uF$TRl=d$(j*dZVDrQK4({|O|?Q(@Vuwy5koA@Gtw_U{8d;Fzf z@1x6tev4UzF0t|tBpYBU_Fuj2PZf-(Rhj)JlBb_@E6*$n1ev-~NCKLKMkPgqHdZ;b zHmy7dN_umqx;CvwbEX|eT61;a_qf%+LC2q%Ay;T2EjAjlW$szPx<3T^n8d_ZOi5By#CD2Ha8C~sv+TyCCe)_z=q~1OBOYU5B z_V~J>N1*9lJq`|{HsdPg%3*r3quC_LUOtdy1V(6_54w;AQQbKrUdEC}k7qBfb@LI& zg9K8aHUV#chk#YRnad}MF~Fr5ARn@{fb>(c;L>qfYahT{z-(X z5`ZEOJ277(@i(i*C9Yqe{7Z?_?bdnU!CBR(x7LdWfsv>G;&V0oTie;EHwf|ljlpE2 zg##x$m*r<_Fn zr5Ple6`I=Dg1l_mbwGp3)D$3>$zz524M~w+rUCYc6HXZBPcjvSt&VYgU1q zPVM$Rr4qN-UKz<3hJsAyONF)yS8!V*%LzwL4iw%9_2B6TSt$Wj_>dtAbYs|Lbl%J5p_Fc8{Q2y^E zm+aXu|C~uED~DSDYx&T->eDG<%{U0Z@2IXHv?}x%sdI(Ax?Hn9_v4oQ{tJ{2Zvv`u z*Fe$Da9e1r?rLjYz3dQ;VKswG#qR`X6N<=0e3-1 zM6ZtL=LX(d^Jv9lknT}8PUmTjg{67d0z~hk7g}FkhH)LczwPN~8uwBlnK(n(Z3I-M z1+Lb+jr`581H^sBG2ecq#k%&|QKr;~jR6VN5?(Z1E5?6AU8cTx;l%1{%3hh9SF_(K zF`>k2C?H~KXJ)h298_bjxQk)ZV!a7jdhNlUE@&7wNi6;=^EKL=bxyr|j%k~SvRNZ$ z)760;RZUA%&D(d$)OtFz{~*cL?#1N#&idM(k?LSc5I07>u8F8X_oq2<$>Q=~{XCHA z3sGV?HSyv-v^Ix5weVZwAVkZk`>?DpSN^!LC{TIHn`;-Lmn}&}=710T^~%UXd^V?} znSp>Q%YtA^ZpO{1p1m$&_%m>|-%h79IJ&C7tx3OUMRvYxx3@VEVdk7|VUe?_!nNcf zN?)5{vx=2=&`>a6Pm#8__Z0cAq7q2|crw${mB=5_j1gZPUa=+g`_<%+WRVP~+2Rg1 z`~7VOQ+h`#u>^&Y5p_P$_a3^hzh8M9brHR_FWYoo)2v`x^2fnS0j!%dLq;6z1wsTW9AAFAcV{5M5l_oA zcAL=y#Cl;G!kgBU{<&WMq4GfM7L_(iGL4K7*_V&aY>AgQ!0cxY8{wo-J`PoctTXRdXH?%i#ec~?QGBMhJ)0!(D==)@NK#l zCTuJS)I3GfhplV@K{gSXn{0>C_4&cEq8UygP_D2|4kxe8Is}u!R&M*dX_QypEZlf# zV0|>vkd4J2m7D69D`0Mk{UQ|-k!SeI^aq1!kfIZ>0(?bN-kkGoqXrPCQqu-0=1u1H zB$fP}C?3rc7q)5hM3kvK{031pvOk~kd(H{($n_nRBHH6xf+lg|nevypJc;9C&0p67{4KVZ#L#To}xWF1h_IO@+4hr_}g z44_*}Phv#}EAl|8#MbKBfT4a@WLujfh7y!VdWq6qz-Zz`w2ve)DP##Dl|x{0rs|&&MXY1cHk6GE?Cf6gQk(A zi(-5bsmT|GBgchDF8{dH{h`gpt}id3Jpvw#jYnjA#`qN^L&KIkF>m};+sXy4@dSSY zH}}g;_)npm=a@0K`0yQ~kqRZf)3ajRP|(<&zM~7(-hdblgq1O6X-LAObT>6Tx@Y?H zB)+jd8+OH%$bmaB5*3#EIwq{5XHUnth2HKj99W#fq9tX#5=eZZ@CtO8Ot z$_6i)Q}dc1j-8Jbnstb+Vj4fLLD@J*E)26AbySzsdasIr$tn`qUyyh08mr758z^X< zH-%+zZp!YFI)VGcroU;GaIf!|5N`ANXwh^%BgvQ8Qph9R&}!+PgLMkd-!bPHK`XX9+YCn^nChTWqK3;eX_HM{)B>4$|M#Ee+h zT4PC^@>3bOU>Y!N^;f2dz@4~{L*Y_@K;lxW5_6Cv)6Q(R;AjXPLNQfq*go|A1*7h` z*P>~kGGMaDsBATk{Hs@%eHM}KSW<>KZ#w~fy`_J(%DqWQOXN3vjL1qD-W`)PYt)+; zEOl>*?qWa~l7~G_FQ=mX%y#Dw#2vn1 zo2<1xK&vS^H3w2Edw^o5BAt}(TTx0aNvTH&TVb(rU%myeIn-bI9>5}9L$CA}m%{ST z@5BtisD;6L9V~8&U4Vzc5S<(}CFcpMe)3;BA(?lx#T(VvDmC48l0Uh+w%nif9!3JtE+gnTmtfzVq}AjQ8Db*OD4RbKJF{swo*tM@Fv( z{1-4meN7+k+Wl}0PHiAek;_?Lpk)poE|VC1`fkU%qB-Ota?H1-_HfKMH!%P3(6f9d zVlXnWpS-nXbUgex9{Puz{s!?X4nM0TFichZenlH9O62w@y4Nn+IZg$SV!sklJo9f1 zpd!tVzq%<|ozIWg|A5{HBD^-9{5SLJX-gEpx`Kw45aqGst0YhLOr4=4i-u0RQ@whJ z4aCskHVCYTo&6xj*hPM7c}-xy78XplxKwn zUy~xPRox?XI{r%0js!E#<-8K79&}m-8o-Gil!L zT+7^G-fv^+28N~ixQEXTY(x;vK3ws|+alNdpAZE(?JdAd$@JmCu7FTCezXBxLRZp( z&)+cuqRBBr;SzUImtR=LT*#4dzMA*8`@hSUI*wCh%1v9@`uwZ$V26;zgmMVqp;J$p z@yO01oCAXE4UQoFclh}V_cdvEq5}2o2%t2NOjyS{Q7h6C5xq80-Jci> zQ^2hng5m3QF?Hz!L(t*_7t@+T-X#gM=S^{&-`O@3arWw~|7Y-04B(^!VbCS+KbS~1 zL{W<-eU}2=y`D%xR#RvKdt8Zz_}@|5ej#E;RK|KjyZ?NPEhT_(+#@|c(&i+skqaDG zZ9ob9B<8Y1R8hFSD0erEhdzBC$xPq5zr-Ehgd*N>N5nvptig8^&|#Zs{@Qfe#p3}O zeKImV*izd9eg|n`8ciP~3Fb3h(OBA4NEivl{}u}6G(Hvn zI|g;CULj2j&Ai`VNZg{r97&@BrP$PY?5}BA@kZotRj+@S4GTOwl1^ zE(f%d4>`7SV!TxV^=FWNa{7@>wRf1rgNbrOq~AQt^=pjh?6OFt6O6;ExO*nc#)BBuCfrGSk6kbdMcU#E};WxWv*! zsKT`J&;*DbAWBMH>Rl{pNu$zKu%x2+&b}u^jxtmY0bBcs+a1>h{Ag3kphUYhTvu#s z>}~WPUG%kDr%@f(Z2pw+oUVwM>Y1>NA@i7Rf;u)pYRec~O=EYPkij`?sEQ=4)U|}W zc_R+v^*jfiNpmuq&ivOFtKgWL0mH?n9fLcgFC9hAZFwgmNkLq4MrvNt5L-?3XF+Lp zB}cwW!2XF7^Vdiwtn4OCLeZ32UzLIjRUT-=`rpJf_%@D#c4u|C=1$qVM$%MNtD_T( zB-2l7frkOe?W?xe!DL2W0N!&5sEy`ATy~rBG;8>c^&Wf$%^A6`4+8Exj2L7~mBl=~&VI0!>O)zgwAa@H9weTcKE`oT$G@Dv$%_81U2%zd! zc6pMMhGx#0`5(BK2M@B;f@#n5V4X|o@HHwxzn#Le15cG-=IEiNRLbU)_Az1{r805C z$Mbl*8bk1znI~1?vS=fCQ{BtNIKvtPLr*XHo6Bh)JI25}w5rq~lh7%$i`J#ka!2AT zkaH^_{8GP3)2D{qw4nJyIWVS+}Zhzq+Y9=2dfs8 zi+csxT#^r&ls4%EHbi|h?DBg9?~c@J650nEgT(a7P%?O*4nfrG{$Q5{=C-1J+mh;eb=OkM0QhTu}Dn0leYp9A6bbSczIg;oi#-kWHrP9cMDk>Kv^UOPwZE{d(j=&&$#m!Yr>l@?m+QbJ zy04F9d2fLDY_-VixztrOXvDF}m*O}hA++nb4y4(mLt#IibvEsSr?I*%t*NB>+bh-0 zl(EQ&|79NdTbnU2v_Me=U1IrD?!{!oB@+hJtj^hN_i_O-H2zI{zfO@i^3;`M*2o4_5yZ{Y99S86KCR1^6{GXVrFHnzz^Z#fTzLKXnIR8hp zfXc!7znTROPL5_>L7FvKa83~GBY+O{h3la=JAI=e2LF2U1vSz)H%rp!{RemaZ?crY zcbZ(*ubck@dQe_8Rs5h;PZ|`^ru zj$(Dzk^8KX`cQqwchTp|{Ou8c^vST!|*r;Tva2-wrH)&cyk zwf@0q=%96ddZ8m<#MJxQY=xUyujN!4p~Xq9lQp||gc8LjqthlkpFSX4#XWdxmXdVK zbu0*M!+(6e;3`heBM`_4-&A{8~S|=hOyLtX( z2aV!WMkdtAi-*WV=A(u1i;?2OEaa0(xZNu(zanT4WYE|wY^^$B7-Uo*K4(a^@|7fB zzUmoq>oLyVhhy+Ya14t3=LxD#&`s;2!^>MuVmu*WU11hp&)TPBk5d*5$WTLwwRQmW zqgvssw*p2j`=J1l1tuGwyyms);utln_GOvhmsF_|rwz{b?sQersI~E)=qxed^;8_k z#k86z3QVlp+A1fFV>j;Qf*B{I)nrqYjisfi6h0^=(s1`#8zyBgFfqpO@(1{kU?2ES z{Ioqal@0Rl_@@=N_w(}K?QP0zUM_(hUl4>kcdUF#IQri|5q~_|xrpO-6Y+oGD(s~G zLQoNd9@T_TR~lTkRSTx&u}EHju0?V@9xLADR}4UHKkc%6G_Zl$+*CrAI9qYs()9Q~ zmes4IR6VVO6FarHUs*opraf92*4~8F*PBO;OcM-$XtjHFe+IE5Dk?FK_@=GZErhKu# zXHwi5+ri^!h5M@0PF>5|0dU8!O3!mBgZ?P5H2cz#2nKZOhw3={Z&=n-u@O)JUrcE#17tj6f+>d2Zf@TJ!X0jr}00?EA z=&w2EG~XMd0;FJEkFBRS)iqkVwqV6o8^_(#bsVnW$*Tj%FAlo(4TRlmVxp$P#f}}g z&hG+*ty0mm^{(0MWLDsEt*%n!NLgz|oE6s#?z zZ9lgA4ezpUprl81hv?)@a=g|1^?LsBuYX$jP=H3h6H`36nHaSR7=(Cr%-dCn?*$PG@2k1U z7SR-|C2nf%%7yAMVi!WQ*8{Vc43`(7%#H-nB^pSu)Cin-dB#BWy%4;q-^!rdWVz`a z!AJrKZ#(rpPwxOxY&n`CtPpcFe1Jrmbzrs$X0%=y>^^-6lB9iA2cTw>Ll86J8L@a< z=O-==MF(!_4m9(kaM(9-7m5`uPqfK4`ztoIj>=7f%$CXl(FLH*RmA*`rp+Ddd}BWH zFI--usnk$OjOMUM7l+$ zp8(Q3{L0desTBC=HB;a3mJGOQ;1QH9QWa5`MBbbcVrjqBOYv){)i@B=L>jI|;D<*8 zvcxGtcL7v#ft3NOsKO!dH8|b73HiK|uoEF?vn#;AZ(GlN5^29TDn(JHZSsAV8@W(O zgBXQApp0+kU`Wyr6VDk<} zUqxry{H->6cgXHId0dWRrM6Ie-$JH6biu}Tln zAs|(;VODk4VepqS4eS$D2vvl0@bjw%4pW(R~Y{9HV3eBr>Au9`gKgYUB%#ff?T z8GD(?-PWhnh;PRkkZ|948imU;k%aqA^-|BSdoFhi3dx*9vLQc3mq{^itWfe|%Vh#~ z2&1OU_94t56l!5j{zTGCH1=g}@<-Xuj`W8aQAaa=bK|naKI(b({mQbsH;yaY46Osgs)NCRj%FWiqcg9|8$uCl`l z9V#dw^sRe_;Fs(VokIhnn6Iv(p`p`O<9>eaX@0m~`x$-B*U@*KE@^F*ZzP^59QMnj zP%uK@%JOVlH@!K8TJRGJGe;IJebRu&Y#VfQ=8|esdlN!(eteWzRr!uR`3L;8T3hv| z(-?Wri|&&Hr*%TF?hhVpzTqCP75!3YtBSjUl#p8v+j(HkQ2M(jT=W2$euzVg0k30begeUlQa&d2w)74&SVG?f=I1fx%<=f;2 zH_p?jkIZuGI7I{kU?oZ-GAxl0J$OB5{3;=8pHxhHhhp!TgasPB|NX7|B67(^=>G@i z2nQdf`8sHu!N0oBuprsFzG{vf+^A96SlRyXC>Ky|H!uBvl3bh!Te07(jC!3El+*WK zYvF=kYGw2X2q_=9*I{1rQcDaQo6MzuWWK%T^v{l{+RIE?7B~h$v4{5$?;du79(!kp zVDm$`h2hVT5yaq0F%dPXDs`MG)<=SH`BE~-8rX9H3+3t=x8DWQ>SmV8;<(W%1-QA< zC1{4l3NV3EzT9zQcqOt1Sm{%BDIqc>ka!c;8hb=IGcmgz+=zBU!>*;SeD3;nJr^;~ zqxlJ}1a?7(s#)LR&FspR$HJ2N0a=k*JvO^pM@8rV47}|^5z7|%kjm6x{ga*lAEw?h zI=9*e-3>PUnpPGv{>%GH3mB(rgx`)Q5>o43@}T3-R9zFbQnU3RzW)?ij;$m`HV$ zL{n}Yi>Rf$6Z^uOqTE(AtVp7Xq)_V z7>u>hU793u_BE66GbMh@nRDy(rvAh@efAZ z0zAwORF8>Epf_YF>JP5L{oc$@bJ)N#Z=oG& zI~q}n_+f!KId(WRtkGP0fm%mZp)9*HF@ut4nIxh*j9`WA!R6|C?DK_si|osnp-gF4 zgD8o5`4_(O;=qw>bM~BhZNc#7>`Nh__D#`oXL!G{YS=f~QqGiSCOe+1D0*|yHAMVlP)Gox*_Wj^Ig7@EZbSm1&L>R%h6?(v71*V{%#T%bs#X6b~W zqe7{A_9>>0tq6E(pdkY;cA)m<3|s!|-`gBWDo#Oyw8)v^aCtQg#aI>^cjUNr_w+~vt5ajm?Eespf;Hrxh zaO9knE!;()0woZoph$ttV&i7ux|}97kiqt*l{Tw>{7RcbQ^Q(1PhZa>1sQ7g(9Igc zc^eQ2s-;zmFpEn=R>kLzq?{z6SE{9dyi<6p!jOaa$&PJ+Z0BY>T=A zaTKcGG~iUen`S({1z8c>%Y}!hMG6GWo+;P0c{2x zZ+sK@ecBTrUmB}Sx2CdM!Bgt1aOCaz4GR=z_?*R0Le{W6o1Oz0kr@PZ_>;~Yw39;% zS~Dgc+Q6{q8yzD4VVPOF;pM=c(I?u%K(zIlOM*P!oC{-I$z?_oomci@$UE1CYt1xJ zJ`k0QkHcV~fVtp!*BJbBhbjIM^q^8Yr;H#Ld*y zJgm$oBlwVd>GCdF#>Zlg1w-r!WXK?nkq?v&gU?SSOY37ZIZFLr5WEe2y@$o-Xg8hM zrWJu|W?7~3_93>ADQYm?+k-*H#l4D~{qtgh(x9rgtVfG_YZjDZ-}jro^MjQr$iJV3 zyDRmVFKa5%0u6GJ>96lVE;eT_9LXk3N5|-jwhq6pu>zY#!Ea2fll^;saQ7^-qYPjMx4HT!Ys9AbEWamOV0-*3a^TGm({MI z1#jqnbb21Ug>{DCI&wqJi9&2uf%HX}h5xV~BLy+R$bU<*GW6U5rS_BOK7*`9Smza2 zF$XIr#58L1g6a<;=Fn_aN-_0>wcOFX)|Yjnf}?6xMzLU0j>yu!ACaD#?>9j(z=V+3+rqlPqUvjA4Q9T|qv?$xm@ zB;M?LyFutoItxOExDa}_5cjU#GMR7?i&8Wmlm_pzOC1ZZV1w)BwV^GiRj*!Su%2z! zwb72QV!9ydlai~!rK1ZcVYwB(-Gh5YXz=mwAO{05bPU^pd;;&V{nvlXj)l)miQCzn z@@LwFjs9BN^@2jai==tE(?+GJVImSQH~N0x^6j`e58I?XqJ2pfth78!AQui1+~G3l zeuEtm!tegxq-D%eX_}U(skXknz|;&S5HP6XXYev}tP(?}V!6SqY4}U6E`#x!`9s;| znNqb}xQ13>AjpZF;Rgk0Gielm_Jaic#s!FOMay=zl{p%^E;ywjytKJw$6%9LTLtSB zXmG3(NMF2-k+6O6%&ip|V>)|{%r-Ux91%|ot-4}Mf=gRIq^vEK*E0Z8-%YAQsH{7( zY2JzXT)NpxQAo%cgNbMr8>IneP$P-RtET*~Wl}tFMB`f4v=jfjE}UoXnRur?5)O-9 zsK&GleQ?EUj2IFNj650cg{>?LSXaAK_i;IOk z_q!Q+5K`VO%0HD2gBdc%ilRE&wX$JB)yNk9%3tbgs4+x?)(x5m!JsmPE+r6~b_edc zTSx$i)!`=^Q8=+H6EJgG zh9IXa$S90dMmqnGDJ!Fz|?E{cznw!o=*=EEa8Rh9$tQZt@Cpeir zJ|$8B&(E(yE+3iafF1DVZb+tzRd;P8TdP2B;n$P%o7xv0{qR@FyXFiS(O6b$N{hJ? zhiI+Ie*UAV3m8NCQ0&H+2nm)Lpgl;fkC#3r-I%b9ou~!jh zE3yZW+4dJI$g#L22Py`13>z*F0=|?`Ws_vznevBtRUM^!F|^_K9%3afUf&7i*)&CV z7z-hO5)tQyKsp2=`1kkq1-d)!qr3vIS4I>s_4+0r%C;j0uf}V^S(ylrSUk|H!{_`8 zuzniH44#kI-a9^~8u?j>IO-TRRB`~+ryS7m((>1NLf?9A)`HB&t8Y!#!StPf<@_?L zcl=8L>jbKp-cZkHgaiKDi2fcTY)25@7y8GYI%KoH!+ZPJW4j)kGz>GR%(e^=63?38 zZco<8gATHb`k3kSYSRwLV#A@7V!A6q=>k|M^Hsg!1Ymw>0bh008ew5pReMK5VWpH= zy-||^<@0$%Y-+g5XRc}<=8@ay&96cCY zK~BP`gD`SfC7pp=n-fS!SSNeF9%(#~60jEtS+?8eEsSk-9J2Q;b0wfK9f6yQ@CI{3 zbw3xgd$!Y!WpLmZHKgBZSYlj63d}^X& z4`s30VcwQSMVz0Wv1j6)TxH{pin=DYlbvPevGhzwmar{`swEg4o7>}fnykIXJ_)|o zItdQdhDs)n4H{}ZO!k6B(lMTS1vZ*3ng8!S<~u1W;Dp+ozCj%Y3d+HeTH6C@w~WgEST+6AN$8r*dv3o51(FKp))kYa-lF zZpX+^X7}os`+Cj9Y}EGr@B?9#LI`E;^L!Wga``x8w64cxNSLrswB?`dvQF$T^Eayd z<_l#%-EJWM^!!;h^{k|OKc;J1b!d8n(^fEc;CtV9vagdfu(Jm;ezM+uygdwLB58Fh zc~&ONaV$0C9_^9cWzyZ%a=aY=TYtysXro0mSupr?`cNQQlFBk$CGkA;gAXPa4kc5N zY~w$dZZb%xa~Ee#1pc~MXj;1}Y1+gv*=S6?=8W~FV~CD;y(It|W{LU?DDZEO&fCYk z6Tt-dI`AK7$;JUaf{)5LXG#vgJyrQMzd2t}2@VoVsm8PsA8BxS^u^K{+z99o0uC~* zN<)@XHLMcE%-C$-UJq|!hszV1m*^wWbhulgMogd2h9V~%`bn|UC|<&0iBg!pop8|nY<=yPijDBSw0u18E9Eq40OAZKzkgd zM7qt%l%f+4jp4xB=cwPbD>}X%4Z{J?=sa4N_I>PH%S&qn@UWt5F;;KYt*S~Y4>kK? zV0_iDuZ|pNU>vEHyc^~e?%MaQRzcKt?@>=iQ86J_k?O5`TFlp1npYLR9`zR#7(Xb4 zf4mC#Y77B!L5xv9z@9n>fLHnr)DbUnHcdg{k9tU6W8hh5)AKr-RN}XInrEvt(BjpI zfdzqF?o66sq-t9esX0|qriq$IT)0+5Q$if9mmW1Wp8T?@8n72fEBf;12Ynl@rHqOj zZ>g)pVuZk#`@6BS$crYN0L~}3xogRWgAoz1=Tac`VD9|#1%wryU5+-Tj z-qmtID=xZZDBY&bDTzvU8baS#Nnki zoZUop-WYEnnh3-{d8ki1Ryk(9MeXyWI{yjZytFP?9`dpxu}M;LFd>e+^D8d^zyLQ{ zz&G_+k&QVM{guI%jC1CZbX&^4c6p?yjwK=FPPGW6SO7?;RG7(Y1B+;5%+T+DNkr1a z2z8-@SWN$*fo@n+Q!jahKefPVdEooAg2>=p5~H*3q4cfeK4N$Ydj?TT0CTM#ETYXt zZnwxr$o5;0yIIKg(XgAvrGb77nm_>*LzSR2(PCW~N7YyoIFJ-?5KTx5BBUW9>VwPT zpNcolYLg;A+rU$eDWF=>-WNEB|AE+lRIAt*0^pQC zT}@!zrUJf|Vraiyhh#?vx~)fe2{FaE;>cZS&4_}-nZo%jKn`@XxZM7og#rn4cw{ND zV2~!2qhM`>LS32yY&WaB&9pvp(i#x9ef$M5<;|m#uO~TS>%zPZSNDK0?VqmSd}mV` zq7CxA@unvH8UDt^Ub0ykcv|}XQ zJUk^fVK-x2BCc3NG5-R}x(0Y`?QYUpiKF9qUM|);mgy20Qyxe`BTsmIlepN)eM-|B z$ittZ4VOintkBH=Q%g`JHJP3s+EyM+$7`ICNBIj5g1qYSKNb2jK z%5z)3_QLGNzPq@8BBJ7$CaqC@A)Oh-kP{=T*D~=|`GjA)WdKno8jm+c!!;?#00Kh%>+j5x z1=gpYOszsxfJu6?d24899KaX21feR)Ayw)eOFG`He_M5g3iJ;f=fBpphjuEY8#iZQ z-W&f}XIzJ>GyZ1e*ssbY1Xyw&s`zQ>q(n?Z^g6hQZT%9QQ-WB6yw5WdCAV}biEbtm z6EedrLCQXptEa&K*~oCBh@LOJ@)Ny|cfKvf4>yY1Wp_0!3?q+fQYGwfOl4~u>UW~J zcZroBr4!t&6qxKEww$LPTMCxki=`9_V#)zOOo+fvSg9vJIUoEe$aAf5eP2!3y5gLW z;`l>SL9E}@TD+YBdJ72}uOuhajjVi)eg<8X%0jX0kXI9)(C*CNK6x1GlP~VeFX}-~ zCD`T79@HgE3Td^4^OrIQ>|Z-d$$w{DDPvw5u^_Zd#K4S!pK=}-7CA%3pCIf$6O_>A z0thzNdcry7w}Ibwl2Un~ou)1QM1U~{x)*FHVT>a|kzskIg$Cw;Ib zY6K-^o;a}#P1J=7>Tg77KjSE%B~if(k3fdU`~GQ`yimth10RodXDa?C+C`e2fyr@$ z4sepxn*+532S+?j+c||Sq-@*y_;$1mE9(K1jr<#K1XzqyqxH*&r#)KGQ7Wj)gWat5 z!77)Z*TIe(Gr8WdYS^hm@-^e$A5ofvzpZr@*wINKDI4a40BOzurC+cFD$~C-1Ax(SA*}7 zWy?Dv^-jT9ywO5dN#TPt1zWr?X;^+Vu`}cePW&BWjQwU+*K=)SI6%CkLJ}dfkPl5QSsb52F;Tjff6s*78a4{*p;GM&3bP= z(sy3gC2M0VIIb9!a8(fg#{L1cTBqM;22i1)OIehpb1+2IJj=kY&PdGRt6BM%CaevE z3J$0TV+4kHFWotq^+R@9TYcBsjv8$z9J&UxlL12=1=my5pp^e^^~Ub$7bt}NK^suP z;O>d<-<3qZSW&HvFu@?ZVg;33)CTbNhVeZrZ8UqQpcYYL@7$>2+u(!rz998oCX|mP zK79OHjcBkGC%W08T4%yQK%-x-Vl?Bl0WpHrg&>FU~QmvG6>9$<%i+Mpst zte~BSwfHNOfj*sHfKXNfE>ILA%s#*6*wc*aB@ML;4UVfVvCR(;WUnXvEg|a!ME+T?b}tXy|4PK>I&cH%(h~h zlHw~%t{WhbiqYf@DO2KgxFscf36S0vVL3FmW$)X6h5POmB=G#SjW72Vb7tC#xkfZ) z()#T_$uN$+LZ*rggf=ig@@bL3SW@{aQ5n#%^X7Y4_}!KB`dx8&BGXl)PbyJ0iy@w( zC9036!^mOv)1vlQy~yJ7!T~4JL-i#UuH3L$_{cl3dG5f?)};&k#KvdTCTZG|_u+OS zkp=rKHq~ioNn~ZT*VleXTOoBr9O@o7=2|~iUI+iaS!Knwan`q8)}_n#C_{y`x)X&c zCV*EN#fT(lNJ?AzC8+FIuMg&{zK7z zn2BQa-8tc^sda1gI0g&BQ1y#{NpAt#>{0+IoTM!&nhCIU=ho&?+EH*0N*qKe3j4}sdjw})^(@bJFL8c#>ZYFH0?0homVp%2^+$gO&`cFF1DCv&U zS#Jn}Xep#;;uVOV zfZz@JhcaDFL9Jq+ZhBYZe4a$Lok%m|nY`6iXA|YSQAT*$_4c6DUA`T%X53ULjq$jx z6l*9!aRW6l@JHIXN!6PzlefRUEtKe*y73=M#i}4sNzJe47&nf`zS5)SgNAEhk`T27 z_TL&p+z^yO3c5?Ln1qRSXNiI`iMjDDdj{Vw$NT{!3^J#~BIb4aTK&d0j|X?mlFg@& zfvG=cRQA==6KF#5J3q4o5O;2*c8j)6`h>MJmL~Wtuo4d}>+=N|m0UPeDW(r+!F;+} zYP8-jPx|E`jMjIBzHDYDBe2kc$dQ)UVn~OgbWfr$+1}^gb&jOLp1k|(hcVW5!!`d(9maA zP{JC5qnH%$W!4R83{$K$A5jQTv`hAq6_fTjugHMYm8iYuBh$up;|g@@EN5SPp$ft* z{Lv~Mi4)~&U~|y~)j@){i0)5z0?5Z)EsXL-B0fpvH26QH>Q%f<4+i=vB<0+_4>KJm z4@wIDM9g(B-#=sR*q}H8Z!DwwSn3B^L>yJ`ocI3@2Qj4ntn@it-+_@d&VzW4X0c65 z1OWsN7Dslpb*4h^SaZja883ZI^}37`L@O6YA0MNoX} z4;9glu(W7(+SP%&s=QeCxLb#A!l_r&Cmx%urglX+x~zfNW5Xo?jN{;PLoctMVnkPd zwB?YY%Ho#MB@>DmO)b*ZqH)p+<&8zbTXO2K-FVQpWNa=OaA_o!SMVBE`wIIr$ee<2 z*>I)!gQB~4VGjEV!3I&j=-&i$u<5vwc-|Nvgq>7Nu`WBI8#Ao}VkyYrFx3Y_9Jypw zb-}EHcM_^FpY_VkUdbp0^$%M)BGg*_;*V^cPL(wL8L^~9pz^=owH5|2um}!k*MS4K zL9PqrEILTfMI#hX^jVN6mDO-(0XNF%#CLd%MU)U6U(H27%WRz3NkCND%>Vj4QbxU+!Z4 ztUn0zz-jRifc6-ARck?e&#WlzrLtUXxh5Hw}p z8;Aj3Qic7M=oay!G!ub(*lXgm8V9E9!v+uPwHTn^3 zT@zDwt#awgCin`-?OH>$;|a5-3oDk~SJ&$y8Zq?{8^|yCn46~NGHedP4=`yHT;eQf zaXJN(#x|k&?=RaN3`C=Fc~hGTcta{^Q+klmX5uybbuDeI7p6*8j!nt~Xw%gWKt%?) z7@HD`ffDjZ%)b(ec*&3vwfC7p#?a|7&hEAG_z1MVwK-g_G0LjjH1Ta&ML)dL^@Y5q z!PatAovNA!v^i3CX>-qqVCB{1;f>Nz1vk8(Q1g0Z=t;eoB_egD0cQal)tgwAT76uJ zI!{dI8VX<~tCF)si|c&+Zux_GR~ZTwt3AO8z^+$jFQDzdKUAg+Z(1rX^3Z1c+d)x3 zyp>x)(KbxPXxqvPM^a$s3@L@esgQKmBizPQK29QplWi&6$Fc9tlkqhsG8n}4%l%7my@{M)=RHtx8-FAyo z1Dl~+f1CSq=oUk+bnccU_9bG!j#{)7dj341)GefHQs(Y6!>KzVvYD{oVqlEB)dlTU zqIx=D8i{C+(9{q=vW?zZfYmnJ>ZoUbtKzku+qJ7`WRK@R_ViJzy}TH#{)_eYk&{(t zyH;{H86|2iLf>^31MAbt!)3nzi<>`b2w<;45MZnXVO&mtyAi3ySRe}8bU6y!gO}yA z<#CBXRJ=&ZMgYnx=rSClkf8GQ#-X(uD42@8O^yD&ipz_qkx8X^qy~KR?K+RtJh)8% zS0)$mf2w1@Gr8X>+}cNKOc3VgkwWLAP^Vo(}Ekkmi%-}AWi_Z$UA zlgI-5@7ghbOf;@mSM^Jvp7SQFyeSgQ$u1cNm##fBgMW z=2yk9FXb8Y_V^i!gqbae!eK}7FHU*G!fhm9!h-q}1rDIj#(KwyTp^rMl=_S{KD|ud z+%OikX}nkkkmB`cL*&xQ~Ta-~D5%Z4}e4{oJePJrdEt{e$r8-|qrtY-(z0 ztylQW{nlYs$V_i{`XG!*vjno6k80~TgqXSKja^PH%p}B$axfyNv#Wa%wu=Kd2#TDaiyg-$laF?3XDW;-A330WK=Ju9 zv^7$lcy=z>_pgZ58t@?D?=`Z!;GSnJ<53#wYZ`9od3G*YV4Yt=yh#Y=&`ElJ{|j7t z8Ug-|jW2Id1>5d@jJ^xU5|e7HYh;fQh-nc9280pA@it_CfvJ5uLGh7FhqZ$R4=DkY ztz%J6r<$|;JL3ge{R1yKf^33KIF~ATT*fdV5-+F6;N7Rw7wKBGsF3#sb@6%1Sqw#&OVa#N20cx13G&YzDy2H)D-LZ899?d3_52u~+aJQVe z@aafLKotwbq7oSnAslq3)hRsR0-bKOe#ajDw?^lZFN^+1OU^ne?BMx(i$jUEiA29= z$oE-kR~48x;qfW}`?b$}jeoAtDR$c)0VpSFp#qHhM>Q>&hZ%Y$&peiStGrwIle)A= z=KXbnO_$%pO{47)7o#~5-+iH$~O#q_>78@re@%LF&e5ajSkpIx;&HW7oKb zHzia-#6ZI&!m~Rpfx$KgU`dOiSTKBv_<)K2L9hlsUMd5%R7S;u_}z2#(`J284hSv` z!`nTm_8a=SVA#7c>Z!&cda26%#`S&kwnM>p+r4qEIc0zhx&k{Wkl?d_;oO4bc3MJ1 zJxrDnEk8+8c-&#VhDGX}$)r%IdCyfw!~xA+X^jbay~F|O*ilxn!L#L8^3k2_K7qGu z?#{n*%Hd#u=PGwEC{Gl`vl}AQHn7Lg3i;fv-ILd^mO%H6!kB-`gf598nn_DqxJrbe zY*4QM5X3HXWL zBK1(BFRaler=+cjw%AidL0J_sH;Ku%KY^l=0rfVdNb0okwC+Svoj)(ywSmO7XeGAF z`(lN6;5p>su+_%x74PVpg$aq`rG|cgp=<>^jKgJ_7(g)WIS77PYC43n1u)R{KPO22 z?Nnk`gC-w}VVUI91I0I)qOVUsF2X(0VvDt}B+%}JeBrW$xm01>i3zLreJ{v`&x40G zM{J}t6J|P9nyIwQ|Jy>d=nXuINzKPg)AinEstpK|O}!E)v*or_tkQ z=1v?bAGAm}*6u3rJdRlkA1`6Dd0lu?(y%flLMbVqn91}TO8MR%_ms|zB8fg867bk{?F4H|ni9MnN?bx@y7o63-2 z=-4DNHS0C(j=(g1l$^#Bz5pf)rv_6^@7^VDZguVXfv?^C5q-kpaJ-E^4)#X|Oyi{&E&WuOz?JKs~$mrR->+DqS zWQ#2qzgt|wTANNRZM6HEJiWk-O1HLaryc(UU75@K4K4$EIO06g0NULWsVgEY_ol

|FdX{0|hXpvL?`|-~gEa3o-HYGm1OdyAtv85-}=selM2*EX}zI zG{s1%!?H9a&6fEzr?CH#Af39Pfif}u?>PBFQ^sNcn>c#YI8G`B;RsC*2I<)U>dW%B(DZgCIjWtzUFdk{AyE;`F$r(yT8VQ6oiEjc@*vu}s)vwnk2jCUUCIj^Z8eQ7zp4R$Fe6L|RvdQ{^0FW?(G;{SK- zi*&kAAq{IJE4-RCy@+$zP7ol*n{jRCG^3!S*M9yga6x0xep7cw?)AO&!Ds{ZspU}sDy_=(#wg1#gkl>yY0|i&cuL0_J@KK1lt{Hq>kEl=i3UU-txh#X*CYH)lnUG{B z=To8VW-Uz^J-VjgZugwys$MLd?R)>33<78omErD={;Lh`AqanE33@jNbA~)he~5#ZEtU@xB?diV+Ib} zIF2F%ou_oO1|}p!RIBO(D^|*LWNYnYz`mSC1gZgvGwe#+qUyXaTj*V47Mq3>Hyd{x z__{}sJX}qE&PpZ?FkJ~xyLvd^;Ug}9=&?;fW<7C1|S`9qC ztIeo6^ls}@b5=QjCwzmMOM%Vu=bBhPmc_quN)!X~W(XAMC(c?<1iRT9l5bN=(>QK# ziKgT~{wm#E32@f|4-(3jp63?3X!Gj&Li> z;M~8AhxjZHsqmv{fG8GOAT~?&g=yIV+>>uZ8}Ak6%_&)dBkX#v|WB4`>0HU`4XsZ%5D;<&f& zynY$_`ONu|DMF>R0m1zPCRqt~swSW6C4>4;1;R4Wp)IhWvrF+gVRQO=BvuZFsmKL7 zAl-t%(MF(|%`NuPXILp1WXA_#uO#oJ9CAA7p9@DzQ|+&+J78GC5Ym%eFz(e$dptPr z`rh^sWuunP(A1bJZam9-URq(&%?7+s7I&;$=ah0HXmxf7^jYZ#&+GaVeN9b9UIueV zla@6!wBs3HzTuWVFIv7f6i&_gh&sYEFfOL0XVp>d4mSW!QB#Dg<9dAt;4N`>NH{r)OB#K$GU7)w0`7e z!j8s?Ms=V`uxIoOYBUNAzR|-f{&Eae?+^7aVLKAdsz4Kq%-q+pxv@X+f&s(ABc6*d zzG{MXMvhe^Dv)rJ0w8s6*dVu{5C{ybf7BB5B7!RASQQD9BiU77gO+1`y4O6QKP$x=%|HuDU?42w!VGd z(%+<`ZUl}=OGaWSro!fBS*&BMb^>t!l!JHq`3 z|EgKFqnm7yP`L{C(~mS4^$zb2CV!DVNdVo zI>n;1mToR=W8U6Dxn3=tTCz<8Y@N)mF8lhMWWsF;1F?nQi`ck=>qQT3dA%Qf(iX1~ zm*|SuzdYIW;lFyEf&mM{zbJ=J;$%*g8+k74eh7e+VA)oIUCg40_ z#mn)N^6V-09^e_=<|%$3{S_5{QcW}?|Fli{b;+{Ri+~~E+nGZvLHgL5fA{=IJAXY6 z;pG8w+j4ZrH9Wqj0+wv;fpHs$a^Mtb1_o@=C-lO=vR1p1dZq%~>V;0m*uNvZ(raE% zgHcErP)OQw3k2N9V}}p_k)|^(4;Lx2N1QNSW2I?Bq)pjP==TSTc)0C=ASVQ&YeAd< z#gwE=NCHdlBJz*#xMBhC;pXkE#PRaC^$)tc?7M6r*0A>U@GmqF|5wl4U5CGd3~KYXfn+gwm!` zWi3-Am=;cn(T_{Qe$0Pd^FwEqbe_e2@Csvqs(`N={%OZn7 zn_}yv9bn23r+*^cYRh<~-f)nif&o~*F`3`wGO5*#G)$oEEUDX#G~X-=>;Dfw1OAWR z@IQMY|3`254L<|e+5g|m0RI^{{^L5tpkV*+)(NBdx6vKI{$FnTzve>#$A7VDM)CiA zkb}87x`_q}`hVg>s?X1t%I!3F^XeCrVZ zv-6S~6+nlaDm6`W0|wyyKJ5FCA<=AxhEwEwWc%MZj7BR@2MXX!6+-2tZWa=v)nfe5 z^k2jn#-w%F=SaGO?s2}ONHc`hXXC1M=zYC+9Wm(Juj9101 zvCP|zCb`YcauG)==f0o!(Dg97Al`k=dDv(yty|B`{v>B7I6aT~kr^U$O_2iRitH($ z5b8fbrWLX%ag#D6!jZYo8RjZ7|A-tbyF1>Ih91}|wznG1ksj7>#1pK z{cIIIWO9{%pZ`+y{gA}Pn*jsZk|fAQj8lsNIRnw43PD7?4#NUPBkRQr{F!kr4Pc}= z<^IYVGDzq5N*@*#D^;XH;aOWX2-{;H>W$AE>(}KFQDK-4w@=ggH3nsy^au`HLSWbu z3>5cRR3C#Q>uf5vF+Tv3*>Ekfo zmIw8kf72JK#~^GPCt$5^D)Frv$svz{g4TB7zqoM%4lT7nA5-W+l4i>**=CXd+9uJM z$WMuLy)AAvvrj0+FU zuO8d+J2||`jD4sm_*W`ZZ12|YK^%jGg!Xw4TP)h#H@|h`AakxBn9&Fk^s6Lp@RYYX~uNsdR zQ>B-L*@V2{+$00)(7{l~A^MS7YPP)*EGJQnfL^NTy=NJj7Hy;F2O96;JF5nqwp}d)`ZWF2*z>&xk&o%57il7o-X2 z2!rTEqD5fl*x1~Hi%8B{(mpaQ6VHpxn4`S4%k6vAJlTrno1nJkJBojG(rorDj7;Gk z0VBS^frm729I0;7WUBddQasY3f^6h__>Ry!a&}K{Z!x7D6J>)l9U+G*aBbSIFACR+ z#dIyi1{dLvgHk+*U3fv}lbf&a9N~KdxxRW|y>psVa>;JvGU^8E0H=2eFFB~uC#ASn zTCg}TJTJ*4Zd!^^ocm7_68J+m7Ms{K4>f!Z_*vh@HmYi37J?kqOpfmY_yn6EB2k{| zpP6hw;~mKvuwo4B(cdkKiWj*2ZI+^oBX&eOjmL2ex9t($b78?nd7Y33bY9sfVXv%# zm9z2^TltwM1Q3PpD3z563sVb*PBdwDOM8ln-}YNtE|@6lMk{4@fo`5JE?Q5&2U4ERrz<86 zWh9rTh@yNytlrp?I`JMxd$gEKt%Op->;SOFJk_1J_qFAP@~oMw6T&45tLDpH6x0Wa zJ{Y&k0E!m(CwI#@_gS5LSKW@)wH3zd66nLr0)>Kq?W?%nrC37zbW?8yUeDotXIW}j zGLpCSo+KpaK&`e*F8|ifPP!V&GcscOO@BbRfdf2*?TLa>DksUQ-}|6tIS3U_2!|9W zVInMK74j(=bPg zz94-CeDf|S-JQ2jyN>bUkFY+^6^`){IUre%w89}0Sm7*B)|NWJ6@{&Q9LF+G2R=ex zhdXyFfsllnpVa_T8O+&f!R!R)u!kC?s@uizc7&-}3c55y-9`R*x?8t(20zItGiwd{ z&cXxTl%2Kz-AdNbY?s8_I-R782bwz023pk;Np#bo)w0$_cOqJ|UWsTwPG8!#5%Aij zlbgQ=%-5OrvTM$rFc_!rUPsq@Z@^`~F&%uxJ!0NNAh>{b=6}?1f?8!b-R!GZql~YT zoW;Alttz%6^{uL0$-ToGxl%CQ*> z>=_*|Z||mlHpMr6mp(=J?AcA?N|8^3aym97jQ`Ec9qn_4*!BDEBi3Nzbz===E(YvS zSM>r(!tE&^ivY{S1jaP^s&p zB^k5Kjdb7HKRPhYbWK|<02amG>WZ1D~hAfURhR zhKIYty59dfsi5*183vNE!lYFLm31S}oFy$Iz9RCl!j)cF52IcQ2g26NO2vGb>297< zrPsq0b~SEnxGHlLMBES$aS;~w{{3N2`5h*pEuS;L><2J%<7;VK;ijttL#5!f#E)++ zZHrsBmD47c)Qoq&9|%F1oO$Loo|kh2Y!T>X6MKf zL>ysK<{u>$)0#TC_GHG9u6_4#FsX)=aG(WbIo&nQ zaw2eX%8lA{a`Sp1yoz=Z>Dav^gTY}mWz&uHc3+B;OUy#tl4jbxe;**T(w~zZXs{YK z9=c$H4QM(1R)xo$#G;I3+6%@m5d20gNXQ2ME%v0 z7@*@!xlQ0hDd_MHcxivI0~YXMEqHXJuU*S})Krh%2A1YmAAA}-bVN2Nv zS}!=?5XFPm_?aRp;0{VC*c>zEJ?wG2gx1Q3&oqE^TzyC^(}eNXGWer_zoMez?794Tf9GlI-g;}} zrQ&1Mzz29#@$GgeTmxwUya#r1RERwtwE=n8`8){*XcJ30*}WeA>ewlpozukl98m6@ z8nt;H0Lvc!APIb6s%q4*8{N7seYMkm&_vg?b)LR!VEb-7088!^a%|3O8fugUidyi< z)FJ$X<7i|%I!8v5PWo&MzWuc6`Ri@;tXFU9#Q0|ER8OO zXwFtpsdLX)a6%`CkDu;958>B&=_Ef8gk{d#0JY!c+Uo}E-|~GzB{0_mNqO{}Y%)n1 z&ygUIvRBQw%q0vrAj_Uirsh0ZRdw{Qy*fZh>i+TOl<$VQ`im0NZl-?ZVS8Od@ATtx zugsl`YwfyeV^FaJ|5PKHSS93aELo!4v|iQM(L3{!hP7i3)LId?e*8a7onv&Pd${&% z+qP{RQ+w)mYTIsVTbbIL+O};>ZDTsM-|oH7dDr=nmHb!Iv@8Aa+_^9OFto>R3(i|V z!--!2Gd~1EiTx((Y7Ef@(ro>eR4ab@Tp*`_>U9!?al7fO}?1UO1!A6>o2zEiC*NJ#3_woe*c1P(CD5^ zi+*5B9C!`B^Y=_}B{S;T1Y03h_cz_T$^84TkVD8&_+K~m(H!rI*hd=`!WFEhAbb8r zvAER6T_OS~(>O64PMf-4S~fLy;c7E2x<43YtD+j;2Zg|PV6+e%zo=CvmF0%%eqJek zy%T?ZEgRmPvLU9SBSJo(9gn9Mmdi{rzeS8*(g|;MbuGwJ9Ri`vx)21OZ!G7R8jh|E z0DnB}KkYBWA|1>Z^%WC-aj>ECLAN><6slW-W9p8<<^W&wDYZv-qWgPDZx6ng*yXGH z6Yz!Kr#NgRD!BL+wW%A9gc3TFFW()Kj-PW}Rqs$HON2Wgw23PawzdUZ*cW5(PGfZ^G*WRY%&sjJw|z)Zi8#ZWzA}U)Yj1qh zHi^#ez@9petWr z@DDs`i~M3glQzk3(5ly07Jj6GO6jnhex$k$XRADHT*Dm{p4dc|(`}Z=;Mdcg4r`c>a62(QlOnHr`li%xBZct_|40(pN(~ ziNE?C+$o&G%fu7GPgfDeE#7JjKc$0vVjr8clV=~-ILxoEZD1N)yESU1Z;-`DUCH4( zAN8G}gx&_-Y(JtHGUFiY{Cs-1scIu`fGBFP>eRWF?9BnfIf8&hk8K?1FKabn{ln@a z3GvzCRANg2YrVm>C)k_6wi)1x6Av35Kgh0d2HL`pc5$uu7X|?v{rx(rO=&L+F72Q4 zX-=uErX`eUG134dQC*fF#&^tUB}kx(Zokt6ny4WZWYTNvFr`Fm1P5HF4>J;eb)!cW~eeU5zW z8;IB&gRYuEvW(9QzSQ>&?`4k!n;@1Jd>c(7gmt)Bk$~GNAR+F~53~30ciOfH&zwdggNP^_ zViCp)pngfb(`Alno%z>LoRO z|NXP-

@@7cm1}GWwSF@snUiV}uTDk$0Os1CQbsu{`Q+@lXcv)ZIPFhG}Uz#SAR7 zjDTJKL9%Z^ej6Y}7&s?4Nj9CbQ9_bKBh*vZT~hlnIrJMK4XG3TXl|I8unZd^Pw{-o zyGgntSP*SY|Kr}wK-Q1KX%|~Z4K2O(0;w!$C0^v%hGQdVBo0ypVcu06LX?;<9-X^9 zxG|!t@wSP8AWCC;$I}@$U4%ugjy~yLT+lqXcqga*l(5W~KgF(2P+a79CaT7OF3ATB z@Ckf3$FLAkkNwtyUv!18%m_RGD`Q`4eQ^o~O}{O|5keC?z{gCV(s}tA_Z(3TQk2O; zHKI3ixBw(}fE~O#CAV4Y(mWJWlM{qdeqc6FLuCF?idI=BC9bgC)~dS~PUJ_GAK+J? zBx~a%NCe$gr*CDSg@@VWP|79)Xwn%0842IJ$TN)DsW0aRdt|etC#VyZ=0OvTfP+EC8;k)mcD>U&W4`cr= zIut3OPKFcY5cY~K@eAW-M%i^7CwG(St(Iy(L>3vVFH7WAD!x31_AODni~26qEI`9N zD?<&C5G67i^T%wAx;#p+4@@Bb0L~5M8KJ{S^Sa( z-L8<2mkaDlhX-usn|&LC&av$Vt0`Ioy8h*vyfBSZ4)A0~FdEhuu7V=D_^W+ftIw)z z$W!0U>@rJE`}Uf?4mp=8=rQr=+7-D1`vDw44)9kcNO~K4LMgOmJ5&nTZ7~Zge)GDr z!wI!1|IV$i85i25Td(ICwvH`#pYTiWsmKI$E&^mS#i7&J6BWl^)mlsv-#^`51uL%} zR6((bX2w9@^^#vdo6~|ombJYQ*Cv^_-@QAgXto|5Z>eE< zFpyf`>3TV}T$znY-9qN%iIDrY4uN@V?(GWtk#BZVi?pTsakX2PCFIWQ?keBQ zD@C?#Ez^W1F&NdEDk)A`1P*N$5C2BdD}xlP#OTC_Dqq>b=K&bntl753hEO^Isx$AQ z`$|JM9Uz|e`+vZ=Fd7Bu+q7gK#J1J33!X>d1?0LB;tr>9>eXNH==!c%q6IoR_F->k zRbL0OH#s^Kgdd7o^kSD@1+ihtYi#r=xs%0ZpOrH@5_p229e1!=98RM_0@d%d6p<`M zm2?B*yL(UT*r?3*=^t3;K_+1U>9UO!ss<__R@fvOD4)(N-$$>;{q&6|M z?mV({ey$Psmie<3@IwaEzdY*?<16AAsJ*nt6^^!x-Y{|98n$?|_dR-7#VfvoU3S^jm&{;TeA z{%6PiSKZ-c;cbELqNj%Za?}3HwbJaTR|N&`pni4TE)38Ufr7Dewj>5Kh?uwC1w#nGvIUn@mPv^@_AXX$_A9<>DyZX;n$fH*EoaGwCj21*VtY zDi|lxMuxnPypOlpi3U~v?~)LiyL3ogq6D2iQRKmlPp=s8U{8(S}W7 z<^;uTi1QqAdy^0qbWa%ObH?QDhG(8Lnr&Yy?c?H7^gZ1 zrt=w{E^D9s?$I}DcHBX~_*VLk^Y6H$GV>zlDjBi`RN>96EXOAiPZ8wsGsM`wIvE%1 z)t?L1ZhB<`+J|>a=)kkZMSuvWYdIYTT3WuAgQ8H=ilaP%zq%DB> zzC!_Ts>zaCX|KaoBtUjdvQ^I!p|ITN|o zn7wgzix<`+4;8XmPX@pPMr6m$0i&24rf;AxEESbV#&(*nAT;EgVUCuMMJsVnF(@`Kn9qeJ730bK~&PjjXP<&UF1Yh;vBLm|r-xUoX7CNZSv7*UoUHjGw;0fbnO!U(I@@c* z&bP+bM7i62B9%b_saTO*82{6SIKOYEF7mwD7U`qJR8?rUr%HcsQ?=!nkFv(C^h70h z{eG;H6@4HDNjjqi&8aEPnrASWECiy!qJnr;JrB=WKCdgky@E4ASpaK4vQ=s+S`@A&!aNk!~$CM?K z6l>Af_SB-w)7O_*leB12%Q@!S9(o;J*m8}Gv?lPHWlfMfI}iZN!D6O79QWe{yX(GU za{}5o5|Y4?fh3U+7O=i{`#jc?_a0yr;|uT2)PF!Bb)p&)2sO-A(CFqKEqDIfUXM*W z7h^R@uJcP;Jjg{}82I9nES&rjJAps(yWR#YFE2Au#9B~ZJPnT@E`2Hg^bIY;vcvt^ zVcnxMa1Vsh$P566W*-)(lShZq4S(|pALq;RQR59UQw#^X8rN7uVV<2ka|Xr*nQFoc zx;lSCehBQVk~fQ%g?*)YM(hRt0r6NOsDXNu4uXdoZUvC8AaE$lt;Q~B87zU0)O@#z z^?_Q`vSR9jVU%bd!^_CU<;t+$oY(qO$qDtF9?Cv=`@QA!Q{B4O>XO=ZzWX1TkrsEl zr-ZhgUqZGY-jyp%Yz`ELvNM9JA9%Pvw@Ld#pmWMwF(@m`(l(XH+5=OFcZAu(Ch+tm z2vwMF@Xi3d#8K)L3Pi&nwe{$H+pcB7bKNo0luZlgE7-y&46A;e)Ilu zG*^3h1Vm;wnEZP>@=14YgfLDjmVG2>RGnbo&@?T-gx8w~EkAhvBeP@jNvy-NV> z$RyFmewsWpVpGRW^H-kl!7_k@r$$$=V&xOBYsblOT=b(L5KqXTI3gl4LZfc5r48Mw z%1n3*WyLkVq_r zYR1{~93`@a!vSkb@JD|U@Jw&M#@q1J=YM1F5FZe~b$pf< zmx?I;d%8<*VI^+jsGYR-6}xC?>*zd(?#}E<`IZqTgNHN{_0n4Qvx>2{s2c;IC20); z_1tTHdK5MRN%IRy(9E$m%X0kJ^VoV5i&lMv34*879fXqav+-o8n?rcA$Fq@!6_7I} zwEduRwvGv8Ou$)PylmM4YEd=dgjb4&*>^s=UwLUQ$&trB)BX=^DSw)}()VUDajLlA zg1%1^G;dSnXdt70mkIpVXvF>i^LX<4R%23+Auv}S+8G1FBHpQ4j)P7Syb&tjyzZ_M z6I)?rJT9ToOl?Wap8ZF0Y7pK&Y!cMy^Xjgg#m`Vg8R=;u9C;<^1Jeb5WZpz(Rg{fY zV}U1Z$oQ0>O@W}Zb4@bHdRsFFG)HYv?GESp7jeRqckv%2%@_7Pw+WZ}1^fYDF4${Q zl$RjS5A)(<+H*?sj_v+!3e4b*{w6O4lk z$m77kkI(UcqGOyK|0g=e$pQR|j$z?*a{iC`>B|(w$@#A-`@gZ7ldYx4fq@tm?CX{J zK0GunFo6s@|9~OBd`mPf(LoFl{~Y>y9B5kbhUoD@|8Z#j>vwt&Vb~G>syN<7{Q}oQ zjWI+sf^s!;FdQ%gjr#a8!C2W+``GCKTH6l$t*HJp2Kg;&vp*AQWK$jvYr8nY&v&ET zS6YFaKFSnpRElYxNxr||9;6j5c4zT!hV5;6$U z1S_MVXe$*IGX3{%IU$>MYbuXjR?zGAR^m#oSlc~SHO3zP1cN$}G*Q6}$z;)H%9k}l z`hE9F94_qU3fveLDhJ-_Sw$@X;mciPkIki?9S5&8Var1$ovFCLoxe~Zub{1m>98QR zsMXRF_(;vJFCSL%XPFoBTK$8^H@7C9+cCIS#&s>a*iBBJ^=Cbk=^_jJ2PZNj}An?ioePl?v1WoW76qhseM-M#?esu-_9o6oUx}p__KtPtC_K<3cC3N>KIoOSPrfX z_;??^icwiiWoX>n`E>b77K#vK4&04%LnQ-hOk9LlzJ{^qCY*n@{j7A4IaG}}1Xm~1 zrbLp2)yTdA+_y`R_DaP$R*G2k>luoS$l=2phnA%Bv#fOyB-S$k!esh+QWjyaxE ziQSUxhgq=7ZiD0lZ1+Z}+MjT~t83PIw15)*m#VcsC%V^VNk`P;&*bF47VaT~mAwfL zGPm3MAp$DL$`9mLv4L?(6HZh;kQTKKW)>-~JBHuRI+7{*+HKpbJTB)O_`)1ya|plO z3F%Vd{Ktl=;qm+c{zLT>4Kuk|XlGVAP>5Nd+NyFHHut+tSHV4TtJWoAo{>8yL!+{0 z;zEkPgm2HI4HwJBXc3-iCdKD_=8mqCSJ%{;*J!` z#gl}@)<72N?@|m0!d`qAdWpGs{++$^XMLq9sd$k3@8%M(;FaiBt=`$+0-9#y8Q5+t zJ$TS(q~oTbfTA)^%lOe1d<@ZwQf+r0T^s8R)~lDR*3CJsF=(KVbSUGj$}r@IS06Vq+n(n$4l}ADf#qYY~=wZYUeVL zW~;m+3|Ol?wnw24O@F&4(u<`_B=u=-6D z_So1w!!uqh zBuW+;K%JKsC0g=Sr&z^xZyNn7kMQ4Y-+(PLoQfU46IUF( z2S#v#4a!ZQg5$duka>!vqWp5BhqW|tGotX6Dc?a^JV&*LV!8$fPEwL;U1)5q6Py;s zyao!ZVfr6!+`g6Yh9Kp@`6uhKoV^tDvG-Jj0DfhUy}i}{4E+FGHzXP#F!1A(sgvDs zv~fmkeEv)6M%{D!eR^hVowfshVsntRb8M#%95+epH7RA zHq0WGVGXD-kmTo4l#nkp)Sjh(5(d%4t32AsNl(dpE*sx*>Zjg6uxmoW?$PDtZh`sr z|L-2tf{yo!6NLO#gu5uIE5uk zgK~lphRC=)1)|ceqLt+I&Y@x3PQj0O1OU-ABs6Fyvkz-)f~PIwl4q89X`!cut(A>}FZ0u|aWEV3t(l>q!8CnP5hv1#20J-3;kfyRM@sr~=g~M3)ak~zuN0kf=or^8 zX^E1h=Xx_1rA9JLG{qUtMRceDy0q&s0Qh%ix9)@w-M#wY__3lAYHD=6>< zlNAYA>BB?Vl0w9)4hr@KefW1ZiB7_b3<}Q4`vu(MV4!VLBxU7d`RB=ht>QH*toXeD zoc^_nk6_R%F?>x~eLJ)mEo1|%7~o%r|Al8F0&CdVzU=DDB#^+%cUBm%uN`Rh@E36T z>%l)Cj0JGy4GXd5mW@r|>(_Dp`(e3)v9h#0zO#mL03FZCDgR+`BDQ?JO(5vk;J-e%+zA5z+#(HRZlaUQzx@<&8piHa^W43PRXr zK-eM61T(6VT#BZ(c2wT4swQz?)m$ftS5Xet2KNUH;W~%0-%bVlG`v0qN``;X#UZH> zbanV@W2n&@;!1hU#XQ}g5w}1C7-Ut)F?>D6FlP$u+y1`&JfaI%l2uET(2#nq*kQYd(*B=IhPov?fPF(?K%XZE=FJnajV$n>Z3=a@Q9 z;_f3KU5&CYS2M3e-Bd|iQhByk*~t0f4Hs;I2vc5PH+6BpT(~KvZFe4)doWF>FAQ2v z0t1zIWco!c!1=bjo=qB3*{DjwYer>?eE=?&ZlN3b1J{@46KVyCmV8R;Ci+?j7g0bP=kgP9wj|@ue(1Bsp&d}))QqF#2yM=%ShPSR;OB?T?gGc99Q%#HBrTh0 zVpO5+40;@5W;%6RW~cHTS~l z=#5|UPPLLQR%{gkj^7$6`T_uVBhwzyJ62qhG}KH23G~BI?Zi>@PFK~5TkF-@kug7a zdUZ-_ZF;kl1);}}g$Z;I(Hse`o*95*`QCTgsC^q)?4E}>jdXpgu9J;35L?0Iqq_U! z%T{R=rM9e2B9QtlTNmaF!15Gxx;=qHtF+crpIaC5?{{k4_$}vk@Z zaxhjTrdEG&DrBt$h#XVj%kXX%E8WgvFB?l%`0m?jnV*5$s_~s|?B%e^s=XnkzyALC z6A{pmNt&|)ljM!YQ5}%bfP!t9b7s8i(VTuBGR=;}p8kAkqEE^zh#;03v&1&11^1`e zDCJG?K|G=g8Hc)^S6>G`;az3L4TLNOj=F_(A2Ou{u~Trd@&PrB$5$BE@C?4TdA`{n zCKS$^_(c@@`%Fo7@D@v(0BB9ld`9oDghyX}9t+L)eb)w;f} zNnGszn`-*XJ8`l9?^pY;*b>)QyUV|qA+G;;kpEtWxc-}1Hd0*XNXw-eKnqCkjL=Q)c&Ffv^#f#JDR2|%pLRl7MU`1HLBi8jAI$^$mba(rKd&VGeerRB}++I`Je}vE3(2gf~Kq}Y`@+C)*`aWO_b-l%?xPB zvghB0_QR)ox9LyH*KU)dliQZ*?P&+lin$*WA9;hz9K^=U*t;fV(oBY9X`@1>3}r}I z1XEF9Svy#zjYvyz2eKcYAcztaA?suxL3S3yP9Yt)K(=AILm`c)YN{)8D4o_6a8sy$ zF=r|1nzE1;v1N0I+7&^1)UHA?rRed8JZq$?7nk;67h;swtfDtw=XCHl6z@3TCJ|ii<{VgE zrx-k`BcXHJJpo%WbPOSR`~PlT=IQdxn`izHnyC z$Dm|^PF;GL5?aaOUaDeX8W1Fm1V#8whaw3bnTniFEK;qSs$K6~;3u+1U2;+nD$ zQ;nT9EtX8PgIIwPf`{$`GP6HbS@D)tlzTScuQ&1$WfKZZJuaO6vDG4TdVHMAds+oB z#&!7#F$?^ndKcA?vUtypnMZ9fFcMUe0BD>kJ%u~-FYO{q9(5r@i#VCq_13B^KJM z_@e#fYm7zyc0!)(&|cyf{C@4Oetq?8>lv7t9;fITa)ih-6PC&Xz|v}Ie$5(VOeYe) zRR3zU=MPSH3E=g5T({CEa!~TGf_Qu@7r@J2UU1m^ePncBJV^7wBFIo$x!O>&<#Kg& zV5&!Sd-olo{Rv?Svh2X+o-{vpm@C{4t%V& z@Q90ir^0Uy{<$XBnKMQmLFVC8_?=k{EuBr`-i7xWcJ|GS^*=binT*}r^&-uufxUaN zn>}W0X0L~m-Q_O)4TB>_Se`zZvu) zv#+q;oF)154nB;W&pb_YBraW)zDj5L1D(p|`?oSTLN0a1=SJZv)JT^|iH z00b9d-)r!jV;XcrG$I>|Xs{p5ZO9H2l25H)Z&r24jsLlLsW_)0xC=anhEe&R-={mx zOQljA0NW33i23uWbTm2;ixdymn~N7e9=Vzc-&vcORirkeO8sXeq+-i=obWJfJp~UX zr~%d4%JC6Vec(dm&$^Mlz)4^&}!Dx|K0OZNrF^_gTt10mmM zY@cW&TVfZ9!QsYH$QHt@v_Hk8oz1KCJFNL|i*{Vgew0Oc6$?8_hqb)|N?gWj$cefiO zsEB{g#!+2_{60kPur+@7kPke*d?I|_G>AJ~4FYDMZ{-(u9E!{PXa`K%SZplY`!w|C zQ)BM!usNgwTxbMi$4XV>66!RBn6$DTb{lb1+2u*^Im_Pa7KwUDzM9hs0mt;ED4Q6Ai}7{ZK%C#ME@yvc zsqw)%k@%a96`_ugfsU_&-)*p!8{m$f#tZ>j!qP-<$;UfyYvC6?h)j(_X|h*}YsP79 z^y*5%6#Rf{HLD!N8JPC4ix$;7D?`^wH~zis9S&k-Vd2ds4@6HQ6624WwL0(%37*g9 zemGQJz0Bcacn-y?nRf>Z+t(*4Mrh7GZ~4#Dg#3dXzD>E0F&mtAipCfrkSkPBDMV}3J zKv3e==DfrrEs5>;fi9J-mThhICS2mBcm0k2U#P^TPCkS96CZ})FmO*_^M?6vAA{tw zAN74VO<;can6cc~ubVH>;KRnMH%piVq`Z0980Lc8`jJ=phJWt!695BQwPE`$jy!Og z6fja;nD6Z@&J^@zP1lwTz-;}FR=&LEg4zL8-zoPo6T#SXtgsK-O3h_M@zCsLh8W7| zp5M>lKj^HaZtP)34&%*_xXu6k4F0@&IUyKFj2o}YEO1@jVv;<4T1erSJl&G7Xh283 zm{-XX+)p*&KkNAJjtaP^gy$|1*0XdEt>KZs8tB)2)-7C(t+_jd7y7Y%8KktnvsT#^ z@b$+qlZ14?#b+8%Y_-ajt#LoD01?iw9ad|n%RaZ7&w7Pic~h>ZF2$a6PK;@`Grh7l z@i$=>VVY6(9!Z}-R%oPFLU)+4M6W*~*W5v;|9@#W&|8HS9;nC3hWOu>rdy89{2xI2 zztWe20-G=>(2M`;$*CR(0$?}_DH^a+nG?2UR*{Y2Ynk&uIvABQ8;r!4MD~vkR;ro8 z#`%wu_OC(~IL1c94ffSa@I}wT_@|iBXxfn;pzE;FjOPDXGrK+qrY+fdvMhu_9fy9< zD7iTZ1xMkf+^AE7Qq{OLWdGs!#lP*)S+7eyx|?Fzp`>+|p8nFq5OWPW1+ll(0chTu zUj^Ypu)z^JPoFObLqlV?nquKOFkg)C5RbZV>^w(XvkkQ4elOHahE$8(e)#I5%BhjCt^+9@pfT!0BLN~zhH>bTZ4r#Qp*9aPzeT?l%q(Dq3 zo{fRM&c_KFKb`x{ih620fhzC*w`8PT4Z(bmEjlTRi<;h6cQ&f*T%~Cy#gC$yCv@l^ z`TZg#Kk5Wo_eqFUjsoFL*Un`f{QkZIe$*OKlOT#g%Z|(^K+y(kNudO7OYk_8O_KKb zqv%N*0zQ3ji)-M-f9wtRTuj92)P0uVj@xHrQyMH^eZFDF@tb`UrJ)9@$ok081Tjo? zMl()3)zM1Tu-*2uTv?1elS!ii$2O- z@GoUHX9Q84#G3~_@@c6eDz(bvJhuXRh^xoAZQW0jt#iIx16CXT#>CID;0`o?ATZ7> zekiEx9<^zbx@oA#Puv%YWvFukkh5@s?DFo(*H9(^*APCox4^hHP!KNwDI^X>B(@bH zMIr^krxzGP=FHU!LOZ7W&U0o}R2PsD3C@}km6qP)+v61H*_RAkzP|Dr;?0CopN*#Q} zFnC>=A_g_s&=hx<%S82-E$hv@b3x`JczNI5)sgSC5By*X6YeScExjcuF=EQIe)+#x z)l6B5posor=Z3ByL~gkPFp~MXI#E(Y<-lMop)A?I z#`DJ=iYhEzvO8-8?bT`d1xM5ZTWDR~YETdEYS3C?xQ~<5D`*ot?;hQJK5o3Dc<#=! zEH^v_QguuIaG9(KGupv>@3!>q4%WR2m9Q!Ae%Opf0qzUjX$2XE2Lan-WK34@_{RO?r zJALldMVIgXQ!3-#r@+PN*KT$3ei7uRT`hD7xp~7LuY6U4OWvk^?Jl_(=>59iDa|K= zGFzt*RfX7DtEgQksu?bl#$EA&3T;ROK>pZH^Rr3-rThBM58kmME{m+5$NFmk=QoW| z5cAzuVr$O&KuH#E@%N)oZ*Sgsm$#D;O?Ui9A48mbY$8!}-FT`V(@`bDSEOM=bvf9Q z^kA#Ano6}mQ^so?MKd<(g%D<2o}Zy9&8s4MjeX2DuttAs#FOT+s7%i(JF5bP*KW%k zR8k(8x4VAw18{j+K3%C9KR;RT;Pn;AcK5a|;@AvEl4^NFO^oo0=x)CU72%nU7>cFY zoIHJN>wk%&SZg7MoM4QqZ?Rjh%`^Ol1;x5XO<`r*ZNO>U?k@4YHalrWUo+e5pwr}; z1~H{JY{tjNcJ_>^r+ygH`PbgI<{i9-t6XdJf)^4!8z4oN);=FC#qh86NQyJJ*+7+o zw%VV>n?6kzxNxE!tqsy6Q+9rDb*10ILGLAO0s*6iDpaPfT-An?L<`kU#^-F%9@HOq z7u|Y=MQ~_l!7skhsB3_+W)a;$qU-mKV)B?Ds&Eq0B4G!h?JEL-c zHY2kP`GC}Bt8ruli)G`0MT>SawTC#aGZ*+V0f)DR7*rje(j@uWy+R4H^ovA@oYgqH zJF;p$wJmBoP`HlHt^-TndU4|F!h3jh;-tiqBLExXIund73n|r~>d7WVmGd3u{ZFmz zQQS`*gtn$w&~;Hy4A1tO{5tRNb%(6uFUkjAeji6IZIn`B%1JfjSM+lRSLqTM(t6@l zDk$M&PJgiFX^ML)@UC>zvv5A@CB+?F%AV2)=#GwAk=aw@&5lcN+o($Lv5C&}_nfiG zFTeajfM(8+I+WGe9G%D$f9tVC~>ivI(`P1XmD)}Hsi`<*L&;L+GRte z4@@no+V3f-Q|{W4{Z{$t%jbCCX%2Tnws=~}Q)4QdIaC{e*hA>j4iG8|-XCV$t_7CA z5Y6u2M#ZnYn5V&1C{zCO=VnQQ-KtoPDNQ>cCEuPm2&eV_^h)Iyq`498A>*EuI0KN1 zL&%g6c?V@sc?9<3u3+F<4J7evwxJ1dYM0Z?*|Q-Q=-mzZQM6i}%3o@%KI;zWdaY;5 zQ{PR2qn1qHHw7R&e^ZJ_@ZXA}Guj7)qlgb+VjFS|{>)dfXI4ji@4B87D<_dB*weW1 zeLI5PYGmHCh3!5=pm7koaT3CB<_Baex5(sBP)Xno z_6zl`SzskvG9%Xi+@e~}L6V>d7$QMr&vEa|%d?a|s64^JKRSdtsI@s?D>AgG+6WfZ z83;o27|8Y|SYwpeI%q1NZK_{_q(Zc(JoDzxZqdb`kM=h(u2G*Utf*_`*8(}#2q4t~ z2e(}MaWj&?mUhWQaQ^ymolOAgjw4=k^qb3^QsSj~>;vk={LSItH7h93f68Ah96LKW z7dHoR0FIrHi;MgJZdtki@0OMO|880Tzd-n<{C$mY{}`yKx&G4z|J%6!Ck_6$aphtA zr-JI=Rw*tX_7+EYb_b|0jgI+C(`I# zZGp#RSNM9x{|JLYSnRU@p8iz=AFW7=FGxqCSy!_W^vl|CHe%xJhkr&ZkYvq|GE40IQG8R`E6JNCXA>mhQuZ9o2;WHQATRw{JQ)4`NtipRz9cJ&2mJSIY!pEmlodST>}53_gV*1YQ49fgn(IXnE2$m z+VZd23NbkH${+1N_m_X%cxq*092|2w5{KJ z%d{)Wgw<~@?4eUO?V{bgYn=|-ELx_X&4dkTYSQUzR?Vi+ABKKtRCzjTwh;C-=_g}m zUh0kfXsOPW@*Q*h9R-XT-B0yusXB)L*#w45=@{T~E8+8ZPCOIYG^-Dc$C8Z?kSqCy zgR?E++;B_cI=Nevl(9Pul_c%Rq7KV{Q;m8m` zBl9jn$pA8sQ%JssNyML*vAtFe_`+jO$EtrQGu+DA7Rkf7HBXeZTF{wgiZ%LL+lvK3 z;i>ZH?`AqEY7a*^qD%N^W$dfxWiOW)lS;H(+VAn|md=jYN*jW z?2?ZKUrXMi$ql4Ve*&m(h>i#EkQu+Qk(WJXEAXpsee%DYrlr!AZ*Fq|y{spK(k&N2 zZ|>iJS9Ejv0jX`=EdmeKWg4}bXM$1wn1o8JiT;94g=3!r0Vexlt2WFq9%<6Abw`C9 z2UKXraGrb3KRi~8g3UVPZ!{Ec0+=WqS`{)7mFB?gG1S-1%w+gL`Nz@`XcTpAf9dIT zRQ6|!gilbHa(H9CS`j1wz)mRs6w+h_mLjRSFTSJ{XbE%Sy?wGw<-UB#jLyajsaX{Sv>y3Q$dgDPsedikZ48K*l1^r|ecFgYy$W;;#?gfeX03)u{TR}$v8 zK*#Rna6jGCGZGt13Bd*x0YxvQv`l-GyZS&}&5i~|*Vu6B2{-~41rt0CWMAt}n%Vs5 z^}kZ)7g!fLA1x|dK5kna#$7^k4`QH$=8Y(2cz5uc-DNh1Rq3^k*|j6I>zE&$>?)HT%l9ldhi;3e9_nzK2Z-e zmBjuLi_`dhxC(+I#Z{YlG-xzn%3$i?&ZN(bpTDCPhwf{ql}NGgH8?uB4~0vI@KDrGMj3cp)W1jq?^R09ibj9pEhBJY$_chsfW z3%eEIm>Brn?QvTdfVTsh&3O!E{j?!kNW?Z^u0PR`qFIg0Q~2D*Xzd?Y`~Rpq%b+;g zu3O{o?(QywyM^HH?hxD|$lw|@z~C;yU4y$j!8N$M%i($6@BPj>zk0gr?mtu2Rjc=2 z>sk&!=y2)^lL_(fO&xm2a9o`|r-z9F_t?DbPFQ5>d~~@bs@gu@A(@q6z9-C2sU3^E zhBV_rzfDtNh4iwmd(7bJtdyXIf=d#soroCtAL|(VS{9bS0T;ILGSnPdW4|MNU?SF7 zFK_kqs9NHD7fI-#oW^C<6;-Z7c@t=qk+dI<7`@ivHWABz;b44j*se!Y9KZUWafZ** z^Svm}RLxNl4sX0a8v^o3831-%KlfJs3;CBBao9lU*y&ip7g-}ii-$~^9&vNy;ACPV z=W@QEp>F|O>B75)f86^7IIMY3hb7Ee5Pp`?4E1u`Y?W(Y_U0bu zskyB(K6@)(%7>pnwLkr?td}2=zyr(kzm*>52f5%Z&?VPy@B@I5rVbR`Do6k_k0j%w)u&ZDF9yx7wIg+=n@yvT)M5WovY5i{dOF6dx>(alS-9>3tV zPxE6zBZ?01C3~3A6<&q@b;}|CMG#48DQd>q)AarQgwnytVO==ib_jODRj{@;`J~+M z`0f~u4L$;!VfvK&bfS1XA1bL8mUlhp9vI6S;kkK*nIJD+5>JeIw4GxKdY^}d=B2Zr zSKZ-J&cNpQ2R2kO*HK6Tj;}&f8s8>H0{B?*Q+d_Q)I{c0HNO`-!n8^=88_yr>$kO^ zWd_?(69hP5-y%Kn=w63Q4xde#ut<9 z0;oIPLC6JzQ@ER(2Cv@id)o1j{3`W(=RwbqD?r82)Ng<%JHM;y?B&my#_01PgeVL_ z;yfw^8Fq@4HpI{9NY-g^7fl8@8eCkJ*VCOH&OLc@qak=@hOHREChp9NEzZBNA)O8r zk(t-&?X0_>GObW4IY(N61$zJV!0oGh$-A9_iAh?TqN%-GfX25>%WLH4!FidJz> z@W56wVlNR{!^nD)OD;#261)XsOtvzay;Nc?TS~+{dDz`qL^9uCZ*i>eMU0BwzjnMG zgsolw$ef{r7b>)ur)XWt6oSJjiPk6X8Hpp-?7G+Tp*aOTl~xy9gWJ*};9HyvZwOf?j)Pp}AO9CaLieLK?$n;aB4D%Ag$VPUuuK^){X_*)x zh^d`qzWdS-KDWxUI1De56cg!MZ3RO%3?4>-< z2_S%*t^`mNZL3&t3h5;DBgWAu$>~ax`t@rqE{Ss8zR;gV!2MjVoMIKQi~_7r zMwbi@EbbKH1^csj>|L$e*)fV<3~tzwNiwMu67@EXFuG#)oycNK*MM2_CJ1=RNrjY@;%Y8=6 zOgQb5jzB>riqB_`Pd`mw2qS8QkV`1*QNOl#ua_vnNFO%X>t3-@#E%4{ z_!2;RbY3snud9xNQ|0wK21EK|7SfvTPEZKU#Z=`tol|?i?2*kEx`4qy2$Wwuin3bZ z8h2nIv?Ql)j|hJId-%6o8e2db(JNkhG455Mm7u)q@~6mA<+(e+qpSKc0!$+Tv6qS1PlbTXk-yPzRD_hXLgeN0|P-l1WEMEHA6L&dw7^Rma ztB5c=T|!VixD`$~zCe9kp^epy|6(%SVe{On9SZ^NCf-ar$k9idm$(42C&@H)AtytR z+^jxZFv;)Ce=QX*55P=}7mc2ec61pZFxow-QvWm_&}@2l0QgHtWrfw*oUC_O=w-Y4 z-XIV&;GuYo=F0ERRa=d4nxDE=;Ll=wWnJm>pN`Aoy>}P< zHQ$$>FXRfGrqE@!1`nav$MNlHA|5IbPzrl2HUW1n2;Qt?EgewK$~;`0Ptsq zKiC)2pnNmg6uLx_#QdUm?aU7B`mytfDHbc=`xA6482U#kU2y6x*mr}eFB3qbH$Ugw zokn-gG`54nRAv@S=h4D!z9D?2al3>mVM)7p=c`p*r!2n+g=@i+46CB4KVI2)r#DmF zzW-cu8vjHuir=JdG3}LZPH60a66pbP2+$C~(I7(0%x4M^BLlz){3|G$0bmGT4LW83 z2mrPIvU2@oNkaiXhG^<0lo?igWr>|X$47@|V(SRKh65>2+8b6Tm><#rYm<4C^AB;k$_K~1k2u^BwrSOcLeawWknrlIk zx@%p&J`@szf_-89){t3Llal&CI^guEn9jo6KNXSSSGsh zH!H)}e{o#TcVO)-p-Tw5f}f&o0LVLW_Fj!cO6&D#Xf8@MR#JO06+Trkh`YkpL>vWR z2jOD^z?J`s-IsQ|-{&f*muZ*LRuBYo{`Cdwb7kYa8O%A@4n$x3M_*_-lQ!`w#NC8< z9ttBmCFj!2CC4z#$P+e0x1&TUXz_IJzEUe09p|7D4(X;G!bgkj)!6F4W^q3`wWl7~ z&zup_L~5r`McZ0n{VdH!u?#*b)=Pz5rVW1jFa&!P!TqZ9tw<^_G(KMGj7igl@$Ld> zu?V``6V&`8wL^Ukx#Uq|GgL_AK#ijXjSTK%7yfm+&)$J$u;j&O?S~1DfyL79;3EEe zETqr9@GqgC#4nJ^P=}a3SZqn9U@gWM`q1=&8W=q#5dMT@GWv0)Dw+#zCnhSdqnE^Y z`~EP?O>Q#5g**+U^<3D1-LJyhbFPZO0uH9;>k?vFJ|KtT(|qVfJwI(Z9AnP(R~^V4 z+!5`fy7Ooc2lFK6m+Ph_?R#e&UF*R)q4FBVH4eCT>Keg-S*d2AE2Kh`H~aWk~HHSM~EfC>Y@0 z!~lcKA$Sv8SH5bDjY!ih)>t9L+S8$HZf6fw_tOizafaGgdd{0Kx4acjBKlLmPt#3) z;gW4O+;-fm@eYmMwW>HF`hEp;n>hHosfGI>q~eE}P2x^=ozJ*xvXF50icS4p<=eeF zCmK>bV#l}Yx;=fG8SM0aJ0!cHKxCHPzuFg2Jop(^$d_p|1&rM{Uod`1rJ;jbPF;+VGTtZ%~>R&e=yx+qH$1(8#$tUoo2)eC}WBad_i@{e3gD6uHFXfwuA2gb=UtKAZxQVdB%?O+-uESiyi7ZUbE z`&*t+Kx#(O&?9GUjH`;=iNaacTQ0V{WTP;G>2p)}8^sn|f=!|uw9tq7Bu3@ckE6;y zKIsqy&{>`7^qk>l%nx!bry~`#e!&GxrHveI>zJWBipwMHMO`=oB7RpsNXMBVKlUvP znSahft3g2CS&(iU&~OeGC0vMO!Gd5Uoc&T)QqvdQ4xN zK=tdFv!cs1UY$OIX=u%gQ$quno8-%U$*BVg0uuj@F0NN?j1E70gTSbDpj&DxZhTBQ z9{_q!z#qXRdMJooOabLvl1ZflGRKldYj7AM295ctM*T^Uzk>)|+j0;L>GIN~JyEZe zPS?Y?`o1~Kz~JaN_PmvAH$8nEclJW_2X)X58{h)6hIj|0V#(@5X5 z8ERK@9I^EhAUVo^AwOXbSNxOcfH-Qm!|*tXuNbw#oz#<$$-Sp~5ULi|HyDt}=&r(B zxy(b8WO|ek%E@htjyJz7{=GM!*jjW1?m);pslti87S>;Xwul9;8gdAdP^h&;LX*O} zuX!1c4Hy_bv1M>;uI>SGf?9DyK`_84k2a$M)gy`SM^zxW@x@>%qIsk?d}uXO)&N5W zm+y%wk^BL2_$#;0IQTM^;}Ruaf_NV4no{XFPUhFvah&$yvT>ZC;bJyn$%INqcNufs zWfTRD6sndKr0-2X7AS@|bk*tj8ltd5RHcZ(DS`CSU-%i2iiifK(c9Gmu~lmAsnAun zrHiz`%^*wEq;Slxm~e%{uk@=%<2TL`$rl9QZ`2(AiYuL0<@!0vw4-BHgCzB8?4B)! zWcEv(EryF_||+wz&C5YX`0(^K21%ddkG%l|{i zABbaF?)pfuvwTc+5pi``{M{I6@cetoy$fjd?3q)|FtbdGGHC5_W1>%@6Y0R(wurqM zFHlS*7QAaiz=^!POhw6Fxg$B3Z5bQ4-<3A>{=MM0nYbpzu^DvI;Ctlh%&ki|V3xp@* zfU>0FlWHE~KKK4rI>72quSRvF;=!AVV`NERj%q@+m;kE3OyTHInd2j2A4Nd=p^UJ2 zh16kR%VN9Zws3YWVB8?Dqi<*eEvIiqvMw__0}>lS{h(~~$UtkOE~Nzgq2=On z!57ElpMSVz6fD;a%HPTw{gr0*(JD`oVEUFtx7{5t34MFx|9n?%cy9pSl(|kX3(bhT zd8OE)3gka$H|1{f`8!&CXEz^O8TA}to>j}D{}Z5FS-~=wyqZuh$k^d0otPO zZ;y|!H~Ovh_ZwB?x1VT0QN;1D#<_*$yCb@mrcBj6Wj=JZ_Nox=6qr3TAsDz70EQ~* zkxZxinhIk%02zPR>x)RflO7}@VOlWW+U-lp*y>yk7VSKQc~b6z~5W&JQiJIv()Rp;^rK9mM}<4kp~f#Epl+K z-DKlpM>@RL(zYs`a9|$crx0JQa06BQvT{5!KFR7R{0d6kMuP=~B=w}OHcJ<5FFQlG z2F`c*8HXLuX=hF{_NhET82aJ5sY5|8!JQC8V04je{Fp2|;YU_JMk&8BZ04=3^R{F~ z-pPcz$UgLqYoi3VeYtG7Z*MGK_}Yi{RgG5cIOstk&wJ0&sHixeHZ(Uq$N{04eyMmX zU7XX!`U}d$a30B13jFVava!RXk@M<;ZHtTjYa5f*rwN_V(Z8GD*L&N>wz3ro9>Ri! zMjuBuP`?}%3g-R}4EF$P9XmdTdxZDh_@v8QejrRMw!hK==d<6x{{p@Y)BxYnmNM2L zmNH($L_HCrE#orMg_zTY9C^34=&1$&Xc{qV*Ki4M6`gDsMXxtnX4lRz_X+N2KpF=qUfC>h%pOn#uU)38opHo5&L zv^0~VAJ1wNSh&jbR6fgLYx1eM-8X~1l+cCA6Vq7MV4}Q6@1J&8iAPvc=6BTfVQOSp z!fJR=l;tL;Xx+)`(vULJl_32YZJJKI4geX*o{6Q~??^Ke7L9B@&la)PEPHJX#GXd) zg&RLR8EONz(6>g?3lBIEb-widZPQ4Du5?QxDX+Z&mO@j=R-{VXk`PLdZ#!I5g?D|w zrW)#cMVR|gs$)IiRx??`qUxa2rc*QLMsR?th+UFypJh}vVO<$-)^?aD8Dxt9?4&O&o(B9WqdzP*{(Q$`9R_D6c=-XLOIYa% z?woZtU$`fRi}7{1)-^IkD7{~wio}c|cpbKvA!6XOq1$8RyON;xKI;oI@;K#ZO*|-! zqzeFWM=`e!-iIx}n}65)EFFJ=i*qz)X-?Xuqr5;}ml)Z0E@#m)`z~40Y|f?u?0p$} zNSN_>J;bky0@FXh41f+=29tneA+OLU5$W9YeyLiBU_Q~MgFA9Hj^CwLLWH~^hWcHL z{B?1W*kR-nhj8jmy_HNYPShZz=Il5QyG~Vu=fv4_qLW(;X0emP9Kr6W2;RSrTq{mv+>(p@0OY#UDbUxXQRL>Bg;D=>6UP!k-Q7JWc z3|>@3*_O@n+ki2>xT#pns87S=!tY)xvKsv*!SFcX<|HC9ypNfH*J z@4^;taWtG4h1;HFYwBJW=yb5yxxl^I)ak29_6r%fvRCh|?ulDHVcP1Q#1p)GzNVuG zzt@RS!6j;oQ=#*wT{AexVP)F0GN97Lt}eMNefiu>anPp^b8Q=Q*5 z6*mu+nb;G3bgzj0!xOjn`wJn76~7`gTh^`hT-sW`{edr;$KeA=ZJ3RoeaAfmE)6N z|7p+t^x$GPYw!W;KRdku|4EH^Qgi*^@H8wW4;NQ+fB*pL7&HnAKn5uyaN_+Z9sPNZ z1O|fZ(^3M3YXxHN5kLcJFmX{r@_ZUQ{^?qWWM})Iud5<$ou6w>=>Om$o1Mx$$RVJr z+gt3GRg?NPVm;Mll9ORsBGXLz$%n{FC*EIfr6%+6y{Q*8&jLgX0y{g;2g}2afHOUo zEs;SAXwZ%zBVx(XLAD=3s68`7n-2a%T#s{N>GWCMaWWdFQMoJY)4qjKg#kJ87S04- zxD}4?z}m~V?d|=A3;?2A@ef72%NmqxaVZ=0LYurOUPO}Pt>(+SrnR`q>i3&VEef6l zS+$>J-szC|hGB5-A%L9L^Cuh6x(^mb4HClr>#E?swmO3zI~@P6&1MCSScT22{*Y9>@=2MFoMK>26&ScP=GR1yWWzV>p3wBFp$u=>kJ!@5W!CAdO5PmtT^=!~Dx< zGNg>CcsLpQEvv?Ng&W(@ckE&1g~Z}%X#@DmlWH3Hw^x~sY#a{*)0_nnpEUwVv)Of z)BRDK)nRuw10B!T29BOwUk;BumIAd9$$nN_8+wm^cgsW~SSAuNm_s5w5ZB+&pt$4u z`nCI1Yo@PkNt}#mnvy+ov?5DP>`0{L>*Z?J9~C#8rw3_Wxw*@59OmeE!q5d+8sJkc zJx^}i6b1N?Yc;BxPz`RmxcF`=K7N@I%I=U5zzg6KY8e7n2(Ry^<|y7&viX5{1F70R zk;(1_xs5cT`pI+6C1jeP0womuG&7LaYAdgPU+nB&fvfF1Uu=jv{K$@&CjR>~&Rsab zF?|AXVb~yC4lUU+U<^jHLKN)ee7E=Rl<){M0_s7)TpwuX%SkG=lW(rEt00Xj6oRUsOkWC9e8xaysNDg{_N zRpi((ba&~FyeMYe)a9f5NKcY3SZ*wA+z(og)wbE@saJMhT0qR}^?vpHF6 ztO>zilXG7XFuL;an{!Jnll(`RKurhyT05gHSGmCGu4=0$`#cOc3=#dQOcV6sF+Su( zNd&A~$0o8ot#pRdqiDox8!$mk1JNrzO_CK~Pym5Sb`dF;KQjz|cARtS4-*1oPl$mC zhspt-gfnTKcP9>Rn{umyA_kP#1zBFB6l#wOZ10RQ#8M}u2<5H*;>Eky>Z}yy+giiyzW&OUY%wBz&Yp1NPLZz>(0z^vrhX67M?V!NU zYs21Fz_x!U!XxFB@ugvtmOkEBsUWks2IN+2x;BPxlwZu@%sRphyk*XzB8#p%H~n*~ z{@3bKOWJ}2G!Ok4x4_B3Jm?1F7fGGKQKnZaderf_GjP>ws@zjvY4CXNI(KVs1I`j; zrtBrDq5CHcqJAClx=FP zQfDS-YmO7S9z7k@C&j#VJ1-EHBZ)#afITog8eYUS;A+Xe;{l_wY^2^*pP%ticcxkI zXcv@KEwcXP2tEnRQj}g4?CwG#P9!Vrb9TO*n+{ZglSQS$cpXiReJ|lG*wU^E0`K!n zZg_B@?oPDW?wR@>Eoc6)F`X&a>G&6@H8(47WEp~;meVERq`_7gBhPfsMW&MXj z?M}bZbb)xDnh@A55Q2W^ws?wrAbYS6eLvRK??25h+U^(p`+(=sM>9g<*L^CQF_RW|Qdo&>1|kd@#t6V(g~6ZUNLN2$sSS?y;4jOM)pi zTDUxWor>EexU(@m_L{X$_@#QFBk#!MrEjhA&tXwkTm&#(Htq4g1o#nI_gM%h_k!Y% zz{P?0m^ev>s7UNRBkI@O-TVVzFHjmX(hXkRT;s;_Tvynemak3QE|+%fXWs;kW`siT z3J+-(Da-O(DmYeV!XzpZokU6e;B(IvGs@y=Zs`sI*cS+VS8$(mY?*wfS6PB0gS+|3 zP_wzL-7a8#cws>Ur^DD)p=nGh)h@jQzwxO1><1CTBAy)cZj{3MnQA?z%qD!fAs+Fy z?;Nt(vc}_vb1UYoW-tjdVpugfcduswMuq-1-yb|1eXmHW9J@i=jj#06u&_O37g9bqFeoy+v%YuuQ1MgkoU>{g7%AE_K z?;FMr;x~@gC`^^P>%oTb_bxlfRyfH$J;<}8Yu}}=OVgU8caSG56%49jnS6x^Cvz5{ zDyh(_0u7Z9ZP^T4*7Gl$s4DwUL6;qhWXWs>097{va><@ES~zHP7_enTGEhNy2t2-@ zg_Ob4y;r@pf@jl7tTCc~1$+&T>b$fE{KS53wh5aNF@k`A^Vd5pfO6skX&HLg2T zynm1DXzD8~|AvIT(2noKdVR=UR&Sd`zaBK`bz$OAK+A4AuJ}WQYB_mY3yaEMG&sGp zg8eA5LSZu-@WV?y779)ev6aYh^?0hOAy3aQhn4nIw~T27hfI;CTmsx0LZb&9WM5Oo62Z{1>1$|l~LH)d3Y8FcF8YBm71HEg!3@Knw z^j?_z6aKild*oo9v*@a1`%tPBB^>B<&kvO@c`hxN;Mlz8Fzx03hk{N=4g{fAB4Gg~ z#2r1ATgaW_FRx*7 zhmhyLX5fDw{jVALpC>=fz<2^&+SrghpPjJ(I)3A+xY3(GBVrCs8w| zDi<&K=b3+-W$Bwe)wo2#AwGL%|K%!ft8+cde%_@3KA}R;xm-sakUV@`%~!Krbb_C} z9`rUIEC>J_D1puQ6ScVhbF~Q_*wq!}xHqx34F~ZmOUj{WZ&f8zT&0wzNP7fHy-oF5 z&IB&Ay4cnEdkI>q>_FH4*{c8_T^lTV!2dnq_ri-O$I6|SOha_y;Y+!s`BD(jj?r4? zF?ciFdgg8W`1iuX`f7W`tSXiiL&1WXn_i^4v{XVw;duT6H}IJnXYoV(?Wt>v;LRl? znYG;iq3l?SkhR><_gyOd-%EbS`G#zkBnP^N>eIKXQE_wGUJ9cT6UoREy(0D3jobA@ z?y&FG>BYZ06~?^OP@8NcodYmmJA5*5TsNl68gRdU@g_H?DG;}yn3%J6511gjnEs0+ zr7zH>MY0H7teF}*LDFq1U5shnhCfRo=*zZ>tt5UHEr^pW` zhqF1w7NZ;6Ud2t{LdL>^qn6d`z7#!!#+Qt~?l>*Zz;nXyw z3_UqZr;4g2lS}vzSnDMV$=p*c^CdB4KmMG58G`7MnRQe~y7*BNszY5T56c5wNQ53g zcy>Yp-j`14uVLEzSOS{i5Uo6#NZ00ewho-hq!O>MI%c;Y;rqXp4m)dRyO-_+uk$8> z>EktpPi?gov&VjkV+-@QXcjh>z2*G4QX7JNuYNnO$@eL|==4}s;+1zWDwEn31DOq> zzH+ayjrnc>%p65_R3+Yl{O~XNEUpQR3bds_p6GKdoPk z#845nqG=?=Ge14rq(w?onLoTW#rf|#l;p5E`ld)CCek7LhM&Mi zaPIQ;I4q)+r;5529XxzXW4&-7ZKe;VLZHS|_YOFzFWc-QtOS*lmSCHFZ*Cl@mb{6; zqIAc>u4!IKLji`ppn@nsIK7^CORgtNVv% zZBD7!ZxA^y&efmybUF*7l~@M4xtSk#-Q#2;>Ce;At|!hc^JQ47piD#+u~c{wkA(%C6>~}UkXNYEQQ%HuyMp`0d zFyCM{af<1nO;^T*XSQgUfS3U3k^KoA%Dm~;Mt})(2v_7el{j{i{4h`0<$H3foX%ha zHVnQ6!V}WncC0t<$XP)~Bsy`o(o!7;nq-qp<_@+8?1L*oyv^XpX+{H)%1iiAt;*!u?TU~o;=C_nj-){wom9>MEB6eikZ6@mJb~lA;72yenTIwZ7h@eZ_8#cZnUHElbVdhwdWqiy8>z%0XF8@-+6E z<1>6X%*}t?ooht}XouJ4y?3Wg%pGKYqws5R=)SxGRM=o`wti=!K7{Iys7itLdVJ|S zo=`H9Lk#GvS7p`mV76aH-EwaJdxhwn@1mW-8FdAX)1I8@ft~>zvF2ka3ZN2tbHV^fe`YH+Kha^_L}%diD5rzh4qb%jFL<>x)1JCG;)7 z%#cDlAk(}Bo`>KnIXmaK@GPM0o&a$%kz<2GfsEYqN6#_#WHz3$-d-_G`>6NEYs6## zmWBp6(Mjr|coKr#yy*g)Up&DG{#PoPoUFFkx z0hW-Kd0Jp=QsUYTZk~Ekenqbj7Vbb|lxZtdl+J5Y>5C8->i#qzkVg!VDx(Sa<8nEV zOW^kf--jr7N0K>tyO81$`-<<-HAM6U6KvS^{_k+`2;?UPnOtEjLw@TB8RS|jI{#Xh`G#6G4Z<6}^qKTv;CoU{tD6_6&BV$DBkEDL zT@3&;thP8-sI>T1jrL`Gj0b!y)K_l)rx+EEx8RLcQ=Op2Yb$8@sQ@KH9?&KU;a1L9 zAjS%el)m-gHpSQi9~CD}?1xs4ApfFqn&!q5Et#YvMho1xM{sGhL6$s(UQ0~01_Y~l;b!-lLYG+VCn-YN92AONzk(tQgwqlRJDC@8Cx

skgAMeV zCc|oez~`obf#BfykBI!_bE7w_lX1&{LvR2<><`p*pa?W_^yVdUZZ2@pN)8u&GdCqS z8~A6J&1X`Kzd4VJTNwNwh~qz;Za5llL&1NbWB&pQ;!U{?KdA(e3=GY98Qk{lAW=+S zhUV&VZYUlIUckTD0+2i!j{xvS;eyf zq8+%;QZ$+Iu)n&yhPzN_zM-Mt^DoWc?-bf+nmxyFQd_i-FkKg{{_SmEPl^LQve5qM zSl`^;A*%y(LYEoFAVh|LE-ZN5g~OhlC}2r#SO{3Jrf|iYUfh{fwo3r*%UswD#$0n? z1vLAt@18fcJ_6<_c;$LfU)QS?!$kVFZJH2VMaIO11!UgDLuN+E5k-)`n5f*%&SoASyLUX8U8Miob$S$YXFI|^x2N8t2VTF*2*Y7yk4gapylDhQ` zX6!wfS)C4SSpnAV5MT`xd9S0H#P_Vhw-i(ZY~CmgbvoK~w1@R7GIbWSG-RF}esovfawrEw=;y)8C_6KM$&wsBezH?DW|k>h!h!~$TT z9vi94i%bW{)7Wnu7EQK~C{Y~-o5TTsZsK`LZuSvQS9S2$mg;6aPuRjs^){?SoDRL( z7aE^}1RC}tVH!S}6{57$%HADj^IWv5MH`(b;q+k2tYazx)j5_^PzB`6Lp)0B8K1Z` z+#qteDP$ZvkqHcLJUNKsTFYjma54f^>7-6B3Di{XSjvlU)yqGz^*=D+r(PwtG6Icq zF1t9+uGabG1b-_+pd#@N^ij%_@#g39TDa5@>k<@}^NRx)z&F80mru?RvsaEg>-N*{ zk1FkKy;n6+i%Oq}_eq*5bXYGpPRII0LGVzrS-jM6x1PIIf|P?0Cc}TM!eVdMwD7Kf z1uwvs`>f}KkeCd24GIS0Xu(l`avi4!WA;u}LcQgP;RP@|Bb=s5lkihtd_?>#I%^D7 zh&mX>xljTsKEa&D6_hs1iF2dqyT&s)!ck;iMD)IflajPc?HxG#&w?^rNU7pXu}ji& z%EdFyvcflrSHS+l^{+0+etx9fq(We3KlH%|Kb0SaYi-_*V$DMSP((TB^LEImqT1+g z{)jdE;=DanqCe{K(lJ+nq?W>8M5yPIS&~C6-AW3SERm;UNq$(iq0n?$)AT)0lc1?Z zMa{1s#rX@Pz2=r;gtV0MT1-0HG~0m0uBTz{x7H(!zzX_k-(3(1rmJ#itstT;{~&M| zfrHF0M^>Wi)X)XX>#F!U-?tR9+A-K?J5gRRCS3C_poe5lOPmJjIbC?#TE#BBm*1op z(UA?zNBKo(I~7DMD}Xotxci+d;#VLAFJnWjS9Q$ZA|$<2#c$O=-H8hHx`gP?mhINE z24$$Pnzd12RwMT7X(l38?7P1z-Q}fNKQ3*9j4 zZ&}}seE0r_G`NVkMW*ONPvS$VV}m*kv{18c(q@)XKr_?{md|IG#COvsC zQ_mFMJdPeZ!$MYQ?4~U@`g@g-VcuuM-RgKnieHKV)zU7JlG_`OS<*SEbrbsLflaod zn+}cQfgJ%mA~j$Yi?1qdwm+$ojq__*F0oJDu)%Lhs7(y&dtuv#kyk&=Tu~1rV74O< z4C+0dO8hgzkL#|x&mS*0(kr)-#0Y+ajekwHNcJ$jZXTY0!cKdnT1=BF;KQSHyGlh{ z$>wt-@-6qw0bqk_;!#HbkYE28!@9_Qj>K~Bn~AW~g{3wD2LneU!r0%9enFkHvUZB4 zLY(G{?e#;Dr+R}BqX3``LJ#NwCsF3$rX#ROzr~HhPsqlEmN27Q>XG8J>KSPE9krQ< zy>5?#5#BC7nSCc~40RIHbJ0!Xgd2aD#Q+1i3^G+Zo6e^vgk#CE^t1fUELmcMe`QOa zollxq7G;E4Hili^hK_sZ#5XCbu(9ODX<+d;$@ z^u_dzmv`uX!lB7sj}Y^JgI|p;Hxd~$naKMSL(?L&I53Bd5qoDGR@a5?ju`~0{gq@i z%>?g>A$g-KNidu#VXXKVxF(HVF+8s-LmiegDLk7eTEBHLLR6bUr_`~C!|svo?MTLS zw>S|VaG-PZ`c8c?NBvM&-(GbUYxc_{2wq1@`!7MF3i1eTING4NQL?*2Ad+ju5~(N@ zUNXbbyAIoX9e1fyBD$TZY5q`Yrc%J3{~_Mfm8Mr)8!G0`g`l+?aBi8htG=rj5r72- z{rHxCTo~`N&ALj=2@4?meY)fTGj~|3+A6$)x=Xq3JI5gRI-cym_zEKGRFBIDmbi%i zXn>wwBP(+vQMO(YO_a^RHK?eisI zN*2O)-wW>2b-+v;h?4%*T0MHt3Ln~kw6ZN9Nnv=NNHjBXE8OJ>B8C3;cBg~z#Je;X zq6ec7sffYTc# z4d#IDYte6Dv@j7m1`o3>$#3*{A^vsF5tGA}ex1)~p^4TCd$Zq$n(7QzA&pt$QA8I*}DFF}PKO5~V0v-W+z<;IY z=PZ&J@c-})c>lK`=5vh63;5hye~M5P!2gKNe@=6;HB%GvyhH!PwfeUQMuD8iYGH+|0W9>7ZZ@Z# z&WXt}PREn6y@}0!J1f@wWe1!ILw!k;Afrp%F0)6BOW61I37WnOmQF)MeZ!*fH1*21 z^p<01b_wCC6AiJwbaFt;v5C`gvdj?k{{CBEE%5dSSg( zMX&r||FMLcL$N0s`Xl9KT!B!UbHkh$gMaE^@GbD^J*~yBll6E-{g}H|!SU|wZsMd1 z=dPIJY?ou{%`8c>Vk-_!)|!}x;YzEEazY?e_Vspk+aYjOjE4i&c}%?Dq?hl3$8u~v zrv@SR`I7TsX$NRa#FdQM>hfYZ8G<53Bb_P5YP1o=;8=ZD@2(}BT3)ua-r1tr62kCh zKMO2ck_NIqm)4Tm#FCb?zha!0=YV0}g>7>WSJL_7RBF?U)UR@+3ZQ&@GqCqUEl179 zKK=19RPK&$iyZ}c>!Ra+3c<>FO~A_V7mrf-_!_m46Av`KlV-$mOFChj9ie(`qux?d ziVaQ=9h)#siDZNER)uRs;JCO33$GFw&t#zE3r-JrF*|M@hcG<6hXxWmHC4A=lR)>S zb+Y*F3nl#)+CPg8Yh))B-kg??OIsr#aPuiPj)qhUv~+@HP=GoEHQ13{Dyq%>!qeX2 zJO>;@?gA&Yhq2=0yHU+Q_lQ~X2HtG)GbwlJN`IvW2w0a;_Yh{SOD%h*GH?spPf!Ax zMcnF_>@7o0b()DfQwBApw)2Dw3F!RMmA#>1z5ropFL{>kGxR zDhXSP8hkbu>oL}U6_zWk-ail%*SzF2pRDJ^YhVkOm(hBBnY2~5g9zMv(X})-Q?_Yz zzC)nU%Z`8qTjYyY`{UMD`gH53Q9+E5WQrcu=0+E4z}SCIY0qR`#;A#M@YPI?akZ1= zmJ$d7h(IC0k|@B#vCnhAjO*AYh9-8MhSf5mU%3WX5;Zs&baYlmLr=j2OyG>&MMl_! zkw+poG1?ytgV`Zeg)2289Oh|D3PTevN!c$pgk5P*ql#7Ff32zKM(TBF3CvpR^F|=n z7hligSI1xyBS!iQ;}>U7t5};VN))QRCkb@z4Is=!2v+l=l}}vBqR9}zrOu@7K|w%e zgX9(l$Va-1Lc-h=pl-4Y2}4IKAbvOb`-c#WWrC1H<%M@mqyyR9V;XvwBsh^DT^0T@ z*>D({+}J=p*@CoSIwe@PxtJ@Lbz_d(PwP*}CR{zaSmU5Ec%Y~XnR3s~VCjz?OIska z6uj_#N&-Ieu%MWQaIT9P4mDGXl*aqF(<`)^{q0xkv};N6(mp`cGOR#coJ5~K__@%& zK9O-hKdgAp#YMt*o?R$FIx!?}UF=>iKCGTK=7{LuLUAXkZ;%uVTN7}vWmh`3(IBoL zH!yIQwEAMqOkZ&sHjiUrHVu61+aG~XJCa_>Vz$^r^>^F76Oa%XbU2A@TJ?X%n{cbc zk+wJ4LWAHkW#t#}Z`Gzd=pQGF_?rekLeYo0#3j)iCY(d;Zzis>BVw6d%cdH}*}U!2 z=5FQ3e5*q6DC`Nop~+D5k8@cqQXd(>;AO2s65KHBX6)S4om4*89rIFyXZrzrf2Ui) zq2Fc@zUn{w1LqI2<(izh8pa=pN~qkxF78KqVTWRrLe;ZdR0pvEjI!nOeNx}UxhAu4 zup%&GlD%N=WlNQ16orsyh&tph-xyk0`L~+y=PL0pJycnU5fHu+eHY`CL=2+Cg*ZDz z-<|f7wXZ+6e^A+i{z*&02h9q66AU#3FCT&GU@IbTA|-Y2huwMbF(bwzmXt98n{rf1 zl_w@*GJxu*O{xT z^JgU^4lKtw;irH(ja1X-Un#9Gr7kn~UyIux+KkcR=_s#v?jXb3M+pTgIKmpTeJHy$ zD9uaO;+WvL4%FCZKo-LD%?2i-@vi1Kh0p4CV-}(xTK@X#YU|@0>1xH6mCqgK3eb6TCDBA7oR<$s#`1JB14{?Bd?*N9Wm5V2^V`uPTL^=td?$su*QW-0xz4)O=2|Ehu2VJ+tE9~#qiu5x|L;6 zqUK3a#i-N8{UrlVmeM+%I<0;c!`$EP(CvJTRY8QH=XEG~{&8+*kTjs=e2U4<|FDvt zMNJ!J(8sE^kgb@m2cQ8z3q9jZBPY>c!?Ye(+ARq*H~G8&^IV6hyyghHW~^M%_fg_n zCO}2j=UM#rO7~vLWg=m;_@|#7Cim(SMw8E#EABM5ht{-HHCl?2104`gfjpD;6ne*Q zYGenl&T_w!Pz}SBbPz@3w3;W4NsTZkg~r62{$#qv$p-`|4p8_hh5pt%zhSDN$eGq7 z)5&w_0RM#~=A4c6caJNCK(%To?5p>vWYwJco+1CA*;1!06dxoOktq?;?Qs59>>D<} zWmb=Xpm8>Yc@kBD?9hvI_^ND9?i3TTf;JiiN9A|%BtBlWW|ApNcZ!=rGhf@|vmdqM zO3Dg@?aeQ61lS;!u0zK`h=}jl8@e#GT?~Zl>i2F!VxkcgNkcj+q3S#n!Fl@GVA>D; zN51=NBhBz96n7C-2bksz*%vY^cM=zy;3#|Aa(s_9?Oj`J3{HBDK(ZAfugI6^>q&Wg zy371bScIH%l6g)Z>s~w`BjpbBmb2g#NDp!Y4o(#0VBhDsw#u4g_K-}!DJ zr$$(FL~;?#r*cer?TRW)^WUCh1b-`_q2&pAyKz9piY zDV6qEeP&oI!Eqa!z*G#_A@6KQ7h|ns55+j3uNcy>i6qQ@T)D zY&Z+Y=H2JUL@U$RAVKWw{NR&(od7|B3^{w5eh&q)cT&HU;mI|13{LUMbhWYR$zl<# zUglUh;Y?E{k(fE-tsr7EP{=*u zijyN?TEz$JR1#Q{(^bLhK~CzH${HdoB<-hv59At=gcu?B!9u%e=`3%iYyb;5zizh8 z|Dq72M@w|i3`mJFJ1vaD)IoIl&jc~l=~=Quy+GKa>k=|(z6Qg@56JQsN+^@VP`%*u zS(&4dQY11nkWaAUFo-JXL4qf`n@x&E?_%jF8(p)K#T-sfXhfkioaiv9P`)K|kY3n{ zNQUp`Q2ua1UBmJp*FH>~0|NSrr;g?qNEJ_1mSi#Z+frE5wCLp2IMJ2fscYdFLJ`tb zN(~^1ug1YJ>dTyqA=7Z7jU;-EI6+m{qPle&$&z%}(LaT&n_DtMRlV~Qin$sx@&{nk z@|#Z_UD7MT>p|G&V$-^FFG>olbB|5S&&N&m#R`5$SyCgnD!tT)YXH*5zM1BE48Gk^ z;AolSRm7hx7Bw@vh|JK&^6`?f=3FtDJ$tarhpEiCHeq-2Joh8F3B2?5ShL;;M3|X?l0H^9JC=X-tPt= zCl)}6kbp?d2*AX=`eG7P;p)OeZdwnj6TwVg6j|)~7xfinF&s;B##A1`Il`kgcbhNsjF{q+x#U@W4P& z(F>R=wEBow7u9qQ$t#P5Qi{Q57lA`j4jQ0XkoYBQv}?#QvN$v2qGGhFtMx%jHjhY^ zBP$@61`;Vvvv$z% z_!`&)+e2g!czf{|_LDU8-@llfH0wSK1N!wW1N!s0ZY?!=q-MUF*OYlJ{Q#*<6DAuHw-0u~%Yb)?K|Lf$RAwr*|H zw{l4(XxpB^9IbtcuLj!nHGln_kJe21__v%Zq``yvaU-v2d%K0W;1t#nsl(-iM`W0O{WA@atZA;}KrX9$f_Ce6}p zi6BS$EvP+&FjK<1jz2rFU_ZZy7eh`DBVG;TxR-|j?fl1*n+Lx;$Q)GDLl+(zziP~> zz|gtJ0>P^ui4=G?1M5XQtTKga!{gfSuwhf($td}2Y)01Xo#X++M5IXKX9a0JB>-`< z#=J7ze8b8fTHRMiX<;x3gi0?9Y>-#r4{lj0MSuh>Uvt~;-Ep<9>YaiuI7 z1~op-?o?lsW|{JxFus#l4avI0Xx?S~ff?=ArPQ~6p~~!NVa>0ezPVr=KfSItE8cV3 zc~wdtLe$^7CCHoJS8mjuJ-snp3jhSmfqNPK^j>C;0$P5kLZ~6HA<;jYx8nQi&ddJU&`10WSTeY4*j(yGDmkRXemg9j{~obhFS1}Q8;`wcYJt$fLx(N|`ptqg3U3lQ z^ADz@Ud$@^plKZYU3)qf`OQ}u(pC=!6cjHs-!-Mt5*WsWO;;$&T;O@*8S2<&wjOcQ zu^h2G%8gSy8e1R;IHr3K0%q_Wwr_N2zfv`nanKybzZ?Sn*8c6B{0w>tzLLC4iuKNh zh-HG*_3+FhH)4{sqPa8ls-#S3zGT6?}6qxhj|;=O6Vg0i3T4Pj=WM2wt?m zaPD88wgbb{vnYUHArCBTjspI2PeEq~OJo9(a&cY+G`TVz zGF0~^yN;!*bajJLfbBOMWSfv8gFD=mS=4_2u@%_Ioi6G@&PLONBz+PxmnqYv)Qr=_ zS*Sgi!0h)9M{zDmaC)V&9!x8<9;z14!g|M+*ML=8x(th{`8rI&`OUZyMLr_L)@Ug( z!mcsq!9nXkj0-tlf4jHV&M#bwDVR3v%?50LuiM}l`71!;08DhEMk=4*u3LP3`>4n1 zD(W!L@Kez=28OmJ8PxTrdNFKj%Ypwu%FDeJWSL5&M|PW^{h6 z9@2-jT!7kM0?2J;4A&+244RoqtI8gi9gNu-rCZQHCm>JiwD)GWx>(0!y6`YRy#~EB z+Ose|y*j=AXgwUxDlh$5I2kdoP>mNi@(` z66vV=ku*~~6rD{1W?$$M-76+vKV)EbNt^aB0#h4LkL3E3m z?I>`b9<=6Ozcx+#t+3R`zVE`@gy;9$G8N=PQ5vuKV|D$5=2zwo`-|A-m3V@IfckaE z>SHsy4|r+)gbbEEB+{L>>Vt%auVPEq^fB^E&Rf;KE@DfFjBJ+Gxxk8C9pXEG;uOc! zTyBot5FcjCaGK=XCzRa%mvl(rIaGVJO(EmtbNK@tVReHMzAC(hk`$cnySfOr#p=z{ z4yVhLa!5Ca0I*HMJQ-+9!re-y?VSK&j}6<4RGE9_nBO+dZFicipi4ohP*Q*@)@}VxFVo;naD3kxpTDbo`U;%Pcoo+SzQuO2qKdOJcTt_asu;%GyzxzT5uxiE#2>YUyp7YMT0nMATJ0bvHD2g)FdwF)_q!Ui5o za)AbGZy40L6T^Q9kZbzYjI|w9a!3ZTBM~QR+VXeqimNqiU zNCMMTqu4C@0qm*113eABy=>;`hW!cVAtODUA4$=4*{C)4N=LKuA4(kdqG9OBO;h`> zgb;Tb&V~ILt}p!XEMq72$N(C_LBGXdmM#t zp+%ZfUWxe6Tnrf_5P;l$wlo+Fv5y8hPB?ZfxhaWClK2l&`@@gIG9bA*_%I9`Wz2x= zUWYqoSOf_a4L>HSgfT@BuCvagZx(zPa|Ro|E#oiPApEpU>GB5d|E%g>Ju(gAPgo35 zJ*}6I{nDHU$c6)qCWEj{sFcIE*AAM@T39hyg|;c3fU%%RfVvH)cx0up$G)AyFWy*Y zwW4?l>7_CqYEk>_^X^W$J`{nT?vlMSL!;wQ2^$n(GGDW;<6HF3kS-HN|gSW`4u`N?d&a?QrsunJZ# z@Cp?(jHbbraB;l&B=-2^X;mL;%-#K(tkt; zi2L&C;=rYOlHG>p+srgmX^>FEtumWUfZ+2POwgp6ioyP&6Rf+;L;0|yqU3Qf1m;y3 zldmlXSof977CFq6t)b>=AK$yoSX{{}CPtenG%<9S+4v8oEe#zS*a0_|_(GKcx0Epa z$nEzdgF8V;*cealQ67|4baB%$n-C+bTm z$YQmH-=B$r1Pz=<{T8Y8ZF@)g$?fm>Hr-`F59l~jYrV**>rd{`BiEUzD@uRI3*C40 zLp`S;qNK52vcAgJcWqfQ zt9K2|1&DBjzB-|iJDQ+@HW_lj?}Zx9UEnl*?u-VAb%{B@eT6Te$L8XZwrABFmo@V9 zuPqL@%kBI}1REGD{*~OYRIij3pT2($ur<=5WSI9|(s=4&D{Ik}^Mfoq&`g;gZK&b< zFx=3s)pM&@VWmX#+vb8TSAj&%yAoh0^Eimqjq{Ws5lB$Bj`M>*Cg(9kxcVATU{o`@ zUJCzrE~hfWh109o#8%Vmh99BASCJ^=q|>G+%|Qvt*v%qmY**&8*9HCd+!9jh>U!vX zI{-;j)1DZ@VSF7WPPAj0G*aUQns#^KSTM6w2;iJ{H$F)pjHmg zC-qUxKVWP?C&E+IAeb{BmiN&gGRtB8+=30sKEYGH3%9#M8=9wpVhk2~EYu?Cz-vfI z0&QcZ@b&dzH1d#bywIfO~ajzG3n%V z30XZLqsw%4Vt7DM`PLEbX{r>Q?mH&k2958z3YBS>iHSoG88N;H;JoxfX%3Mzg-;EL zRyaujs;lpd-K=ha)BcuqUIY?46>p>~Abfhy$O)u4jSUyx73p&1-F_>( zn%rY-`q}apcR$ZT9V;?xFFF%V@~aKPeG^%wUfx_qB-=V0v;cmR0zR~)rVOY1lXg8c zA?%XyGX3SGD&q`o0XXC&P*_S1()G)=Rd_IMBs>Et-GsZ(w}@cOR%Z@BiLZ%WJe8oL zv8W^X@wuVIvs@0Q5iyZW{tfmaaSLOW@lI$^tQ)SLWtoJdxBvJI{217pbK-1nSxUH8kVZh_$h_MEz=M%0V?!l2C|H)yHci=tI;K9RL*f<33g z=d(Eod)d4_JxK_#`Bzl^@M|PJ|uZ4 z5`b4#MWYI_-n5R8BL;N^R?X#x{b{(PSWs1Z3vXd20hRz>zPX%NF;InLyur5A?mimP z=~_38iUd1ze_AIc;3IF(E7r;zyvk;Ehdr4PhBb}rkxxfrd+H~>p`PW*uR1Z_ z%l}*pW&#GYGH;IX2?P9IEDH1Q&{t29*FUEpBE+ZVTW^`d4NZWe2j7q%$OkhoH+ zPFR7)jU=cJeG+~@>f?{)8`=(n#P|29K~`3zDX!`~ni4OXasxx&o@?>PB0WmQ)yb=1 zG_w{P(PYgP+iXpcs+^n)*dpkd9Ba*A+iv%jtjUxwPz@+}qyH7|-vEwpdCIpUI&saL z7O2$01p&yGM*Y0{j%hF@Uo5ev5W z-|8keJ35+=voAZUFDnYDPiO0O_oi+)@WFK#WBCXvDxQUrYRtiR&u-65?j!`b``=Ir zXCB zeFWH-3r{OsGDI}b4cA*73vgazT1EsU(G<2NXmoIn7pkcxs2lx(HrFheiH>G34*+Qpl-D(%O1Elje|i#|5FVIvRu~fvCH{^e>EF^>cbIKip_6CuJyL8Nf|O z(t>%_PMcXwbKH#L6@H@0w^yx3PA=|*ZyRZbwM~U}q2(jgj5NeH#CQ|<0~$k&|D+tN zQi&Rigt2d1eG=3?cr00Ej0=5j{%VLE3wDSa`U!)^=h-2RW~ZSy72xB2YsYNENt_}I zYDw-)T^OQ-&%1bO{BfAGG;jK&AK)CA=Hp4BgrxQpZD$7cjPFr0gixCd&K2d%%QMcl zg?uQ`*?DmK8JkI_$&yA|FlmBo7cm%YWOS1kA_&Ob2jW91%pXLE#sIoGoJ07B zXX#w!2EzVWs)Gl1zfWHMLCZOO%rQEqNGe@$oh>@J@YX)e(QHI+5i{5GG$4E@IzI0T zMGV^xPWQoLiE$PQndw&|NhLJ0)bJ-biR4(f$gxtCV|0y6{YdQ}2yhAPLOgSG$oiUC z_rXYm^4?WDo05Ms^|INonslzS`bCP_eByV;aRK4xti3LN^_=Lk1mg~piO0L~6^uMu zLA6=QLq`743#LAmnGyo28-U*`&{>u`p#_E0-qo9>`5Z4Vn+UdPn2#o9^Rxzjom6co zBLU^I5d6{_%Pts8;R#=O-h{{UNzeGRG2=ykLdd2BKQdS(@|wFR9fdF8g-tt6QI%3f zO(PZ`q;uB|mww&*DOjG9f*^WdNe+OD+{X`jSm8cpJq7*du@{GSPypmNC`~oANx)Zi z*>rwx@S|0Z_4>U{!_G0pAI5&a#eGi3)z&*NItRzCU%q(R&J-BIXivE?*Uv#d?w`0v zaB!S-{6jUe66wWcezJoG;g|(!cR`c#5ExNsSc~aDIkL;5bKjUFy<`oID+XVpm;;pH zwcSKr35m+kXp+ieQveyNMy;E{;1#@r)SdLWW1D`b7@p59Rvlof+RvI$qusfq1K8;+ z#wgF>MO*6n2}7xGmvO!pAV`B?KZD(EwFj5T@v#t1XsptyaMAYZF3IG$8 zag6Q<^>A)+JUK83XZQiWFdO-0B6x@DRlf*ZWR7%(ShB>Ux&SuWi-q`YHN}?mO$r=( zKk$!4j~&1bMs+}-=LW%UntIDIw>iL>OP+P14JtXa!21asiup=_A1p<@(N(o&IpcAD`M!M;-JwoA({bRbZ0t1VHXjMV>Z)Nc^!K+Y2L(T zN+?|(&hPm%q989NY#p1_L7tah+ABy92WO7}*>5*F5P(vBT!carvyjD40P8oC*soH! zL8WUv+@rol8ni(ijQugQEHFC4R^!q4lfGGg=nOYwy7=v6uLc`Q&Lcc|W!2FV#_tI0 zJ}yqcN^Cs_){B!7tYAC1(;`a+we_b*waIq|5&}fXH0* z%=%@NS$6D@K3x-u2T}{%`#h~O0UMhx$WI*|DO`*V-;!caUfB~0*QIOtmehq0Xy4Bz z3Tg+e>;E>0Go1lP`nkvfV63X0+ih?q9_Bm-KMQWwlP5euXg|=vZ0c$MBB5{x#198% z`8mDrT|!bm{a`FCYiV)n_Y$dnXM%ZwssMh2fFzGte4k5LgNDlq?1 z6?1>@dM=-mERWbee@j<# zk%tE}1@%n$Yg5VCXPWoEru=eRnJy(VNtEgu5X`T2)>4`A0qm(om<^HeI_WR^N9 zco|KB-)fD<{m2HKJ9otZW90xkk3iD^F1582HY8C4@(lZ>;INz5pvNtqNSruZl1ziD zs)rek-h#-Q$xWzL_V&i#->T1PRf>+sya>Q;jfjQ+#w@Grf77b4DqDNUjaCfA`xzsH zxv-KV>mk1!83VNRySy0W(Z{6Clqo}&F*B2-)p3ig$w~2`E9GwlQ&s5GbS`cH*N=O1 zn!~);(H#RMXrF(U^t-hx*!5P9_7Bc2S49V*P(OD)38p%F`{HGAsp%Hf=EHe0wV_l4 zsQS?rWye;*REL@G1jslQm;+2c%3ef_b|QO7&3l_nc4w{BD(l<|$+ zYipVIjBiM@2PfB+)qMIVx^{#1d281?Dj!kouKkDGTUCt@*G7twKL|+~fPZ8z<7z(d zUaZ?;qk4!jHFiCt^~;GH%>d(c+^o-qzN&fXJVIKcvarLyTUwPnaFqxIUUn6%sf!@I zjL)etQvHuyQu?qs0qT^aaX&fLpushE!3DUu#pq-U2iX8Yd=8b!0h$j3 z)HM#B<=z5Jq&g=Gfc0OamUSF~=@I{~B(jq!{Ko{pBt#k&b72afxQP+?eFPJ!Xaj%H zP&+gF$O&v5x^mHRC>fny8bl?y6}(F(`9nJ#6*G-gI$w0|f($wSJr0GOdlQ`F6C_Pe z$3+Jd*HRbQ$_UTaDkuy)d!6%T2aQ+yPGHlx>s_R@PN|VOz*Rwm9*x{wJ*&2}&|s|2 zr@{$p1Y`G0aPPI^rQ7p};W0X{QfaB?1=(8cAKjrJPdmpZG;C!f{z=6-EdAR0Ix5{-rA-opO0iB`Nl|OLB|7GgdcmScNNc-27pm8GIo#> z0jE=MycpaZ)h+UCWa8cj8m2&!kC3bK=@%90Cl$f~YRTcfnD!^MD@_S}_9#I>e%OLv zh{U)szp>MpTFrn?W(;WxJqy7K3JHU46uD1=*IsA2aRlpex}5`JL(>M3AE;&L6_3kF zrG2*XW*>up;x-Dn5ww_Ww9Ny75%>et2{QfmnQY$tZhfP_{P>Yx0?W(6n8ED(drM*s zpi&3`$gl{Cn7M=%i&0NzHgn*k=M;fL+#GfHy-4m=*bYx{9u% z?L+|`fd)}b$hfTT$}&iYeNfFJRq9Lh$rFb0iSlF4vV8bfBFa(lhi+pxgrgQNN-JL? z&{@;L9efbFt`6||gDKWpDig9_(YW4gtVwi$nQ(vT_yfBHnz5^UL0)B2dA8*1K*W5e zP807?+GP5?piRUc%eB^nYOuGQwG3Y-@~dIuroZ%4HX(IOrs>U#%ycPrA$ml$Fjs^7 zsanZV*tA7B?b>yAxBVKS2y&SXB(Q^^39xo{=WBTCTgGGpoi6<-aO|yeb^Vthr2(UW zsR&EGCW+NQ+|FZsI?=`ud3099Xth|Jh$zJXJZ9?4_GC3$_``9Cff|a9h#NCMul<1S zx`b8khp%6zLas-ZB^gAZT+aMy@38Q{D_V@~k^aR65yWZNrn-7`#giiS2|W{1sn8?_ z7MV$&Cn|Ca?D^~;LnZNdh(rsVR^xX8EVTu#hH`JyXKQuDOyrSU*t|AaaFdzBN8{~F z3$L_d*1Z{`rP3w$6bVvpoqO9YDkM05uVF?MPGyZRC_79S?wJHciFObuKHUYdNqS~G zQF<)92Gq^|8(==k670Y@>?9U)vx8$cV5IJo@tN$lEvIb`F7M?%f~Dkj-IQhnm@+}8 z*!@@{(YFuB2^DOMGX0W~!?nWlP*6t{2oUnBR7f%_+wZkHZcx z9m~2&Q}sM(;o&~#3G1BegQ+$F(CkaQgyyCZLU9aRm!R?WPviB4;3X^SoMc*13&G}P z5<^BccAk5JcX)iMI+T%;#j+Tt<5f_jXyo-gW>Fo73-MMFa4&{EB-Y&gdld{5rBU6U zGG59ljYUOvyQb*D#B5-gop|06I{cy7Y)7hQ2A*MqeWVo2dlVc0+m77_K!l&=lb2Rv z=^&KV_5oiz3)ws-A(09c2B`W4V-63w~>c*jScagdUDK{zbMj?sUDhBKZfl{KS3NJd#JzVL~agWoDj=^Gc&Dp!b93 zVBx;OL_jf_Ie)kuoT(Ahx8f)TG1tFby|9Eg;a~4CFXia)0JNnct~AVCWB+0YQRKi@ zXYrHGSi0V&d99(ZCmb!(?j%9jNYwF&M!_Ocm-Pe|jG{ z>FrAVXAMND;X?oaLXd#Tg9Hq%y-M6+prFmI5-QwhU;rpQut0Y3F&sOv`|3=lNQL|e z$e_;BRM8hZNZkEo1{rQcv6azZnA<4%L~67|UBZMN)J+RZ;PRwD64}YiATCvZ`|BzE zDMv!La@S*5u}Y~t;|X9Nnca8GT)R#D{o&#-YsjHvSrvXah4og`*`|Nc$LUxDx4mnj ztFp5lfCJFRtu%meF=7UN1~-L`aCNhnZu+Ttu!mK^ma8S#_SC=dk2**p`i7A4o+T8i z>~Kbruo8jee!64`(p&wOSRJFQ*HgODNgD0Re)<4-!0Zvgc+$1o2Z|AzfI_o(mM=-o zSFyzmqvLW*!GFn(7*NsGxj!1#iz2 zV@KPq<+aw#K_p6G3p0;+&Ot^Nj0QU#snTViAq?6%G}nI9Bwh%3RJfljdBwo}sG)`K zvFWo^5K_P3OApoWw7X$FAdjfU6`C9M2*~!YmWq^tDgbln8->@Ex{eBF?O2l=ZRdqa zC|8cS7~^#SG~beKf})mJxIxB2kYwE*vyYTT#T%!nk0Fi4|&sDP@~^5 zAG`2S7Yy{V;qQl2LVn3Yh;fgq&}t+a;IAT-4&#VcA?OBaBiwYt_R3ghK@yniRf!nS^+== zsKOXtt-@x+OhInXuBxkKfW8I;%VMB&e|qB~2zx4vEH;=tDyN(X3tLz&q+16;5O~>p zMD9LjcFTA~i)m%eMhD{<6eF(j4B`A}5tw2l%c4Pjek(RYCj(QUKWxe~wuwN3I1w?5 zb1L?XbM7Fjw2Kw?GhEG&m0-{rHrV^L30ak13c%M7g((Sc|KUc(`r zqN!^_Kvgn)sa+6lrpjjK4Wk|AE=W){P;ql>E7of?S>%BP>lB@Vq|>U;b)_Yr2u0kC ze!9kjY;_Gf4jzzFABvlFzXnKStJnbhX6YJ@rY595YOmB+A#nYU`2BJoD$?Vg9Z4SR z+R7t+=IQx!SNe$OJubxUO zi0^nZ688lCtUJLN$Y0e`i3N_^>04NF*p=bTmyfzKoZv?(StcFeIm=4tdYGZ2Xh+bTlTnDq+QD zCo?*lhv9jC8xo=nD_I&JdY2;USl1}|aysZ@1puls1T*n}|G)pXwwZy*tn73E?JdU* zPGrEWVSyA*x^{r-`edMk{XD`&$C4PAo4=Ah1*VN|IXTzG=j+z3w6@24(hPPms0heU z-ejrmTfqQNZ`O7{hUAN>Xj_F&DKY_}-a z?As~w6zw}kjNrHt+46@spLRV!ZH4u``XihSum9GPz5wn|pu)xQ>Bphes%SqHSitVJ zX~W|`w7*vRq-@98MF_u)y%EI%s4%(h5WTZ??;PwJM%68ACy1JF+s@~YiQHe>&cC{7 zDgWJs{+*d-?o0?nr$=MNp_^tcr#=gKCUEh&!(aGBhQ%%c1qmJ6oViE>Of77rH3W!w z8EIgAmvlu5uhAnN-ZG&`7sFCMwi70pm^BvS9rhKBQ&|}LBEJDH=2k?g3V}wvw8l5L zi^GlM!&La;Lh~V*StnELW*uEOZi&N(xAUpv%fq$ZHvCVZEpk3+LOtTowJ%vWO+#2;+P7u3_iTt!I6bNJW*PL?9aSzwSa4P`NF zt$gcf8i>nO{k!hDvBee>5UH_LJaDNg#&RZuG5i^4D9wxl=|rQV;9=KOH`n%nSRtjhVa*$sOlV zGaA>uCFNXyO%{m>jRRdRAMsjUUp0vfLo2vv>G*RNN1FDmTdZSDnz@A?y2+`0E$aeaizVTsop_S*027<>*jI=9>k zhAc<~N+A+)eq1h3j^l+xIlrR^9bhj7Jv}+blf>g6OcOZ*C}#tDT;mDQ7U8cnLmtgu zQ-jQTFA(fDWO=m8>+`3l2uuf3;Kfq92M7CDlps%Th?OJ7o;V7(_CAtaZV z@-|mP{O*j=J$F;;&)WmvQ2v0$iwf2MCbhX4O@^}Kh(qeInk-rqO9G@0sg81D{uD*c z7_g5<-%*e-8DvP=6x1XClVQ5P_C<|K=gs~=9IEUOIBnNq!gQOpfAp*)6}7hMjZ&`+ z-1H;7ns}m{6|Bp(KLVjP8EMb)`plouCbl36ELupcFZ#JsnV>8pfln`5djW2oZ!cG= zk_la*FF&d3ya}SDw2*@}+Uz_9S|WfOVn0K?Kp1=QpM|^X-`g2-t`34|y#(K@#JxVm z1SfdgY40r`Kv(V zd{2dFe=pU;Q1}a!wv4bUgoN|Mt<~|hQ9TsUeR}V|rV+M3bJ1?)SjU~hdT1@r`^^2tc3w&@+pXi*-`Yl$_{SdhHYTz_6rw^1Q@#?H zbSts2-X>5i$i5V9zhJO>zbFVSkPwx4E}~V|6x_oXtvQ@ZT0zBrg0zW_iKvWrX`%>F zO`%0AxhUAc8(rnr{99g_zu;BlMM9;!HPe>j)?Tpp`UDD!x?-y9ZYUtx?WEz5WRO%*&_g?a(c; zEvSUvUG1TxWav?_=OF_LJ4-jWo*qV?zn+`*@boYJ?+V{E!#5%h%98s+@8{353z|Bp zq+v)2q-SQ^klIJ5YlUZ*Q&&RP>^oLi8}xI2rW8g~PQIWCc;q6%Q}h3B2|&NGX6oSd ze5bumxrmmtLzadO?E|O1&c9{*^;WW~hilH?h+<1~6~B~5(^u`%V_DC1n=z+g7-ACT z!2{Vn+Kq>>P;QDCi+*ia)m7*dJ4qZKlN$%t87=mQjTeN(_?@Cm`e7dcWN?5(j8uMI z!=`(mC>sybsDB{RIjFzv3;`4a%>DGgH1rb;8@F^1onp=%PX2%o(i<_CFJR=ThA*g& zET|&-ga|+EqFJr7I%K*i2N2?1>?!4Xlo3EkIV-ewTWEM3q5o0-f`l3Fs02!A%EyF_ z{$jQGQ|A!ob6u}1HJ$3z;GeE|7<@*2-b5Lxu@JI_1{|07^#J_VxdK?L^**w3X?jt@ zplQ_6vmpWDLp9`v0zL+V>sC?L(EiO^-}*rJ3!!U#e6c+^#2h*qAZM6lXWicgD;Wir z2BHlKySF*}Ld6h|$v{2RJd1%yq~RbTvf$YC#B$7*a-=;lbl8+dR48!-{dnDNi~V&M z0UF8(C1JAz#ew&LKgnnm2dYw<1rT%KA~)`0h!|dt7~qN$bigv%-A#lg&WfwAM{7tr z=#;!gs;XoY{=W)>g`E|xwbFz;6%>r|1Sn$0oePkhULIJaKplY&_|ESZXZ&f=SuE#b zK5$i!XMd1lOd{{cr4f?g{j80v6xtF)@lRu@o2f~?wU#cAmCL`CPlhGc=y5|0!Bk6X zQy=~-d$^IE?~xjgbR1q*7G0*|@GJCZD*?$oLsoAiL-!Veo*urQTnv@%&n`8o(ggL% zjVD0Y!u>)GE>vf^0-{^)k*q}$qa5kNzOA;w>3-r76)!9NlTNs_~+cbDjxaC#d;5c!o73M1-9 zF=su9OhL3O*X4AqFPFIT)-=HDjew;fSkHp51(hR^d zbZ7AH-QhXx2MfW<^0p`xVZhoJZ=(?~S*u;N39})Erm%zz_%p+tY^L3m%FDtFC<7jS z8(1T8+D8dHvBIW*rq5DDi>+Qcx-)8dtrIh5M@BCLJHN;By=U`8=# z?{d#vXklb@?Vtb7z#B|qsOjtwo!=I=(f{{oSgw;vCn`kN!5}`t)Y9DRLEVilt6>l( zuDxxFRfdHjvDO=wAsE%%BSDE#^qB|(h(2{{{ccmB;J+cKaBR}5C55$P}F}XCx z+(L!-lHj^ra5-dWyE)F1S8M40(NhRbD}0ly$UAY(#j-}e{pJZGcq;M8B30CB~Hl=RgSH7^8$?X%kh9tX))rx)fh_} z+Lz(K1+@}7GC9~=UOXA)@w(T8VR*V+#uu4bIb4xwbK}1h4l>lH zUY7(>Uw6eMn*a^sQ#&PH6e*ReMh2DiTcQA8xb1^rzhXdl*aA5-JeJO;12G`Mxdh_u>gext?H4!UcXYb)~EsgPm+|mPUTu926W*3pAiHE&Ke`w-P2 zz?bU^;-y2asgUEv)>{nGE(|y{^~?Wb>Kua{jk>knwr$(CZQHi(v~AnAZQIkBp0?f7 z_I&-m=X_P?$5lywB(I)c0-Gsds+n1z zeC)T|0&@AD{N9@HwQ;BV3j+UYM^5$sE%fLmomK?D4K8W*etJE>A6y}R!u?y-dx%5h z`Fnqul?SPWRGuGvkLRU-{?kn8OJbJfccQ(438>lkUL~um%=%3p4^YpFfG@(_0U-4;!Jo_V=fa~KuJXGT#Gn33=m>-aO zFIAj)G3f09RIR>V2AENO_tm86o3bnj&%l(@x7w4}rx5G2RHjC2EA2n58G_74M{EH9 zMKz(78TJ^WyZlxQK2a%R57T{1^*n>EfOBi`hVie(=^^Il=O=)blbB!H>zGzX;7Bl0 z^K8iD&RVcC3KV_>+5W8jucIfe)URktflpkVQ>HD=h;o4NEj-m&og)km9Pi%2N}PH5 z6=rRtgwOjb2fyOBnTO=Ih1@=mX*M7wQPW<(qw@kIE`debu^|>JBvtRM`Z|8|&c@UZ zT%n_}J>6r(02g^OlB0iftZDaJam!vAxxPGDiu=tC6X(lCYbZP~zQc zQyUT)EE<9cHwz79TwS`-(Ii7WtWgR|X7n{LfHAm0MyPW>Nod0@a5JaJ|LM2<+6 zSoIuO&Vl4`x#84cVAQ;&7|3E&bbB5|4(@pe@9PSGY<6;;moi;x2wEbIXu;p)SdPHJ zlOsuT>rnRe%@9tDgqm76yk!LUe+Z?yA~ps$7q3^vbaw>RW+YyaVTwZZ{vJ-VkLDqC z2rD?SOgG3Zp^d+gp}7If9@b+e%#g{kUod-Crq1Vy?A(V$*8}6jNxz4IW1!B61{7FO z{oHyDKv&z)kuZuUCx78fwd^emnI`~!Hgwiz_tQ+nXM;=@f58E*GlUlFAnm76AefP; zObXFJwfU(>w9Tuxp@R)Cz9@m2uD;v8lNz=#7K;zL4l zEB3WZhiZ+&Mq&Fj8z50Sktar|7Yz|xqWV&u=)~cmq8eZ;Ju6CR=KB5{@>13pOdEar zr^^JK2u^YFn>>FULriw~6e5Biv`)?81YMQgZnW0Z@EkAhCyiHoUb|3*fD*&fp>;90 z2UEB4{302DA0h)tV%}ru=ez45c$Wn_M1dx2Pn{K8t;uG=#-)Q7-HCl}PGV=o{>*V> zKhdCWpH@*oiGh8aneWGsdGJe4P$m}#J35^b51lE$?iTf&%h9>4<4dZ zna<_@CTRPzXSl!lDj`M|A>LDtD4dNXUMiKzv&pGYnO3b7sj@`BP?HY?$vMAxZ~%~u5?QLYw?H7;_!RO5sTUye^>1wQW|b?*AV zC|!bJ8<0i$=v)@VlZlrQobdoPi?RZ$UAhl(vQK{l&`x zzWVoybN<}DnMi((Rlji7`BzXx11|+4CXX57hQmqil`&x8fj#u zU8z>Qu?KaR&kcw#_ zyLo`Visg;@qUC$PtM2B^dXtu*evMw|D|@dY4OnpF6D0(;q$S=Xq*k__re($VPLE>I zg$XGB^YS`xhC*4_K@*YKS3tygIHZeD9BFkIlu z4@KW%w2M$j(w*5i>^dlz)E$ggO3@*E#u5NG8x8#X&yRrKq6DM&CV~xmHp6j6Ux%fN zmLMYAB?1cW!@68!n&MPh&_z0WnU-he83cT515H-2@N|^hF56^;xmB&rb6trPPlt`N zU&Ca@!RR-VXs_eFfQ_)Cf?uS!PVylxzcV<_3&cifBEdc>quwt)YPsigJe&pxv!msU zoVw(&8GIUT)cR(iC}t6IOa>Vu>VT?$=Yfcqy9x#z9lIr^-O*%gRfV0KGpGxvfR3rv zRzn;`i&33vJTJD5BKVE_=<0k!hJ`$^{P%BVN>d}+j~I{Te}hOGvW|Z^kos>M_LO10 ztosSCiG_lAgj}ujuBV=blaAUJ^{0|r-M?;mkCb$!#qlAlg77dv$ZWz{bKkgj6En6h z4Y@a;XeB&ClU`^g+_8puW65K=diUN!W&;Fk1Af;tG{lk*E8BuoZuvI<0|fc5PaDw!d4@0wfOY-0R<1!!2uWhcE7rgf%h=D=({`Ut=AGwyWyWKirilf%7rO{X( z3+@Yi`U7B!{7op~`{{9r;UT(xm5d|(qABH1?s-ClJ~beluTT4u)X1IhKC*&?(G`WCp%J;6fMzw(_}kgQZ@wOq$dTaeYK zIs!8Fl&bUgUNj9)9$1q8BgR#2hh=Vj`9%pdClhc`EER{k8rEYjbsI{Uc~6L;K3tSa zX-*Oto^2OeoL*6L{_D5ShB3U=I8aarH4c9a#CQF=j#;B26DNJdJ=Ijfi~VOh)m6le z7Tm;HSG4ln*-0Rp2ucZd+YWg5_8(@IUDi1nE^#z(f8`R zgoq5$Zouw(&k3z)mfbs_x)sSPwIatKWr{J+#LLjf%==grOe)$MT?+LOG1biqE^>Lv z@oH!*bIl5b7mmiMj^MdUOlQbPmKz8Sr6~3biT8u?$=wr zpUC9?{*^D@5rU#Iy9|HCeE61vxzdeUUaazKRUc&ES?VDn;cj50exDFxu0Skgg?|Ck z#c;*bkg#r=r|m26Ujg0Fd02llM}&3onBA0mqiwvqwdSZOTX@=uHq6T{$*uXqHV-{| zeL1&c%`-AYMw-Am$!-Q#kMmqA7z7`R!bqHkik<3wrXXie@?9vuk43qmcBdU(lasx} z63kgzLac@yVPE7sb$DdcWaP)E$%`QXpakVFrvFoKV*8J_2sOirokb&~Zi9<8!(WN( zXRBak$w=DtrUry*$h!WVVf9~X-bu;RX958u&62NlrsoKtO0v$$C4<0~+G%@;pbn0#Qmn^mCkuPz`6zBX^ED60sOP79R&$bIF*zRF{wIX8` z0sqV-3qDrvD)9CZaY-6sB-N%Nttpwi`l5Q7v+?Lk)dq;M4p;6i*`QYClCdO|&PwN7 zEZN}uM>lwk6|H!Dn?L1PT0$o!Lr*ZEH*%Zuk($S!@}A_|>V|&AsG+2>q~0eqbuZiV zLfT*q)CoV8Q?+68YXx+fW&Kz+s!+wGhZR7HQ%S0T5TA)$xHahc*EY)@dz z58Pu?EdW1y0YHKztdS;%d)>@D8~cst7+$@=tTu*i>7O8`jWeI##q73rpD&w!B$08) zY6H*8rgM+UM{eXP;9KoTM_#LNS0}XK^cvO(76mmFyzXw3VAVun#yt}nA}iTL4eIE- znoGo6w3KC6r(0a4G_?otx#ZUc!+#?o)m0r{7JwL^8fWdS_sgzcL_+ErGbOE6+fs zw-ipnBkff_o^9}+dFTogsoJEMA=EcCar3#{$u1(~??Lgrwr$riZ1_TMTx#WX6xkAZ za9sOFo$ypTmyniW_1Pl^>m|Z?e!I3TqX1W^iej>`W)dn;CnYx1HrHYopn{zqEB9`< z0evDLv4eM2Dx^DP?rC-c)0 zU_DZa(-^raLK}2Ot81e5-zvCtr0w`A0)qZ1`Lu%?P~%$O!@AX~XmGl8VvW~sTL9~S zd_b%P1m^8XoCK@)L>Gqx1 z;M7>+sIZSM!))mruV)Tx6b$^^Yeu=VJZqLz@(@!xK~5cETn&SvJ8-L%>}mnS_Aqd* zSEy#S`>UNdz<}T(h=#xwY{j>K+zdFc5dw3>b5#fLgOUQ@^w||Hz;eP*eN#C2CTe?u z$(LozS0+h8)6YFZ;})KIvbnlp3k#;!9^GpY0&0Evz*bTV);DQ>luQ*N=*hdR4)S^P zK#Y6MfPe^mS%||jSTb2`&8);Z0n3Co>Ua9$zzmgK9D^pK^|&tEd=|JavIK~NtY~sE zG@jEZp#sMV%E8iV`nluEsMYj*JMB2b)M5Z9oU?lI)m64v(XhI)8t(J2;gHFxcGRYnO2KI3_Ma4w7&bM5*@| zQ;7{#umI)Y1MFMfmEqretS(GEdY)nU7aH?P*^ntsDI+w}n%IGt8VnN(3s{8f zshIC#zg%K7@LhpKxw(fEU(Y{U*~CbKGJ@UAv4gsHWame{pI!;~XTfU!yR(9@vHw?W z9Fexe^#gZD+71thF(@-P=YLfmAnfcJ$SiC$0G*$Zz$7x@#jvPj*{XLxz)4yFKe3Qw zId|W{P7n6aQ&9363UZX1)P>$l!|m*Rt<`EZa_Go`IMXodAfSj#P>iD0OTrte`odQ>iH;RWI2BqXfqL|pJF-%zATg- zn$9##dKt7YNyJCGARS_!R5fXtQx4JlI{2&XkCyHN_R@1a(mj!h+R8+?RkJ|eJ1c@!s zVfU4IZ~s;{Rc4bv$0_!u)$A%iy9n=3qvzl1Y1Z8vx9#9FFFMm!D=NWks(IT)Q}3bg zVH#=s!b5WRsP)XzO&U*jI2)+1()}AdOu$ZPOCRr5_N0{qQ*$-wvnqDUE%?iMwbRj8 zlm2GO&d#lm!Lete?)>w;h~3{15HZnCAzmBbFeqhgroibPkX;qRXGtGrQp#DzmYJM% zQpB~OP07&gs9eX@SE!EJdC^^|5#N2Od+`Es3RyU0OtQ52VGqy@(35}gxg6RtUqTPA zl^At7(=lpitx-uTbNhqqxIrYU>)@FcX6M`pE1YPASy#RRPINc%@{YB0H=)ZT0r0Ck?L?gvR{bpN7E z*f{g3rDb-n=h*^$o{4!7eDhx(;BizmnzvhXU)JeLKp9JZ3lGosnM#YMg*sj#d2sLK zF-j}0x=2Y-i8z8>#na*#XD}&YT*a;!1bG@(J@nA1FcQvcvpHY&zLJb?LvE}BR17b$ z6QDip#(4ninKIrSK+DU7lMBFR{m%hDy_XWa37e<+Ov9`?-a-~>`gX(vp5&;QPLT>P zj{-*9W$~slYHF(8}V#_jqNdYF*B!fHncJ-p5z2rhCO;!1n2CK68CC^nIZHbwcAG zM-U@l*let!`!h|n@55h@(0deD#OCYCT2K93Z=Hwm5IjN!mmyX4Bih06(p(eK=5wEE z3LAb{gssc0DaRt^*!tVD2*_VyA*;m{4|W&u;Is*5Pvx{4@e*`19!p$?+~NhlLh#N% zLZ``=#$bu$0Ic0MU_s>9`Wkp9QwMI3#x0)s!n)V&Kp{w4>EbP)MNqax@uhDy53G}O zK-w+Bq3o!nSLWJjTVKytyshu|3x&TVWRoo9JbIDN+KHr~vb*qBSiJy5vn@Jd(gro$ z**z1z=cpCG6~K&SF+fu!r1nsG&+MXbLGFR6bex8#0MxW{{kb&byuV}hH!J2QJQ-Mv zT8nuF4^l8lrt6*QBa|Vw9+3=~r?|wl&Kz$$UL}CLckXb+56@VggSzpZoCIxmCzxU? zM{9~K{#Zf7<_4XH4w@hmqYlrg4o&SXkY<&$CjUDbdCipUao-=wkMb8_kEG%btdv~L zI|W7i@r8-1O;J_v@#AhUY@!grsl^-5!oGg%={$v!0-YkWG?@nV*;&S{fSHM!hE>$? z=v+u=u#Cf0#cSN}ufq*D0EV+51DM8LC%*;*0xKV2h>I@E`+azIPQLPZF5oJ z35Le-Oo`bvuOAdE{QS&T;Afx^O!ejylKuc92QV`HBlMQ2Phb?WO}cnAE>4or6`8Y( z%wFWLx7j4ZvpEw#4?hmf^ie5Y0!MLMXg+fuRA>Mi1j$$_appPcu{JvS4Pia*>0D@~ zI2f$alvq@|509+}oM_H@lz+5*XRKX6UBAKPIF>`JsqSUj4Wl$zB6+lWy1$71d{$JZ z4@k{{Ujs#~pL+0=hh~B!>Ke)8aJXu)@1o}vA3<45vBEhbdVU2lp{=#3=E%Yf1B+^b zmY!I_Q~wLff8$?zGrX6bMcIp26lsQ*1d5h)m@4iACY_*?UId4ZBr@!jJ42)A25)uN z)EzzD7Rg$_$K8kqD~w1BmF10`)$7Nd1z>|@sgg8vIbfma-dKGIuKN4rztu&r8M3HW z*;-pN<741}m5BM2&QwH$DL~MKM*v>WSfSY-0M(urC!Sl;5N2;)22=-cw51SwU2w?= zF3Ry+`%lENWq=9S{AQYhShf?@k@{imDvl-czzvb*iBrc>emMld1E0KnasOOc08k3K z*yT1#$y8P486c!(MBoShd%e(Mb_b7`7zC0jK@s2IT_?hL-4MK)lz{*}*!9ig?{{zw+;{fPxx!`gm_^)f;*{A%5$-%tiIF_knJREKLJ8JGr z(Q4M8tEP52Nb#a;neiwjq*@YfT zk*Axvp0uwa5~CdnJ-S2YU|g%%Yhr?uSFq2KbXf@AKY3~?oOyP?K~)jUx zk=uZk8U@X3UE0_vv=Oddu@}I=g`OIFiIvaSMcmbw?%{4rRGhJ6Hse>*fl>d!x$_%QG z&g3xEGyXIzvzN0M^J*88DM$llNM}V@HVQ~%kUeS-oiRZG@f?eacfk+bCOKU48 z6@&@2T5OUlJfj?f4Z2*(FW5waBcHilywK=@5{OEDqJg#~9BIXk%&G#!4eWP}K_MMa z4k|pB>Q%9bL^e2M`XhO65rb1JX$n^*VZN6eCM`hUTXb5)4$Tif5N|3#i^L2YMp=lo zOblfSKXp%6zVS9O&;=-)P#eS4_0GjG+23VhV5Z&ICgzNDVMKsF=vad6G_+?8g5qay ztf43(!0z+2(EL=8q!VJ|u~7-sj1qWsb@L7$58bJwulJqQ+@n*rI@J3CzX-oKsXZhP zV_f{ojh-%gy|nSrdMtIMA{FyIqYs-kqgb!RRWwMX$J){2zyQ56s{9HUi^q=|2cNtw9sFqGBp<(gjeiPe40I^Nl@F?fqf#n`9OK zaQ8qO6&*rR^^`$d?hY*EjP7g%PYNRMZaz@UpeRRAVXN@!wzu

91G zHm4^*Id7W&db!zLk^vz`RF^Fc_QkLawhT2)OSPip59D3Vj<~9FIH}1z&x^_MtkDII zQ4(>FT(O&z9hv^Z__B-J_HwwYq2cJVW|ZP+jrJ$4f$HNUoMD!el=JNi%%6~C`M z9~2t+`14R+BuDtyCQ|we;NtC$?-Gzm&j)CqI6uL+_s>k=r`+7v@CyB?q75YvYi{=9 zYwQCA&i&hH(dq||E^z}~US*K3jCIuxx9*ik+5qoIuTdn}lCBmLh|G2szN!|X=!`Q% zfSKyuOONP+h`uFpfERUi2rN~tp}*fVO1sj|J}cV?eCOw6`V?j zbz3?-*8YqOaRt^qRWBgN3@gtr zjV$C6S7bgvnqf^?K9w4ds4mQaOm6tHB47H@jS?cmMjB!a3Tel|r{yAU`A#3{ zxf+;Ty5i(4PGrg4KvD90l+T)#Gig-?XWdawzqa|RGfesc7W+mwL6{v|jx`5MlL67D z|9)eu?ZFe1=Q;;o5#8|+&YcNdznt8#h3=zYr^snHm><$TV^!4JV+6=iL z=6&l$SxC&3N?2u%vXt^8yNB45L*>TyR^?KOOJ~T&i+>pW`Yt?LaFj^fmXH~}#3Pm(0Z;wa5zs-fX-iM1 zgNw)mSYf>!aY#o@cF7vy&zNvy#C$Bs>I1yFVPS$X;stX+^1vnG2RDBL_tng ztyJW4E7(VH4ua;Uig#!+EF9UR{KMF;Se&Gmv9 z;906zeQ;p9&{*HTboo>70JNp&GLj^O(G48nVm&RZm|#Rz!S$Cl8se|94dJA$=_8Jb zt#k6;sLLc|A}(9b?_qvw`MF4TKj~1_4-NA0u-O?`|G8Dq$)RBBnTJL}gMlwZ+Kf}) z&SC8MTuQstGV&)T*~8{j(`G;`cb02WoAvKrp_;Vm(a=ZkKe9QRs<^0R*6WGyx>Nvn znQthnR#kl&9Y$sU-)zFCAl^0NWmgujc_0&bAd;}cBIEm*z(+Kv0lofSyPnSQ168*= zrFriRkiQ@MQpqtd*=vLzz3k|mVSQXX3I#{#&&bPhTPGUqrRWt2;Q>c5~2o zT;}EE({Kf4az{ZA({@FE<-PY@dvlc2mWWauKaR&LfW210hYL7ez{pEsV49qr&Y%p% zSEM*B7F4Z?#_uKLewz`eJatbw8@+8EI=k8Yg*b|Q`!&`Ym%1Eh4VA2ha`I*t66=R9 zH&tAMra-3ovXRs9i+raxf*sY!BuB(c)z?=hpHue1g~U(A7JC}@*1S7UN! zi|DZk>w#ll?jPTOn=;kOHKNWfrn3TmxYL9rc22S8@Ea$NuNYRBR3k%Zpkv{yr6p=> zY^C3TY8G{Wtzw^!t_y!u%sbId16hy?@~$FzT-aPSRNaqdkODx|)8Bh-6qS`jk&CxK zSrk2U1;T9~<`OJ^P6w?C%g#2XdLDELr{r0WRW^I_-s)+6FAMeEBU?9xb13Bdv!@w@ z?5bw&4EyXxHe3N6p+(T+0*87P8@*y(v6;Q;1nKLMnY2yQWw#|~UJKHHcw)-wy%*Ty(DvAh>Fz+B z8_R~@;U>Kkk$74^={A0)Xml|}5BQEYhnG?Wz+#l0+!_Lg(%(mC^4m9wO1%el=OC~d z>y~XdH>|S~s#J1Yk_A`8Seuo(o_(fk%?`w8k@Z84LfQPzj5-4Gp0!yJ(h=ZkOrvZ&uy8Fov5e}Z5ZT&btdbM z55_WvD28-I(qcA^k!HfV91Z8jjeGR8!bCaCc{%L9Q8sJMOYCu>qYOcJoA%Kpu^-#Y zn14mJ>6JAFLd2sT{EG;BmFoGmXr)UX8A->dJ{JKl21=6b?l;@?R}fCa@h)R&g$w;> zjBn5yFIvZ$G%qI1Pygs%7%2t_6Z8L^K3(hl%=DGO2zY7O)1|f(TX13^`$*q#PPAX4 zXphz>qV8@LlNF;%i-0*@uj&SLFgwVXfZ9m!p&-<>hq%!@L@ zj@+Beiu{r8yv%LZY;L}#t&${?Op8&3Y~rhlr;bV|l98ZPL0iP^nNl5Ev8c<00sc{K z71MMh=<@jKzKn^5U+W8GO*d z{984T(l?skUR{PJs-z1nzxK5^TiEg~`7b|P;0pfpU^rC-Nm9=@0Mg0~LZl>X4UH{T zRYE6~8fAhD*}`5Jl96IvE4~3h&*_~5_E)l1{?wU|!CVYnd7=d)1rr1MGuV4*JAr}} z`N!~c?h-JJ69scS<6&~JD2!i9XWa7fN!#F)Y>W=8HFwhx?Wc{rqjv|9HeZtRLGu%^t9KEF&fe!teU-eLanU%ZlFplD_DEjT*ntNkr zBA1z=9-ymXo{-v*qQXZN0{L##e6H6sVe41ys;9}^j)ks`sb zFa@D<%^lp}o_k!aqSX92GVb0r>E1FmvM8LJh*gy2Bgpz^ltMbY=YxpVFfJzeqy&OU z4sKibyugusRkL>mx*auO&y%;tL_-l5wgsUZ+H(LneD~`UL`4VwqLACPo>+{5PF_}O zqQ-+_A;*%a+Uf@o-j94zO_y5;8hlS?U&f+6ex%Da3x|B7r*-TB7l_&YHktd0GRHhz zhxY+<4LnrW3O;~b35TPrcMdP@#5=vy>^>TuK%NA`QOH1+nEGwtugE$g?L-WI)q!#{ zEDNl~;_T-6W9tL!r9s3kv9SDZ=Hu>T&tm*d(#iY5G+F>KuJ2eopVX6{O{@=YHX9!$ zJK>6_yE1l1$x=gvZjL6ye`PB`LMHEQaguOpnc~W6=kN<5wkQUB#UdXnSxkJf7d?PL z+*QMq#osj*OqrCLVp~KCNDlp)#THYzatBZP^pfNP^sMo8+6iGzjP7IP#cMnrOcu|M zW0V!4z(WFNLFgOhv~Vp(n z;;edP;C4&!rfW`K&m#DU6H5IwIv`FHr4B6M4)ZLMu3~U8yCXg-&`jEWf^7~+2lPf; z6U=}-HjHQa7fRA;p*@H@WA#<7nO+j&ok4_KN#H+iioGnEPb=^jlQop`K!d`rNJT%j zHcs^>hF%wLrdJ^NY_gc-S$s0fjGZMtj!5_(JT^`z?p6N*8c)o+k>dQ*90%Q?a$YL- zjWv7i(`!u%k&VeOdW(xhSlbdUUfEl`6)wO+=c|bbgdIh%Z4Udo3xh=RxW)BjG*XR1 z9w03KgJajOasg3XpAR^Bs815ZArH7b1(;+V23>$v0SX$LI4w3pb-B=6*F~<(zOfo{O{UFq(9f81V5FP zmoJ6sC@gotTJ{+p_8HRvb)$!GsuB%ruP3G;-$H4ZYoN7mhEex)V#mP6dxopvV$b8G z^4q*GcM;)uerMdLEDpg9LTIHEwF=k~#ZJ>aAT5-6J1LFjaJO|`naaXrhCE!W z^F-$05JRRSvlYiq@b6sO5M|FlH=13#H~m){@h22>IZrs6uEFD#ZuXY(8k0|pDY}Lb}6$6a?v~+(&m$(96iPO!L;(J1F$!?9s5DdDDx$0rIo3Y`-4Km)QBxQxosLl%;FMlr=|!OAeYouKJh zWsPRLV*FlCwS0HAQnR}2IR(oQX%^(+>5&bqnSLx%^nQEmCGx3+(Q> zLM(_zEX#=toq8#PtuQSG^`P~w6iP5G208DuRpbp>Aj#R4nPH&Prg#SOEHT3QC}~w{ zRp|TjyAG#c=}q_0H-lWQDwEq21>%i?3cbI=~YTKF~WFx^}!7+<-M4MtM z8n4dS@omQ_i_j`Vahc?_d7rr3Fb`Hn`9*mb5vzfpyUQ${Yxm0mS^+3c8-wy9)LA0T zpfd3;_TDeQmNXrZdw-eAkN}HiYrT=aW5!r9+HUacL37-}c`dRlywJ<*ZnxUOfQk@NK>ou3rYT@q20m}o` zKRI;mxXv6fcjPWl@MJ&V-`{5t2K4&*yG}1Z6-f+#itz|~FRdCW{O)^yb5z%IHsIa@ z7JP|{DU{iKdi4o*fAJ1i+I`%w3EEW;X=!B$dD=s2Y*&N>Ttf}@tTvsT1koyv5ROBK zn~W$b;`W2BTa@uL`AJcV1h6XRDl=wwUT=iq{&R;LW)Bm9l0|yo^Bflg8=CX+`Ex-o z1be9>`Dg=J-T4cN=d}LMFzbWEf7PTkj^%t}KBq3G3$P4K>?T?DP52r%u`QA-F^v%9 ztz_|{?9yaK4IK8Mdg6=+l}{woEGb;Q`wsQ3N)o4H9?Vvx$TljPOb`(NiU{@&Ve>zM zGOi5gOH$bkb0c1QFlKIUmW*^GUT%V)XaBcZhWr0E%W(hyW*Kgd4sc^$P1K)|1b4>J zfFpHBq#Lg;Ffdcbel$5%2b>3Qndr}p|7brt#=3ZWKmb;B?@1G=ofOU@bQL7fV+UT9 z-l_YDS{)7s5M(S(Eb;v6aumU8P;y&2hwuhEPu|v<(jc6fHfdfOw_ujLuRfI?$Kepk z6xDrZwmn79&-{nX3c4nkYZ$}7apCb)gt-LM+DWG>!TABT{d@sx#>7N2-Ed8_(c-RO zZZP9&Ie=Hd(IWLu_vI`+;pNk`C0pqEpP*68&ncQw_Fh?1gx3X8vG5H*44LEjcjbzjBP<=O&A zLx-#b+zr!d1znG46t05pckfKdwo=i+hQ!LfrYiJ>SA%YLNBqG?CThu|CbPExD)EV7 zyO|$~h44T!Kj<^!J&L;H!O7@vy4LK2FTryY#_Kb6OXWRcplPlJ={sclFPk0unQ5sc zhyg)96?tWQ{&FzqSCVF6U%5yD-Ti$Zqy>E3pJ?U-kRu-L@HmOnHV;;^Bg%vA-k0!F8BksC2UY}(NPVdxUQwf<8hS*mZXUOi3`sZA!J|c-0MMZ8$i%lco zl7U%(c!*g>A-YF;r&i3r%j}ViZ)bm%(E+k8TH0&gZQ!;sH=X6+_dBF2~$^pjHu$kvJ|dUHWq0 z-g@;wLV<^_zorB}Au6arPc(${oFMLHE7#Czjz*!|@2Pa#)+QcDg#@DqF38l z-B%S~^C|$&X@1A*cftevSlj8XG6bx>(Q%Q5Tp>ba5RLiUui?NcQzr!~C=uEcs3dq< z{i?^Z0uSw>F1rJXAL6k7#dk2rfZ1?~#3%KmBJ>1@Mb?i;baofO)Rd9Gs4DqU1`qv*46nu>92Wwz4?)1s6)12YYslc{MzDZ zNmLyaA*JFOQX|&QF$;ldJ|1utc^1xaeh@?bPnqHWhhNPz_=kAOe!BF2y06hXJcf9q zpg~!f*!~;U>PTJVb%X|ts1sz-9&Zqn2I+tq%94;TTqGZ=9GW-clsBO?@=)Buu>?|f zTsh`W;bQU{i-Tg6F))Mb5JcwEA!ND7kTK9fNy<3K1ZzT>le16S5MD5V8{fLF5O~4k0Ts>0n^?jG+Tkw2u4> zu@k7D&6Jsd4Xq>VL2L{dgzbOdm-r+Wk@zJ3gTxP#KS=!`{e#R8vOmcEApe8H4~jo1 z{h<7V$`7hPsQsY+gT@bE| zU|4w!%EZCh5i4eN1N^htpPLC;#?N))M080Q{b2lq$q%L-T@q${2_Wpu|K}q&*32R{ z*35sf_`$McW6g?o=;toP$@U*XEG0Y#7Yw7Exr3#f6%h;Pf5*=Qe(C6{;?5xd=wUNE zHq!2|^n4sDs5Bdy+7)8uwYdbMVj#6^xu=2Y$?2&-c26&pFvt>(KyeQ`nI7k#XCLKu z`}4K)dNF0rjzSjKn32Pvv!lqQoMjt_H_7Dghs9$CguO-CH-nRg&BVQ!!~1w;wWoK#_?yNZNx89 z!#sJCLooR1rcEf9e`m0zuJIiSM%x7x@s}{5LTKscn>PvpP`J>}bYx`m-4PV!gRs-E zEE=pof`&gB;Myr$Y*Y*OI?yg%knQP>=${xkFj@#4DEuUsBGy#0HIdwGF=uog+*C{_ z9ZE$Z^+MqLy@&lgFqkS1vcu#fu7P8;3$8+N4XXJgP>$NS(^~sey0gYoUXZ*%$3}4J z8MaHDK5LZ#%)}IUP>2+!jIrTh6}~j+!btI85MzFCEym!uPmDvbD;|o*pL1gu+$bcJ zm%(V!>EJ=B;(IzgZR9wUYceE+@G$J+sbb@7D@<2zrCKQ|^roiFz)TZcB_t%>N!#$I ziOh;%V~TWOH6&@@c51l%jdtOpxX6eVqI-xDArxIeZCH9TY%f@b*f7SuEXc7pTS5nO zPL!nX@E!t<9CZ!)M}1l|UxsA_v$nk5s#YV)CNUAGVnka=>FU#jZ%U6kJZ?y&G@l{N4L(SoVJu}t2VQXUQ-3F~d`k{`Wv^0bk$&aY5QeT(! zFQF{JSw$6OtA1k>c}xk-vARSC&G%sQT>EJz=WE}vASQ#+_w`%^?mE@XJ|~lGt3N)I zkY_Mo_He|58=*UGge&uV)6{FR3{6ZJXHGzxMVR*}?~zHkBqVmt0o`=b zFwF#UD;w!o+ACG`?)Nw1P`3qPtOSXJJmUgjKEC6A7z=aus4U31_3#-BXKf{Zle`up zs1lzOoYdcnmgAu5vAu4Cf@{jV7{3ES80FJBO&9I!qBa|zQQ}Z#L%d)_SwEwtiYaDd zefN@c#78YdWY=nAlR932z~&P>A(Y|0M`U1hz@W)c{<8MWKQ8jnbxmTzA3?gcRJ#yx z9Qc7l9Nuzw4-Gxh1x}dY!BsOpf^q`B^!zODtQOEP_P2YEAYNgHV2!12#+v`M7q3$g z_oTNwH*V&QMDw&g=mf;kssENAtGK;~k+z z?-(A|0MdZ0Qe#$Pgi7B}#lOzRO5YPuqoci^uP;(4c}_dN^&b5#{H_Dm$bGUv6g@VL zWh1>2UKl=U;Y@D~(1^dVp~!y%6sVu3%siZW%Ts0?qQs2|Qk3-8yDBbZ%%<3MPvCyr z-C~AQO%v1eSmwR8r~rl$UlFQPe6`YBT5OQ)5yk%YXXho%*O&f2ejeNM^e+P3eXM_e zuy)|}hUAc1+(!F&XbV&dZg2V*ad4!j%?`$49sIH~ut25RNw@q*b2;U;N^77v@oUP= zhbDRmdX=>b(CY=O%XoU|;=_`?_Q$xWBvl_hny*?wp7KoH#Z>R9@7LxVCn9T(USm3o z*}Tmz)p%O%*AT(LQIcFjL3}lUGo&wMX{;@<%lp;TAmcRTyhG-0mT+cesyF`&X~9FW zx`CYSE$D%W@k)E;mRr%)*;ymM1)m0^`!%+V`txON8Y#s@6@mpW7Yg`y{HA~B&7f_d zbtW~@>hLZS5gZcMAij?+cmT&Qr|p!^QD6k{gTKHzkQJZJ%g$vB=&&{boMsjjnS9%M z(^7sTeJ^%MmXXXfat|5&n87BSr??5+7M6TdGruRi9CJL<_z_l3pW&YVS~}Jj+qLH5 z^0iWPY$GJ!0?eK+2axq?L#&aHCGW2Chp(0;>I2Bt-kCKG(}%!iC9I8cAlu3OM4q73 zBHptP$KWK?gB-=i;H5FZmW#_fFAqp(=2`14dWer32llOk;)09^ZvLx!y!3%M2-G9h zuVgP_WoaSndc>&Q*j}s3-&W@&w2n*802hrD6WMK!`-l?8)z)R=6rby)TVi}Qp6qf98a!ER^|RXSN?*(?3vjiFjLlX zY^;2=idn9fH+pw;e0`D-@B+wn_X$$)H4{xs*3)SSIP0ST>WTeCL&GEClhQ+#;frvG z*~D0zttB`(VQMA_+riJVG_jJy5jTM^H&XM$Y|G{*WDlkFWu7upru_8!Udw~eG0uRr z$;4m}@Jt@AL5fD#Tk#$GeEZhRpfpHx@1ovSz+{zO#N7+991$Q1dA4C<>K2J1=Z}CH z_@wi0AxPQ*lLDI_Kmf`3W-6{%j3BfrzX4Dtge?HgnKkNEVuMTTQFkC*Av8%jG?X~A zK$eD`+Z*48Q2^`$aav+%E)#|hj6)5cBR5+qRvK zZFM}cF%#Q%CdtIMZQZ=@{a;k|u6j;)Raf_?bI#sttsi=0zB0`91EjOj;Tt_;4Xh$X z*x_VMY;3SEEjE#0QW9N}$aQbA zwS<1^6^AbPD*NWa%XPYLOxz?9yV`nW04EbVStxouhQ}i@e6rx5L5c@Pa)o&E z1b+xew`jD?#kXPdS3Hzl@m%=>yR+Nc&=N+ypPZ=nk9s|qVUA7&97Ld4UoLAApBAU8 z#mUQl-6F``c)Q$zH0T8zz<&@iVx$n*ho!@pMCcG;L#(9qTU6W2eUB!J&Z^eHA zVpwCF|7=m$ISd7?VYDrfgWA9uTeipDa}*{IIlM~!B}GI9#UM*}I_A_R86n`OT2#Y3 zX%90J;H`%j#>253Hu9s*8MsgtZ;AyE>fF8^;I27@w*d)0UGu3Vz%IB zSa<*D#7!zl`#&dctSsvYtSp=Fvi&Z*@3Q|chZd|X$8=;cCeHs}mcZCJ|M#%AM0?F; zjSJ2HN%Ppgf;O8JCK$L8QBghO?A=1S7ndtjY4I3@MGA{)z(#I90_gDv7pL){?9i=% zE0Eax8#jD1f1Uk?++yc9%Y&dghaT>h0;Rf%EFGT|qsQ2Br3T9kw^Bg!Lb(c`|yp z=GHo_9!TJt3+HOU%heko(T5<+8V_Gh(N$?+DzQ*T>4V}c8L5ShIE{P*d`z%AH*dg^U{ zwe6+e)f#54{`gfy`w#J~gBZCNU$a8~RXD^UvZZsUJ@yPE{I$YIE4sY(rfOIJhnF1P zgk{G!&^YoSxFRR-q`)%3Wee7Y#M|vImxx??IBndD5L4MrN0c?^b=njkwerOGp3-fgHpe*1$}L{H?JB9dJq-g>tUPs9ZLzFG!a0)$A1GAp z$)J+l9f?-Z@D3ScJVWW9vZDigHEFG4yWJhikPfG0wYS;uf9*y1U<~nuR_8K2aqV>C z+4P}TT}9O10WFhz`Hc8;j^|9N_;6~^nEvXhEljI|xBh_uL*jE{U*1@>I})Q9uTY+N zJM=?b2>s&zLD5A!mbeu8+F{rkZ53l_8a*dw$DBu=!Qp8Eg5+y4kD zeH1>3$c&r=m=bA-ZxhCWPeiOD?f*b$GygK@exX49W!*nA5#Ow(4Iw?}Sr?`SW=Lr6 zx-Na_E4v$5Xuh@mg%-eXE1wNj^68XHk3%!O?-PT`B;mx3x>QhTc+X`dEVPY)S6d%z z>+mtjzlIbCKw}pS61#S5e;rgSBK3rORX>Q7%8xMuEPK4Q?>^Fp1z!2;f`W3Vl3kQNc>%LND%jl(+RZC zOlvR!7d55=WU6WB$oXQJN1&+^hIdvvw_%+bAgXfm&dJybmq^Cc!VnKE%yq3A zovdjcRDPSjqh_Lh7?=?5M<#i;a2~zO`nSJ0QCvK={DQ=?oOc=ph1%%sxj`q1NkVJ{ zEXJIAD|HBSAbCq93h*?k^{LAs>dK_%fe8CneT!~1Ok3+2I4M`ln&2X5N$fysZU&ww z?(Z@Id^=%g`=&v9WjL-vGYnEUNSE7Noq9&3Ncm_%nRyw;zWb4+7ta4uKKh!6G~87L zj-?`Gq-k3YwylP=UrKjT!xgQd@|QloIL(0|=6&M6F9mR^AzZW8tGyO1}dNg%L86PjP>T-5?hN`Yu#V z^>58#oyY09;T>rB=GnYra_J&3cV>ikL&=9CQIjx=Jku4UP%-@4fj|(e+KIHP4VXsZ zWNErKOvMbW!{YTR6%{nQSBIYL1~GKKt#yZ$7Fe5*8NkU>>v+iq4m4rXRk)GKjYUm;|4ymuvsv>zI*@xGLhdz zgH$5IO9*Y8nekVRh!c~^(M6fzvZ~+cr`Fb|-)}*W(hxoMyf$ptkm>8@U<30@T zi3Bc}S6pe|ZcK2o>55pDvesG?f-w(wHla|a0v=cM+Ih#vHQK)l`jT~tLpe=JMaXnP zY5#l|FKwt6Yl<$bFNjiP_q8P4nTLC}!(Y19HGUNjOeJg#PrSyLorNtCCqTq{M?63o z4K~KM+D~Ps^|jYbUDawu8+5{D?koi_S#nkvv`8>a@L_!OP_=D{1X*zE1DjvMzHv%NGlJvemLi9a&M z`g8L`>_-5*H`Vd!>TB3I4jeLi45tC@rS*?>TF|s#KNDH=N$;P_6p6j^s0$Z5#dac}g@r3QanM+@!yMl-N>q+!72zEzF`*2kh)$a$U9b@2_|3}os z#L4AJDV(E_G8YEUrvvoX!uN9s-v?V;(aOB_nJ$iz78b7%;8s$qAaw*~Jo+V9>T27{tsQoWXp<*++fcH?y!81Yg zA8OYB(=F!uPs=?fDBbqk=5zsmSnww^^>-i zKSPjmy*-8aOl0W#mo7S1!nW|kFQ@H-IdYt)H=l4<5JLN_p&VR?Y3;J8u*t(Db~zat zJ6q&}OGn5~ueAUEHE<@*@AIlJg$%H|!;uY~=^M?p*Wt)b$i&U`f0OxGnEp4}>%X|K z?*+?$lKC(ozMoiIlsg?Az`>cm2apB6T-3lsat>5ryeutT3uLb&D<~KfH*gMvmAb{G z&v9SqKT}9(H*|0oX72wQw_75>oZ>-2{eV~yP8dVU zr>xi|^E?h}QXR0^P%t6c=}0LwK~aJbmDmo`hZ)Vf%}y>DiUO6H3qyw3$g`=^$*UsT z&HzK$BFfk?NgV#zT+b6ENhu6gf`d7R%t+z$HN&k=-pC(6QX>}n%ENwS#Io%pRhT!f z_74Iyz0)|YBNAzG%Rb%bf%eCJ#pD=PZ`^KjN}2(T9XSY>^%i=gD2Nlbl~Ly7jEy3o z1j%d7TAc3Lb!W5#NSG~WjRXO**z1Fy-8ZlXl+z#i-BYYv`kDv1x=9x+CDkM%5eKzr zl>6z(sdTnAp79wkN+JR)X>d5@$ZbmKO|Aep*>09m3R-k&nMSs+C+17=Q~Z?H_1&zjqi8&Ek7U@}GDAc~4SCRQ))&M*QQpd{OH#A$t zJ38B>vuCvpujDgolo_?w!6g$qR&)SPorxkr=H1_E_ynrGMl&b5y*~8GHb`+0SPq@$ z@_82GO?`1@!EpV&NSiS+Kw5HmfpaQqD|yC z52T+M$>f{k)t)e?O5#mVSx^Ay@A0t9JS75k3WA18?JuJ3UMEqGO$C)ERky$13K|e^|6(W6aHllgWT1 zR6zrToXn9DjZ`b#3*paw5N$MkA|h!neDWJNS{aCUPCffAgym^UOQ#ES>K!AoCDGzpWPN0aHQgG>XVFcAn+QiRLIJIEoh z+Yv{Ao=I$BM+sX4trF16>01VdxqW(&4|(9c>iK|Ghz}#_ebnJ#9P?UGarLYRT&W)} zzuEXvOdqiELK-&V8#}z@AA--EgA)ucNm*hY)}qL zs_lF+PZE)$2%Tnm!m@~1Ilz47VC%MeMbxj)5X_K?oSb9f7YTq7w7`ehHx@JsXuZ@! zQ49-oTc6Q343DSu)IrE%kGO3Q6l$W)!HMa;03{#IO=C^W$p?#|jz`I%CVk?L0ucV7 z=#5UzN=BOvCHE7NfqA=Y9P}3;hVPFrB<#S)u!4r%5i)+f+=UPucEXm7=s*HsO2Uep zR9u4^#yd{?)B=Ks^d=oR&~Zq6&1j<_WKQJ*zUI%$#2=79Z;JEQx8s7m6Z4e3LNsWP zxzBJP_8$ZD)Ta-&{-nkZISS zg5^0RGwC}xG40bxZ%$Z|9>|QotKjvJBef66u4rnw)2=)$yi_gPhpp6~77OJjhjG_(=`_8UkAl-G8dWvt1E9ms` zU%hAw{tML6l-1v?V2pw3QvMKLhCVlErHm8b__$RNi$1#m0Xc3AQ2d{Y$TTnh#$AnN z{34jj5`Y|36&uf|#4?hp)3tf^1Z{=#x=l+^%r7gUn#@2S#i=XUvlIJqG|^)3NYTrf z*<`JPX>2UJ)+t!hKQ_@*P&7p`Vax*S2NtJZ^c;d4Vbzz@{fhlG%TEU@hi>Z7kCLHt zM=^vYk%J8sS*t;DvP%4drZQX?s7WY4lJC65z|@jT{dQ5sZt8sZ^X>J}9Hyo8a15sC zKGl;DB|CP?xe+hudlcU4dF}DPNp3Grrk`J=&pq7vxB5)yf*6yMbsamgCcVWJ271u6 z#+R7Gf*_uvV%q=NVljdJ+cf_X-qYd8SGR1SY_`O8=5}48?`% zy2^kdkGjxsY$8ndV{*J}8ie#SUd{TV30f**meN~fz~tRT(52z_p9jcx@3tRf@6zv$tBeUhOty0ni>X8hX-C_VLCL5VKKCw#toK+)=B;}aw3Ca$ zFUq0|G(YVzZ+`%|U>=o-u|=gRbGbLVX(!ZR484BlcnvF-9*^9hz`Fj;Lqev>lzsDx z^#ojeMMdDDbe)4x_I>DHbl-qb?zrVzE%FAA)o}&ZEagA!(LSU^xY%Zb6P1LyX2`FN zQ~X0h!~Npv%IYejOlv~JGPP zXq+j1$sHe0Pg7yVZ#HyCkJuOzyWNzTpjI~aMlqJmQH(r05uX0* zEmCOw1sETWtSH`jFc=&nDk2-FJ2n%p- z`t_zWl7e82d+p5S%6Bo@X`T$tOSQx|TOk(wF(y@@R+>rw19 z*e5_TgN>9b1WEA`by=B%=zB;cQ#yMNl+)Ku4TFN1`Tf#exR9Js8MG0MCC5}P8OCdE zrWA)~7|J+oQf3Lp``cDeN-J+UUU6aT#K^V^{$6sc-%+Jd(b>eQn5Bxy82V|wA`0lFPC8tKWiS{#F(CL|d zjid1*6xLV@?e19Qt;$ng2yeIDUe-ZCpvMt5v>qvo%AxI-OE_4nuJuc`Cz06g+$vIC zH{!oBcl@z=$&rySP<;A>cQ~NSx9H}-ao6C?-(cP(CO=w$w)VHd2j$z~Lo$mE7s=t9 zybdCcN9^oM;%tt|kO%?EP-9-0TArAC@%}b_PMTDIPJ5(^qNVrK)3T?{k|SZHh!txp z3mk8X3@?{Rsn7|crwlq`FJxpUvlz8VOm(X8h5~^`+8eKghJsCX&I_+ACX+m*0EO(+ zRk;xn$^0GgxVo?u*5@mAncqW=a!T zNUd;kzAX>lp4h9vML?h0I|9!gtQs1G+95@k8Gr7q(M<7B$A|aiBu9G2B=dO+l2Cnq zMB|dFh<7+2f5D@O8$ad)3C-2_ljHVNOQeTgfkf^afK!YNzw@gW3}EKr#`|*R1Chuh z1vdq}dmnl{&g7#qiKi|ESSn{r>jt<)os|C6*$cNna8dF7Hm`YScg)pmb(Teo{54@l z$WYx)-vx2*_novhLSj*Wx}OvJv%Ebsl4iY1wMp<-tW={{Or^kEt;pR@G2X|LW`$q2 zPwF1me+KtM?l#d#JONy|QR`?4Q`RTe5k3pxnjFbi-AzRomhjZ!)%P#~k zyf5BuZM#xiXHMbCxkRdHrO{iXhHdaoiD!R~WcyQ4#~+e)ig|J0H~j|n6$sThvQ;($bM zWt>*GvQvyQOWV(V=Ko+7@rD-gawKO5NGXudc_C3H*oVrg1085dBS7?d4JUymnW81g z3ih)88MXtZ=K99;AZ5SQ0;moNm2lqW?Gbtp(tH(YB}+VP)pI&V8Z$8M4Ql6U`fKzR zhK#8+f>Ewxm#9YGerh<+i)ilt-oUs>WA{#kJF`g>zE8z%bv+!qUE-d>8TpI=*go~Y z!)q1$D^$L)IL5^MJ%@#SJWs&KQaszm8>oPVEaT<-&FNULVQ!`;tcS~xJ2kt#Kl#aN7Hh@=A7CZg^>E#P6rJ}GS`9L?mLLxq z1Shb*t!R)fd3LOnJyFS#C$>fbfF-1^4bOpqQcLBw=~d9ovvQbuZ7eG`-aA=|X?d$n z=U8aH`^oudG9Z(;O;Ph0jG{e|0W~PSxg;fxzO_BR80Or^jeXl%W~ z9dwYiu6?C`JlD6t3(Mh>AM@7dw>B$-kwhRl=olD7h5MQC?>mBV%->|AfYUf#J6F$t zQyXv!V%*K8u=kh`C-P<;)e@Ac+Nm1dydnnQ;L$tPJv~Kc)_~8U5 zTc*^DA!ylKh{b7WS_ovubF!QY=~tWCM4xswn7J%C*kY`M{tkOLWO&(Q#bg@#oaA9e zQ0Y7$wE4mabPCxH-RMlx0#up1O5E5)D01?Mh-woa|0Qi0hr&yt31IDP4h+oC&y8*_ z92+tD_!Go$I6IsZh(`p%OB4hZ^z#UO5Ko$U#&TMaSgM_O0#=HaeBSf6$9G*5gz4PN2QpAf zH8Eis10>X68P-XSVfN0lif3!RYhx+UCQl3uPwDsAg@@v?-NxnI>~X6DGDcKaSU>UU zfT^R!KKFsiS^sxbKETV#L_$RJ`EqJyOA)K|Ro-tIyb^>lLgm0U-vu*}2Dyo|A$u(L2!?W*M#1M2cX4Q!cP`aTangNBC~~)&2TV zMytJc_JeD6BNO$o>3OL}-uhdcLcC<`VYyyv>4t2rWXC&C45$!-V}LLVuZ7#HKE&3N z*ufEvSEck)y89w@^kraR7bG-Si^WNS>q4F#NZY2MlIy&-d(6aD(n;T;3` zr;Yc!)y2Za1>E67q6MNbI>7_DaJ5+dFiSupHtjoX8>gBoJnweeVZmx9o5IGEtAKX5 zSB~Mub`xhCt78YVVBw%K#iKiu-!LrE)1j$*cKE|7T*O0AL`ut4YWhCGbjVbk_r9wZ zBc+d<^WDSqA@yQ#BJ`T?2K;4+vq&pKV`=CxZEGfE3n^|_$IA{(MIYcoYp6`wkdKzz zdynlj^d$vZu}C39@a6hgnXxvb7_^AIAZp6KI5H{`7NOo7F@>D0=no26XTS$Pmf3UZ zq%KvtV(I(c?cwGyC4 zgYdlMS$n2LiP#HO*!xs#n>L-Zr+V}_{JxpV!dm;M-E-#TWgqa~@7{`k!}Y$9WpT9| zXo0$wmp~D1B+|iu;#RC>b&Y&zvkC;4CJ`R9hlP_dDSyzNKAz4mNSozO>f)`HVAfLM zm6gjX0F7anaAvoGR-;$oYzE149;}+U${O%#n9UY(BX1IiNKzwTM_jSbL0ad~o6TS< z^@uIiBcvgU#{igYV?o&#`1ZKn+zG_~UiPe=ItQ4q;dtnhlUe4zvf^;o#nJRcdjsN! zI_P+0)$_9zU}8*_kQ9JjcL%CDXtsYQXs)(kK0lXeG$^s(V8T(y`LS8DdRNq;P_58I zm^mld_sY4DAc?m?N7il$m25K-w77^($An;4IC2iGPXIo%3G*kBXHG0_zwe;zzQd(2 z6GC9DRG3aIyk#*1?>{64792+69U<7W+C@JnxN52FR=*yKtn@EX! zv7#X}6$4Tb!}B}m&{0J}nX$1`#@yPm8DwbsNn0HX4!~wvKI9q)zc?HD;)4L{tzskn zLk-h)5h747zo~I&<5BQeQORIqI_=>bBoMm;h}f^qTw+Y=2Xf}oZMreo9Vh}Hotf>J zmWL7+Tq21-%$7~Y4BkQ32%-rqxTB5(9YPl(2>}aJJJ6>c&q1Yvv8pP47&bB9^jA4d z_Ycgee1{~KW2Pt+YvgQgo!(!kw`Jh*s_64PLo%U4ErUf+#T?g;rw>u5bUA#p;NB+| zR@k4{{~F!9U;nD=H1tcVa~v{4(qQq!Q%~DRU-|-DHG(QfOxecac`J%G6Lt1~cuP@UG=gO}US~(_YzoyFEyn z?qRlHq`xvP%(hCjEwYBY?CF*?(uUbv&j5)q%^AGK%i}CFLU5Ni)%8*~XO+})A5Vwh zzz8Mc)W^`|I;>KKnDE$P73DL=w{A{GxQ0eIQY-XrpI8`qwf&GpX#QG!(I1;Cq(_8# z&-O9yqGAIJ$*WXLR{EfE_?klIN&gz4&r|D zXFd*nUW~y!?>? zzwDm=+~FR*{c4lzzd$AOf3({|u76@Vq_udm7fFU0X%T_y_Iefit&k=B$bjDkns`qv z_HPQA!ua&pZIw`^mfA?a-*h{J7rWCW9D?h%kH10!_X`J|I?VfQrQ!ib=#;dFb zu*N}cna{lKszn7YW(dHd+5h=K@}+?&mYAo!#@O49W`C}@edHihxA8x>r-pxhe!d=o z`wpn}a!}-tO^4H}U$#|FK?546wZ?B=OD{p=EB$5Dm$ZRz(OV7-@eSl=?+nQgmrg^E zN)QQLbANfq+AT75^Ki`6r6A)0Rg{h&n?EbP^Gd?%yr$smWxE0lU80s=O?(Yc$=?sA z4%{#rt_5qe)F(;y%%-z^8qSSS56S!2+o+yS1f`cGc8i{{T2cD#$` zcnO$u|H`C1?CRexc&uH#2Ol+77j^SD69a~vur^)@;2k7dr(1VX#mUjYwLZd ziI$hxvd)!qEFVQ=zs^E^jmJp!aK_QkU-ji_;Q7!@AUD0i8Qpg_x`=4&c_r{*qnOx_ zwX#ZFcgixP^d#-xRL$?@V$Vc=9U-+ILgn|J$1X;*(stZ{ z4JczKX>{kd9anaG2mNhVxuT8$cfl?OL%i10>GSSlWd3P;9&e%+C8x(~BhMX92hD2> zW30nOe)yo96}NMsNR4XQ@ML_95dmLVJVCjFdmG^tMpkfBC-HJm!l)X!zy1JTOdcz} ztc-TyR(xj|BI2CZQ*QBxnE@LkalTB@|A*F1?c&hEOri6T~Q5lon$Oi$M?Nk**66S@;c$dhz2ba%k_V)0SmrYpfA7d1oodJ`6FY;R&-z0=i^t&BD``o<`B+5;scUYV-96%O0&hLZ=P^!)NtkP13 z`HgJUk8J@p|KtMhpT@Ltyvi9Pbm#Yv++Qbkk2z1~%UsR2F!pn`BJX(xGviq>#_H|$ z;zLarX1Hnw1-pD_tYil|!n^q0U%x4!47}}t3obSVV=Q>vV!!kVB)lK|<%L2o;+2O> z>n*9S@C--t5L8iqpN8iR02UG*mapz45h_i?*Fonc{5f0me!e(^(=n~6NR*p<1!oki zw24e1XKTW|)UV`ncvBk`8mTrsvcM@H)rY`KZ3C;Nh`M%AT!WQzPuVIOayh$Sj>A=n z!2K4VIF?GXp4GbN$8ifmDV6>!f=dE&|4&Zv6UdznSjabeF|;}j&;SESP@*<5bzC7w zx?EP{8htvK7=)kAgk^LU{!W6*+a@Piu+1!kCR;cEtk(d_i?%f|`NjMVGUDW>h>#>>F)hZm@f7i2+ zx8b#8B5Li7`<-$M&pXH4}+sJ}z=Nv42l8>`Pz2uq1HYAx=NR$z_+9+qOdao$ ztDY^~Q*CN=0LRsn@v~tjM+WSy5sWJh#>g|BRhcjf4tg*fiPh~rQR5CGCYtStGXb`H zlnF>Y2y&Q1HNa|tj=(MMX2COewag-9yE*jRVg>QiT0LJ$)A1;<9tt7U`Zkx&O285u zBZ#X-U7GD7p110vuNQbH?JT%i92(ZH{S~!@hSKQs9WrmmT!n0D4imjFV|sDvA~Epd z0?494d12?1pf3+px*Ggf>`a1zktBYKzh%eb*JQpsi}u5i4257Ny{=3tphL9Myos*=Pk3z4H8D zj-=MD5gEPJyr(V`I`Lg2cj)r$uje%KmPiP? z{Ti-8n?xFSwJ!I?RjfHERZ+yu-V%>Pde#LU6+KMNKT7G@5ha~~-t%J;*6^9UFv znE&%8d&{7RlRP-s_s8GzAKDgv2`6>X@9*OVCWX+`v~){4p?@zqS%5nf9JDQ;Qci7r zVBgBB9n^2B&`y{WC*yaFS)ZiyH${hw3 zhV&XdnAzoLV5a^-=69%+Om`&SJGrg4`!t&6G^Ss-@+jerct1$m6&~j*yZK5 zB<#&GSDn2v*hP!(sq;oe(h(mwfjt|n1p zZN#m}4O;la4E~+I$cJt=OuQrGu_E|693fOwYFAWUVlZK)m#+Af^X4=Tq=)rZ&$GGHE#^>gRP5F0pQWTuIoGF%w{c^BQ-_m zvVgDrVMDFL;VO5r-HqieqsyM9iFHnvNP%S#W24uq=~7cRl0P=Rszd zDd;xcqr8JHp`0ahH-BSlze`!mad~w9=dIhin~*-n^TZT#va(K@ftg^1c2rHfG(mfn z+bL`YNjc5GMu2QNVS$XtDsO0p)6lLVb_&lg#dR?Y*rwZrfyV1Nqb++vJn3s5Obk?A zZ5=`m!UbG}eX!@EW>2UBfyqwto?Fj|DG%-hx-(}?&cY$TOSb9p)e$&t$sr^23vBu- zX8nZ*a>j6Tj;VEz+7>h4@^cKJZ!d-v^H|<>iK|Sk7X5r~vPg$zpw;?_zgzJFH!;7=_WgENI7m{cy?WaCn+MV{gMk|+w zth!ENW&@kcBU1McGeI8|vs96R6JD;_77b~0UkPXB`scz)Pc9C0w-!qy1l=d84xzgD z#>S;g10V&0rVyW3-S3=YV`!o@DiIG~V?=Oke*DX9sI|M9DbtF%iLic>3!nOz)M$D} zGg|yZ?0(P;g)J>1BO+w{y`6mrzpieSxh>sICJY2!v%z7A`$Zzc`pK5f6-(QUgMPDy zZ*zbK)%214(#(eyS$9Or*zin1sA(u}#}lvF5ib6D7AV|p1!|H;Ln7DPFtkGPiBxd3( z6WPUj3SdkIyr98w%7NdULv3ysTJMOSY83^BBWd&Dh8Ef~7IqVNOY>+=F>bg}L<01D zdS579zyx^*ka>2m>-<-4p-{byg?LgMBsH}xy&Dxt2MR?0?dIq@#A+~|sTLd95N|Bi znK0UBi4=&|iX18k$5;UYG!;9g>BnH;>4r1k5eRC!M=XCXG6=-LgdN=^7~py^I1D&O z5!4$>3<+PxNe>b9m9IepH_jRgK}~y=at?{~X4R)sk0Wa^ECifE zi2(Nv2E`_rM(@2#hc|%igGd%CM1r7%mem#mXTxGnl&6J2MGH~}2~C;(lh|bkNh)p( z;K(m03xH2mb0af%(H4(y!*WL;_fpEh>G~bY%qiSU_K}gR6vggBUkMtbR|Yu8N=A9&#gjq2K-G^MNC98_zM;WlRRIU%8fGk1Kh(OI2%SYEXOk4(0@INulsDC{s_R}|mZG_CV`p)rIq*fgf+*795@ToNi_&s>vw)39I z(~5gSH8-zD5F^0=6nvsrdDo&1wtF;ukvo-ibt}85RxwECSezB#kqiekymX8*Z_eHn zziJdtrE^`GvG+En)$xrr*~r4z0ZX1uGi{+M`Qj53K9Q^dwg`_ z>MxXRiom*!j=qA)tYIU!kygloXXO-g7lx0fbu_r?`>B zBIkoj4E(eW?+Aqzy*gLXnT9I*^)2hzocXJKX4bwqhSG?0ZS#>k6vzG(+J46pj}(4q zcOH*);6ij0F-e@@(K^nmZKb!BJnGu7C)00=PeqF@VbC^Pve5QU$^)*|n|B3Qvun(% zI`86LfGGE=Zu%DxJ;xwd4S;k0Id_$&ngbmW5Ql)cg^4Aw_OXH|GdwUQ&J^_JFu8Rt zc>O3@W+>dYsh@#}zpQ!TS#;y==p?$>9J}PWbx9qv)swnKY;N|&&`CpYtt&sQxma{~ z*EMfT8g*=Wt`7BQaAMP3J+<(o6z{z*>t4~P=CNvL?6^^oFNBvb48Zi7!YJ4kbgKcR zTD)%L%oz>UA!~!dG~p%qa9tj$mVx@P%gEf&513%t`wWw+*bfpPl^ts>a4&11V>G8& zOD-FjJDa`?xY|wcWm*4l;jWnG9oUkP@%U8AePE>8V?14XJULc{l5xePYcNoE$ev6HjuI+zEetZ}qHIlznm}{k@j6e}Z2JA}uz3J3Z&0k{PEH zt@dAVl2<;oh;0q9T2#tQZfiXarkDYav%DQ@5CM)c%Ty#Q0IQJbetC9K37dxLF((N0 zzGndGh=|$^0n6ZAhnMQZOu&d(^eUxd!Q!QyPsr!kS#C&r{M7hrqBsfs<&~b z^Q$+jsI5V#08+E>al*2Y4lRU2ag8k93D*o-My}sojw_aDmTRG3;MjBcvcPYs4a7gV&XFn(k@loS62eO;Yr=K%pO-G? zy)L#W8yJWl3<&`|6H!Dz$B?hdA#qkt(1(krs?ePN0R9~>jnnsz4^5JlL7QWlor~b6 zy56z5Ahk?y@eL3qJBFX*@DTU^O23$@8tmjdV(Ck(?ZmY^lvkbz$YY+cZ2^rnU&=G^jKHmOQ@M7!1E*0UMnp*xixv#`xmHe9u;9QP#|>emINT#f+=6wUai(^KiW? z0QwIplgG)eQ$EtADc;1PhaBvo%^la3yPnO1mfIlQu9i%SPqC;bTbQF*w!1 z>wmRQ>`zJjyK~&?6%Qw23?_BG7-gw*WmD8-eX|aTCipWs2hy|jPd0)H7Ct!ohXmO% zX-?0JaTyRr^IEiX~pwQOIWYujD zALI)vPsOoU?Hh5)!cHwj220i_BRY>pv3_p7FfUb%>yNw}aT1(Iy@>Fhd8q6As-dwY zLbt{c>u)Yy?==7q_S*gWDTf6mW>wv7Y&dxC4%t-Q%ELzjZxr5>f5B|@GTpItmB)xaL%s(1po9~*0x9U=7& zRq;z_3;reZPZv7wYE}DwRq-Nvi$7?OENTlc zdtYyqy<#&uIBH&e-D?r2Sv_ce0MDJZufL&t`Q_Jd+2t2Te!zK(o{p^CB?03%J~`n- zA6b(W8*)>f-@j^sTuPox!`FG%?m-I!D`ti;`a2OZ7^wi-VKhHfN1x99TyI)}buU#6 zu}m!AW5GZG!v8yZ*7_dVs^zL`NKo$o@p!PYf6HCJ*?iir z-;U;Qb(+zl0D?XR=^&!q;=k%TGaFZVJ9AFmOuktRJ7-Bvsj;m0H+wxkGhPi38?C#< zdI}Kv{1rpbq7LEHmHk`nob`W_+Ai5PY#M5V;R#B%*}nvxC!i@};* zTA?5w(epQah|oN_T7B+5?U?!Md5R9=YQ#CFzdc4UAkvqM7g(FPj#z8`W^;+DsnEw0 z5Q1?o!5&IA6tj#?&2@zTiMd1(BK1?MO>A%GQM)hYa> zLKzEl%j`9UZ}EL3C_bY^OEoY4!58`^W`P4cnLGw|$(xxe!R?`Qz;4z7tnu0Y$ML@a z?LZR0ao9T_E1FIYU$V6lx@@$rR>wqtrg!DkgglfNkdz8jhv8=B+tt#9&P}*53xq{k zRImi*5tSB@y`b4ttNGkiKh%FJjJ3O^sY^fRX&G7Z3mE-858N1?VDr!0mhKj zGv2eso)ZbBP$syy!%si?HGAgch$$XZ?aiw4s$8t9ppkM3#6|8>xzG96PSVwXHfX)k zot1oP4X`oQOPOCtB}u_3g#|MUQ@8lS@>)iJORMzPjA!Q; z@Qn}W3C_Ou{;uO5(P_OatpRj83xev@Zw<4t_jk@?%+g<}OktXO`2I#E5@AifnnF=c zy^a=T?Uk`wHXCYhKV<@Gq1$LXrdY+Wh;>>n)^oD}K|oYjpaU(hzbw7%)oQug25Kv$ zW8nqC$_rwpSb&{cdHh9x(8BCMO~UN+*C&Tg)~x`!Z;G-iw)4EYr-z_Mt|^MncD;pN zNloP8kQgulSpPC%vNTf6Ik&gam#F#s3DQ=5=*{Hnu|ExpmKAVR!|q0e8F%Uf1wZv zM4(VaGD>J7kkpvdE{P!yb9GX!Zf?^^6G=r^?r|&Q{lyR5;sIeS zzk7nuyTo?!o)Ye<3V6->*jmM&CP(j_MWU@8HpVP&B($`)hP?-t@}F+MSR{QvXn5d^ zAqmCsm@^JFvg6`v^}PZtOpCKze;t-jr?FabUOp}JTPR~Z%@?hmQf0cx$Jcpn!kS*H z>ALX#tw5l6t-uj;1NmJN{+CIZ_sFr~b4PKYUpRP-A?;($_Kz>V**m{9YvT?ZceYq_ zxWTZL_YOBaQ!q=khZ}^y{djY>SG;vkI`__Hnuvr+fw*w``S>YIrf|`we<+Ld5A&F2fm)&}`o^Ai*b0Wk^usaej2N|5%&qAL7#i(h7<) ztiDNF!lP_FNZX?{(h~ikv{2kU4+7)Bj8YG5YVOLy^rQr4Rzva2v+q(aU0(V7 z-Xa+vCfF~xs7LXO4$%kYNMk*urKyGrjP)&Tt0LQ3z##+@bKM$w@?muOVRZI#bT+vf zT~4l^b!+giB7^--<&eOLfSAD>U~tF|F$4eD;>n!!Zg`?cCpa6Oe<)}$dk2>S+gJivoN zEh_nt{sgrn?g#zTd^`0$D58w-#XNAm?xX;7i~K-~;BikYiAcnu9nNPchJ%L3cMZVC z4%1+X9&?f%;yVCYOEIL~u|gTc{cNAZ0so8dZ@#QeYw0&{f8Sh;{P6AhdFWmI>*CFU zR)>}mIiS^{Wja)?YY%HEn_FEytrDUHQgX;jo@k&k+OznZPUUWop|-6zt997y?neVn zPC+~v9>=z!ki-0dNQx-Y!}$S`61a!(W`T_zroT{~Pq;?Ix&a&^72AvW_R+HQfoj@4 z$i2Ecjdb6>e~yHkvZ^-+8l4Hq+5_60gFg;Kq*cZ8K$b$f(0!b!% zX8$*s+xK?m)IJuEc9$bH(rs}#jx|6r-%j1T5j$LWvc+|DpfUuJt$lj+v>LDm#gPGh zgHVKZsGfHKf9#PDB2UeZ+9}mAUOVgelYg3i+L2)r(6~DDU^`Fs!=Lbv}B5J^g!QQ4?(+Q)uzMu59=Zy=#q4;6|Q z`}qF{&wsHRmvQq%6aqOom%;yY6PKRGa5#T<1Vjw4L$ZrS;kA`CE$Vh9Ws4#Sg0V!~ zgGw{%T%7vf?>Qt#mSj1$H-UE1H3Dlm9P(Vhb0LwJ)A7$NxonPTa+%QN^${fsNAQbd z0V4)K@b9-|>-C4De@u^FzRGmM4bhsjWO|VdE#WfJni6VMGMy*yQZo23{r(A5lXrhZ zWuA9^@hEeZL{OAVx^oSVS&diQ}QbNKc>%4bdT$*)}E zYC)8=$s(B?{SzdFAqbeE3RzO4v|E2O%&1IGmOwoZ9(C+D_xzpF1(E=(x@;zt&3|{hw-S)&lhn?Q(Tt$B8=ZtMSp#91`ie{ zhT+jQu89PvlZdmTeAu3uAQGO3!d3=+6)f`hn7d)swX3c@7{JaRHi)z!Ge00glpr?C zwh(eU3eSAj0wThA;jZ1{30Z$56B#`D;XEs0HPdU)LJi34qP;noZy#Y2&WWMofWGA! zF`3!#+oo}K8^&$1bhqJ6hl2U|eo!B3K^l2D7XvN9?Apaag`t=JP+m3jBqX1-MAs#%XGgi(CRZrY5I0@Jf1?k zp6PUS?aH?Ktbe`4kRq(%y~L7(+o5k~K9@#`y`&5vz3DDN7@$$XR0d?cs3#S$=HRx$ zFta>;HLzLQl~6;faxi~nLP?oU+Pa&yT^-!HS0KuX=6AYHP3;wZto8Q09h$h2`{;)r9 z^Kf+fG~gPNYH>DSRNx_XL7v72eSJH9|a?^t?+x!=o88B zMm~_U>%(Vf-hJ3ode`9G5Rt8y-!<$x+Wn?=&AkYd8JtZ&3;6p3?JhCFiQw!DBVGzH zY2Uo~h!;+HXxy;M?!!a+hZgcgY#yq%@7^}Ww%nKul)%@$kyvQJ58vQ`bQ_(}{ zm+_nFXm4l}Yef{4!I=~qDbNQ>1~gPl*m#Vvn|s)0={yF$PTMNX#K?w0otMqBXv638 z?mZR4)nqV~(xwwv&WFg|v&+`(02f9kLIPBc4g8AoGUR`Z!e&qN?Mdb}o#XRX&SDIPCc+ zGVO1ikWC$~op893S^&Pd`1Hr)$1G;M0yDgk2m;^Q^GT@^9EtZ+9sy%alMa7=?_AUV ziPPmdqQ!qF$54E#SgYWmP5lV6+l)~E@;G2AaJBNIrg$Rs3p#ky`i!vY@4Y01l zkwMcG>jE8Z1TnN)xYj)yXBlBkCJ^)D5f@WXo@Lr8qlc%)d6D2 zzjkF2Gkt;BT)Gm?-ZopEB^(Cx#UhWB6Spj8RXK-(T-ASVHps?Ov8pTd7SNehix=Vh zvTA=KpBXTRTHUvqh zagX7WC^eo~PbbX$!6_bEed(0FS#D+4DD!5C6sh}TwaAOImm%Cp-pOPFtgX53(fjSU zds7%A2G6k@{~-fCXQJ+gK_3WIpD8ra%1{UM6t# zo#Dh)c+VG?6$H@LGQ74|c~7!u5^ZgRtzic8qb%p2V=@cQp1Vk+y4dX!dZ%K9tEdk5Ni+IE3SIFvCiC01t0umOBl@Amlr z1{>SdSC?_~L=%?>IB*pNF*h_fmoX1EDt}3D+eQ?=>sJuyg#@a}?86CApsuVWZW2kvFwz^6>34tACbx zcKy4X8I-eGQlz!(LCdWgF0&#cVY}%tt0z@HZ}PH;&c}ZPH4JDW@CU!oL8to~h?6Ry zF;a?J+NdN*5DjydD&&v2W$6Cng0sGe_q)D%m)=WbziV&vUwc;@i2e833y8Ms0T^Lw zk01eoxT^!j1fr4#;~B)f!)%#PGJg|Ic^oEHW*Rz7(zMFzI!oR7BI=W{&WlUeZLYGs zatlWhjl;KZTxU{7eX7DVt4$0SJX{p{mxVu4^8Q8MxC1_5f#d!~1dDH)40^ZpDm-d)>8&ndq19y!0&sJNi`a6pd195pQs^$tUsf6A zrEX^7Ee3XYQbjl#9vpr=I6NF#7Rd&CQgb8;ckE3Z0C4%gtlFzvGT1Hub(T~UGjZj? z!t}DN^5$yhoCR({ymhLz&gCtHTygI!8Q=LD8SR#-R>`BB@(UK-Sv7M0>u~cs*mS|8)?1=p>>I*8u z!=y=EYo0$w!kB_o_>+i+CQv6$m%!0*C4bE<=Fe{GjJQn)_+e>?I7VEEpQu8ism1K; zDtwnzNu4+O#C1)2xmeWwd3g;1DRue9Ow3A%XAkqFz+JKUc^VcfM%sJOe8tMoQuA?V z(-VWG;1tF1{l;J^I0uwM*PG-MCJ=0-$E5X_7XRJ0O^L zfdX7CWxxgGRxLaXDmUN&xIXGS!U`D^fW7N1fy~WQ0LT?N`IJSSJ@5X!;IManpaehh_&YI#AZGzn?#0RS5Xy4ri<)*aoz`qWIvquh2&@F_cG5Q ze`wmSU#nz1_r92^TiccpYkxU3Om_Bfl604C$G0zp>$d&R!RXCa<6f&yUASTjKGauj zt+C^{tsLX7u|t1l?6$<@p{W6i)KybhgD$5x+rlCf8e!$b@oiiM?=hvJtrUyao04kg znst*j**;$Gooa_uk?l^kdg@vCDAx5T_B4+gygeQt9lswwB4Z3nD?Rd7@(wIG%XS~g zY|Fe{)KmXtQ5&yYy-jq|%RZg#E&tooiGXUgWBh!l@vD_oJFj66;BAQS6hJ*Tg`$a< zoYZg}e}PcRLG1nllVxn_{PfiDe{c{mlKcGwB*xT(CgLqbDge_!G8mR%(u3(8br~e_ zRatgAIvQ^eh#+R!6~So~vk+i|kA&{2w8{MIZd)cLE!a0k@aViR5g6Z==x6@EETHQ9 zRdxGaq8@|zp}aQ5kK#FgAm()g{toN8w)VC2BN2mQfv}A4eOKu`2W^C1Y#zc$5G%yF zf4tD~=5x+}{dOLRmvQq%69O_YmoYRl6qiX8a4&z{HWL2sU!jj$Aj|NbVv3?@)8u+> z)8uUT4u>QNWUbZ~s$Fd*tsVE*-wa>0(ppYqTm#$zMgT8K4LR@644J3rr*Bwt*`3hj zGNH-ePAE}0g1K}MR? z$ypSSn~8LmNXipK1<7>iHW)*mcNF24=!{2GpT79^drvk!aEU_c1hgPZT2K40MJ9jJ zw(5h~bN|z#W4b=9q2lQ(e#wVXw_7ZEmNwh2B(uH(IW-?kz^o?RfKVd|P8SLS0slCj z&q8DwEse7(7(rN`v>0f|i>DJ_N{)Fc7>TK}?>M6mJRUkgG0{K}E2E+JT2AynHaO=* z3q81H0mgd$$_IM^VFpRCD*q~VmqLFNW%L(TnCS;7e2A60++OxqUqn^)Wi-8Ln)=hK zW<=CS{yK}5IA== z2E_fhpLTuT@4F{3$ymDY%9UUC8aZ7i>@3`$WugoxPy!vd@6TBFkz<2kLFQi?U@M{g z=9oa&3nf94I=`q(zg%to?=F9D^RDWvBC??7n1_NY$~})w7HW zRMa+6lo6AeQ5)yPzh4Y!5gDTtdM7Yld+71B9`$(I-0ks>Llj0?R7ev8qDu|#RGByT z`BjPTQ|bD^goAktWP&}5YcV~^9(lP@wb|9>rrcs=^_^e4@L_>bzW^DE5;!deWVbRLefEC&*e7b#BdXH`9*tcE(#OX4Qn_y%FP#SO`8ii-Z^T<4pEb`zsn-GPg-TK-ERv9A;EZFSh5to6+ zSsFKXuvQ1U-M;P|@(7)NhX;BRXBF%28#t{xPmN7C&YSIW6{BwxJMjp1;z&tqAW1|3 zyW`MA&j)ye5xReffy@i6uQ<%>cmr^V>)m$=@;k6pa4$MI!yC%e51<;5&ri$nb=w5a z-F_Faw(X~kjAs?)u`_O^NdBqDf9e%xVK~wt4q4eg5 zyN;_^Zqiqq@?f`SAM+?;eC<@Y#Tyj)6G)uHdZ7T^Wp;lI&i>~ssNGVI zFWKxf@%f*K&w0Hs12#9?>$2_KMHk^2;2VO&VfbcW=iMivdITR)J;4amp}e0>A;PB3 z+W&eN!eCwISQ$D$JAgEV9Cj0KaU1tkfh>ZNO>dC0+1G&-3qsX~qu)`XnjG`f&vz~c z8V@UBe-3|l;447xQ7dumE@p^kq4f0lN&t-tq7WQ%UHSOuF(i2m!w_H4!suvfm^w|y z)BuXrK#W>-e*GO)^oKt}N_1s`oeHcmmy`lf*`;ppiL0<_NV?cP=|lGvxZlwc$}5B- zTrFHsIUT=kH~zfmkhA5-<*O%t+HC7vKgzq&s{wycyJp=Z#~b_;x*k4tr7H-7@MTQS z8nVBD81t_zPR5{1U9N+6(d2{8in^NQ_?%kab=*>-0{A!W3R*dz-{HhAcRqBO7aph} z+^@n%wD2x3Jm9-+R}n3oq9N#9|GtbzaNkUL+-`5GF6{NKacK6l0cfHnMp5VvS%;S= zC&YhXwyK*$MsD=bP3LW!Z!aepC{t%;cT#f<^-Z-3&1wtPY~?crD9W~N+UR88J`DI5 z;JoXqA+Tzgc^a4ANQ9-jb3T7KKypcm$d0>LODtTzj_~pRg9qe1U{yd)E}>)6EL=FT zrEpk>#-X9D02IUw{QK5-1DJV!_0>>hKHY!8;>FDZXaL|4%L7Wk36BM#a(YW4pDGlo zQKFBt0Xw42&NZX%k{JEbJ@fsbnD&g)y+JMo+%{!++&mA2lZRRy4sVBFyU>ynE=@dv z`jq+Q*w9s>hns6a1$aw{c+iJARvBT3XUh02GI2eJssWLoFau(9cKn1Yps|Zjs0@E> z>u=_xC)BA8hSM}~X2I3#AejLDtfApqKuDG>;i4mgsfxzG?*gG75yIVx>OqCCW{%=S zsKE-ae)uDhWD~h4+^9q{V)qU}YtCYD9Rw%FH*`9~&l4xYrHmufb1X5XG(^LJ?6X*I z=RBT?s9&jc4Nx8&Y% ziU*e=26PkzF*P?hm$5%PEVr`ea0LPZw3oQ(a6W&{8Wu2Yc)emvA{%zMSBO$9nXJz) zJ++)!?D*v3FLS_Q*TQ0kjY|vhf(c^V%IIl4` zuN-KT+W!9F3;*?R|Gm#Jna?nEc%u!Y%vqqx7CaiY;;93*dFEVpd#)!9aWVst5)E2ZPjc$ zHHo2kRcBQdYqJjTUyU{;|1{O;m$u7FpW1kMSSg^tX)}>_xUzYXMLDpX#6hHsd2-^$ z^u)PRt`KnAKrhE&~XD~%hvP_LZ`U4S)sV{@;IF)k(;X1 z!H!#NTkdwirAF)a{guLoR`)-fr&H${4P0=wnb9sPV5GcRU~l- zD`Di4W2S_Y5rp9~3*458d6)C5R^>(TDXGhNJWYcn$A={`_p#*qn8bAwui|@lcXfYF zJlLGxgH?l}N>DIVV5%PhsXoN18$P|Yxbd;(<~}9Q|C>8^<^x6#@WEo4sEX0y4UF2KN|q_ejjy*Q7~zNZny^G?|?4;QPh2)Wa_Y` z&!Ve%zRX*7wnpKru8>}kETekq)%C*b)W5#=W)py$4^D=Ir_Xo6uV~?~l_?l;;8zEP zt`}c>Nl-+2;l^uq%b%CoeAa(DJDj`lh+S*4x7vNSr3&yVs9#Dg{X>oN049i6Ka?=; zp#%j6(V}aX(fh)SFWtY{(iVm^1zg@YCM#{2Zy&x1L^bTcs}gkVp8qtk-0BOr5&FTm zh!65c)e&wPu3DvOjZdr6M93cabYpaa3^o3pqEowPMGSziAREwRJ+f!+IP-0A1ZTum zYu&yaa5y4uJ{>j3mcwnf9K^BXaQ{0F+lUX{16bCicPFgu;=C0s@auZ%nxXL~Lp!qF zn=SCx7mbL0nFJQ{TW>zqVz<0vL=}be1=0$0UY8=j+2IAT{{qb1@6nfW^F$K@GBTGj zG%^$dIWd=!FnlVvD(!H_0e|HIce#!*RqYdUG14Nm{The1>Fski2$L$~L>#F&$z{-? zeH;TX4ldJb|1u3<$syCfNfAF0J*3#=fQ2N=lWm6m+P3up>&H?DGfPtCx9OA#f;u+qh2m40!4IXQd#_Wa^WYVV-ZDC*jFG@pZ|hkq?kh9&E`tU5d6 z6RXxyU)mp3*PHA?O^YD|f~Q5+i|rj(4*G)P4rWjYI{tDQB-uxi$u!IPS(dazy9ENIR7K7B5W zuDn5~FFH$*xh;HD41dJYO%Q66m~Sd=Uo9G2vVFA_Z~)YHY-)?T8fNGeOWQv^qCjMg z^B>L1j#LFs3^AH~SUI)DW1+eIi2v5Y_QbjH9VVElNGB*B3LwfbRWjYuC(E8t;?@w->H=zpC68}uI*?ofd^S9WI% ztA1baCNiMRgV2*arp$n3Gkte){^jNQtC4`)&PEUgK0*-E;q>xOLx}SHC?j<(8_`>E4{og~=(yxo zv5(B!27PD&!hhp-ytMOTQ?mhHkF*AwHnzXN>eE6-GJm)b6{vV$1@BFMy#t>z5)kAb z9|}_EfdHdZ9-TJ|S3ah2mx>Yv4uMvLyekb@RAln)+k~KKdOsadiwmG2JbO6-)>Gr& zF&+*?Q7rdJ2iXM*YJs*n01S4ds0H+Tsx7+# zb0&f}NPYESK`|cZhZoT%k0H1lNc&{F)Vfj$QZ& z35X4yFcdhHeOgY80sYiy$TR?ys_tv4=@MD1y10ZTiLP#(ZR7;qX(qU z0}!>4R3OFxLc&;KKwL??Z{T8Dx8GUR4a=!QW#gWC+b!%cK+1Abk>Q)31T{mH%dTzO zO;1Sr0#)g9TTDj4S7%5U@K)6>CfvtY3{Hp-bbrVf$st9x1I=~)94~iG4yliq*N&97 z?<)#60CHgi##yl*SVKsJ8!&i$x8=CD&BCz`u&*`M$*z{UA{g2$j%6|MNm3+0EItad z;+%95dw+0ChgpoI+3$$DhwCIWkVsPI8jr={&{c~CmkBrrha*2kFLX_=%tT0IjJD-k z6Mr{B${mU&9a`bP&jA~{A4GAe?TfwUMme?R*g6K$W-2MpSt*38FA91)Uu3YG# z*;Xz%DfYrxvEZsq__tm`9W$CAO}`dBrGK464dD{&o9ytfjGYN7XqcfjeB0P&=A6?l z+-DPM9AybJ2d&ANuY+UY179~-7}89VCn#};n>p~XEC$+0p$pGDpW*e+7A5a&!Oxc- zEr$k&fwz*Qu;X9RHs>g?=3L+Q1e~`uY=uoXC?D3KJmyiENM_mA?(rbAiFTMh!G8|% z=%eirH*-8!i5H55n2BKxK`0(F7XbG+uE{abQ9qMP3W$;I&8|koRTCmP-r?i?P41E>CLjD{%czsQRF6fvRp+~O=&Ug0m~Nr zD^uYqEo^vueei^!!lg^iuJpIFs(-ZoVG9V=-}>m$!8HT}zCi)Y(Jh5TJ(CBJjG&F> zF!yGlqQ%)Rj0fIBAa@;%^oWIJol9|PwhMPSAzoJ~KGs`D3(hc8pPJzV3Uor)6m`4c z`+c}LI`B9{g3XV&I_44ytk&RVMSY)R$UKKOoF&y)jyI@qi^fuf%p_`1nSacAEM^1_ zY2eJ5Bs2D1rI>sCAoGM$t#L)1+EyaCpRA~88e3xyFuCDs5Y|@3cO=0HnKtj485{h4 zjAYQ{X^vuhwLz}Jc}J0O%Z(5R<_ufFec16(L3t9I7}!izc-60a+QKmk*4(?V^I4eC zF~^QW9fuf=jISVX9d;ogM}JS5!KJ;ASqFWPX97PipwwF2E%gFW;s&;YQrd^!u z3;C2llpwTsBmk`1&8{WfA>uLwx3)ZXMbppyh2CYg=fS#M4%(W+5+h$$i{*U@N9rs} zH3u0kUaWP$eM-fTNBBWZRF?7#IjdAuOiKs^%a+;!1l~#)0OR=O1b=|`SX_}wAnuZo z68}^{1K5c``d}leGv2juIA)W=GJadl zoXA}h;%0SADL=biHv()gKBMdSK$UDQgeC>0U=$W#R?JCmc;AwD?d5rxxmXFK{k|-q zgtBGidt9F}5^Nj|Fn=mFR1dDh{T4tFdL6h(gum|OP+s2z2)+!qi-KcT=k};P6N)!I zv@GL1k|Gl_fL06Ld=;FGZvkrr3T&?PSbHEq5^y6>Ymh?MD|E6CQjvze&``Uh9y<2m z`VhQ_z~3_7UbCgfgJ%15k9RcQK77X&cMya4ZV_JZ19yjygnw{LRDf%F$lFe^FM^W~ zP9t8|jyI){?Z1-ga^44R_<_rGVW;Lr}XI7FOE$>=Q7(z8h-&t^}!>J$Q0bP|4I`*`JHC63thW^GjiV#r@2f6p#?NL>G98$;L6*d zDP#YRO21Nq(oyr;sh?|-1IPtGMad*S)-(Q;DYOPQ1+n=FD1Tm*v%hDbA3_OH&)@A-w~==XwHK)ZyI$wWTnidY^?{lA~A?tYhX^F$K? zGM9iM2owS`GM6y9AuE@w9B>S`)dO)K27eY3?p-&;qMQeAg^L*ReFhdhRv^ylkMX;K z(b79MW(47QjQAUqr-Or(ynB#>o=8$mZV`C>#u~59LhzZ z`?m+ahGMIVdJf9{M(l!-K@Ft?!_s{xj_soiGmYK;<;ZMsK;XBS&Wq3s2oB@iEPt!8 zkbC8gF=dcNEFZGK)23YeSNu|u0wTV}Lt{2y-U*clZ>{V1L1<1+fsaeSkc~G$Jwn8jQat#9y59i45BC-DesG zF=9dwFZr;I!=Ub04`w2uNT5pa%!?|b0X**tD?tVT0mK8f2JR<~MbCpggHpqzaV8cK zki@Jtqkk-$Vr%$kkXs{fx8eP|tfKkNmKB^1W_SI}U@xiv4Eg^Y>tEdW`G4-aj-PHG z@La(FU`4A?{OO*1^3UA>KiV^t5Y9z_|E`{vIpB5=$aKVmP;3QEmIgEr54`U}n}x<> zx~^)=bd@!2Sb|7BTRn*Ip%fxgMugw{9ay{vj}lQk9+#mV1mq5ra8Q^Cg9swS>&0T# zdYB)E$k|U_}n4K95 zUJ&*E5^(<10cYz)1;g){^3jWv!9~vous0muSBW*?=KW0%6`S`p{{;m#_w!+waq~nI z12Qx?m%;yY69GAwvA;Mfx5f-{9Rh!V#-`wKR@PsG@^G4l-F5_tO?hoE`JyyG1glVz zE4Mu2n58r-tdVSX_FIby-dqf{k68q#jsy>Dy`=;nI6W8%Yi)s{l&!*yC6RC5wf4Sw zR*WOt3h!I>Yp;GD-*kkGv)R&wzzDy^RB)UMr}~5{Km|Z9VFYO$c0hLF2aJEXg`bPl z^Yg)r-m^XN14hVfAl1N+uJNN|C-sd*jw!N<8Tnq2U##WVD+uWpgkV|SUQDDeY}GzZ zYP}BV9jK4HFE5YJ&n^a**Slwfi*4xM0yx3In{bbTzR5sTssKmXu*!=+{mn3&P2U4P zoTqRdaDG*`u<*1QiW^`7z71n6MOq3!zcrfLuiLi@@7!&(alqg(t#*G-k6-Wga{O(% z@1z_+2r{3a?lIsn!q#60Vc&~yXr(foF4Guk5U~ZH-#p|PivY+9`z2NbQiNd(LJ;uh zfld3p=QX@(bBuy?mDXZiZkbgg##b@HPiKQ2SYL_D8AUu5ZE1;y#599YLNn3^^{s!KrWnC!@>s|14_f)F_>a!phP+JGZ~O2S?6NRpQ<%lj)T9Qk zZ>>eSG?Q-Y*2+Y44N(XK?7k^&TbO z#w@Kg=lF3|ErCiP2>J4LO`mlAxq0OZ4l(4NO;T#61AZPzSSVyZ2_=ZBx;VC@oRc=o zIVZirW$&!}ij((QX&UtTaMmCpF4bRAnMXg6 z7E_IwT-ohP-wsJ@efti7eyy`B>xvIU5^RrgBSfQ?4Iwuk^tNUT zEuR|7JghGB@OZc^fW?TFP}P*Rt`Ra{5*Is_R$pKOTnDS+|OvI|BJ;IYDd zSemk`fw9&s_nLhy4rdYxB|k;6=zz*+<_mpOsOb9{!f1=C%yiDr6H;)(pq}5JI)txG2;{O%drH@uryoW6w}GX1kVBYv#^z!zx4%0 ztXwgy@XUIL(WyugV}V=4gq=hv9$#lgHa8V;0eB-ql#T~i)6zd1`hTWuD?M4aq_p-E zj(j!FltEW*+oK#wywcSouXZGeis;p$74r>>2r_?vv!}TW;{HqGN~Ef3@Ak)8!x!cU z?nOKSx3>a(nJqw9&L^N&hh9$lr{pVw+vQnzg$x~hzCRwrLrmq}Agp#}X z_mO`~R-Xnxhbh7*k!7_@9l$O5GQ?|NmL3iwkE?t(N^)?{Ecm18ykSZ6@=@*K^W%|a zmDd??5`41XIsT3`Qe8T?qVF*!Gv;5-g1f6H>)Mi$-c zD|(dyt3q9T_Ge zmqcqC(pZsMMm_}L;J4Y&e@|GId>E?udDeeDD|yz&^Q>o|f7apitY@G9zt-W43nnRH zG)!n>Sc>F2loI9`g2H6TcsLjeA%gP(*THDO4nt++6?=X;9Zjz6!2XhyfCw-&aRZBXm^Le` z@ot&piwwG^SS<3!f7p`@-O@vlNW{#c5D%fCQQ}dIUS3a7d9#B+!X$PoV>Aw`UeZLkZY+AAlE2 z5=kD$Lfeg;(Bc@OfL)=;I@qLT z31Tay5aAl%A`99zA?Qs{st6`&Fo3Ke?=GTq~^U{8P z#)!mq@uSJpId(4dY)?%r4teCXq>j+i%eU8OZ*I>xo0K<#_vGHU4Ci4awuY?GKQ=4$3XE8AoW|x5e^fR445%7)>D&dfqeg!bu%k=2 zJUYT(rnAX-bagwOVLll>l2!V;nRY2A5X6yMSm46tuq#$B=-Un&T>7(!yv$7tjO29^OG zGD_)QaB~(4t(|iw$2#Ze>iygC?PO$Pd;ITQe-b129%o~7|Cd25f+yzk@5R_p%H{V8 zGx{rBE_(g-5&H^ygnh^FMlZp(XRk-^-i_Z*k38>%j)A4P^M0C_`5d{RV8I-z;4-bM zylibtSKD8kx}l-~+8@l&EqdcIPvrv^ls35^+B3pB!tJ>-XIqP2##CSlDS8@0h=f6(V{6~BGwzr}NF8z@lsy;t zX!I#muu9jv97Caj<9mlN6-;e=@+$pU_DQfSssvPwlDnk^ds>#7U0yxNTBCiJ5;Tls z@5M$09oRE7w;$GPU5BG4u@g>v5^w5ie_Xk-#WlX}7!)dSoHRN=J8E$7pLV-`2Q*~843wjLa@FyOGG^Yc3_S?sW(Eox2vI5N~=f!zzMqbDuXCi{#N)5hUg z+hYYv`(@swC7xZ92A58bxh)8&e=n|jq3SJc?Bz1qrp>ed@!cUrE2wR7ygkd?ACChr zr3%iE6%q@nO}E~3r*=1UBXfU~fDe1Ya98_#r$t_Y=y<07miYEVS zU8Y5aiZ-iP2M-T#B?-R#bW3S;-#wUy zaw&3|9A!?cMVX)a^l!YQ+p>JyZ0Wtm>m@VVe)TK}K8wDps0&HxcXqT6sQYwMdso&w zL<#};6VZjJ(6&;Cp3TQ0e}qK$b)TzwxgaM=Cfx}If)%Z~p~blyLl7cI1GKLYqM)p| z(O@qsU`$@Qv(TTopI(18qH!-;v>!x~^YM01G>AUi?PSObV2L7ca_dsI&#N(UYV?5s zA}>>y4o(jRI#bl%1Ea)lPqC{`VSV*WWeHJJSH;&nJM~u!nJ<^TMrRkUid!$!)-6yY z;MP}GsiDl-em!OT8aE}gd#0;m4r|)$N)!3n??9fBfAy&X;Z%iON-~TDP%!Sxd(|5S zN8{f^YF9&-aq~nI0Wz0i!8;TLF*Y6PLUP9AxqRpL%{jxHcJmX7uE#YTT}L=N ztYIW<3!aCyU)!}eZwbc{!N_1kqV^RuF;Y}TN@0Yxh}xIYX-qgu>Ws1YbJi=yw#nn; z+kZ5_?WK1+{>%PwFz95LWB&#%KdNz?W(kSQNeAh;oA*XVZ89UN>PW6N`eG2@Xet@VTqYQtCx#T>q5WI`tDigRU5CfUVVPky-FeuzXbYu zxyIbf$6BLZ`)WVKADQto6NpM0bq6)~0e_fVnDQr2qpRBQ)1@811BDU-Uj=paPAQUH zz%mkXp{DdsC?XE(ayTFm0Tl5;!f?EoFcR+rsY?aapPgaGuP1qDM(hE?JsAv@k-`ia zZmbNaUvP8@A3s?286DlZp#wXdApv9hQOin%Ft+-$4`}7r(Lzr%NK4tmX$GPZ4u3mp zgyGkTdwQ_9zkAu~{zBN7$H7ViI(QOPDoS7?K&|vlp;Ge@RB1TkG90`=C3QhX+{ii? z{q)kmbZf2F&70=mc=aw&E$sQC; zLm!NCf^~1mdFrI9AcY03mdc0UVt>8|wt(3`FHBNpr<0>QVa5h{^_?0xF%FHR%aAcDml;*v`XgDtUJ{$JN!GH6MZ=-ZZ z`$xw|jh&Ns@7s-?cJuw-(cy0mNBwSqtH9j!iyVcxxe77kYPjj)Q73f06GIq*1lW3O z9wUrF(w2T@40%;-%Vn&7*U*ZlkbtNyYDG(g)uLAF{q#2NZ%sFB4aG?9Qq1isMo}av zoe|87bQi$^xZa{xghmG7R)4kfpSsHvOCGQ(((HRH9zi+6RLoaAbn}WQTz1V%1uU=T z4N#{5f@t`KQmZt;{xo@a*JOF>@5RQWq)v65b`ui!Y%!J8NdT-sQ@@=dTCjg4-Hi>oPDng<8S@GQ=UL2!Y|Rcp<5&FasoF(8a;vM1&M|e+XOB z_!1ma8XCV%jEpB)*A?`j4Z(kT+$!=(x0vLsAlay5_mWD5&6M3rm^jdz&yT7KB?2f* zMa(4}L9cexd!j&SVOFaCBq5;KJ@@>hVMF8mVBb$$SRaU>1kQmSWW+RZ#Q@f5rJ#B) zG=x!kabpMO{`ST;o3pDM;0G>D>^E6wtd46LoFHUbz%mzn%ObY* z-e*yZxG)r}tg4$<(aDR-p*QYjsqeV6usinQ;|(HV@HDTU-)Re8{qGt4JKP#9Dh#5q zO`T|{Yy(ER%(MhJWw~j~BJ~mTiUsRZZ(SOXzYPXyk@vctzVCm4b|<^;r~d8E8oD&O z-qhscf6Zfa28ZN;h1`H`X784NJ82&ux8I$A{YKjSi(KU^~KW%j3(JZyh&dsW*0;5dN*JvmzD0rW8sxP-#z)djZa0{%3ga{=+x z^!vQo*l#CB#4CR(|53x|P!&x%{{FEJ74ntk8pv}={A%qVE^p;eN?WIg(J-qQy+OK= zv}$6=?56uU1eZuC`H}>`>0JjloEDlZGWQ=;3H_lvA3TBdEk~@OiU+SV2$x7Iw(^Fh za`jk+#jw4{Adyyx^Rg_$a`BS*AIKAWA_`@0WOH7F^QoFoDQF61-@uL3~J@Fh0phkQ-J z1^*ndbRE92B$jm0{QuJZ9}ISi@2V?_)0XK}@4UVl#V$zUkC^J$CkRk^qg zC7;n!(2z{n64AhSo3`C|qlf}{<=`T}PA8CFgtT1bpiPEo^0_Eyy_^;43QASzm&JT; z!J;2bOhR(n&F*x`HQi-k=Px@Nu%wbQeP@ zd3v<~6oDb0Z~OrWWh{e9{I_{An}<@lDm;uxAQj8&MSoE@lK>)MBd528qMC4_B9I^& z3ZbCT1n;RdG-;qZ5VoK3kyn>gC||z`eF7&@EyUtH+a+Q^{*gQeJZeqnR4DT$wu9{gW6y@DydsuguY_Lf&VK&aP=q$Idvjk=7 zHMq*92!F&?aSi6k76hHb(vRM>(Btz!nKWB8vC5SS1+NvQfa2Mm(RXeGQY)ftlyU>` z*IAV<^STB3rfeZ+mQTpjc$sB{L(Cispw%-iJCK$UBs?)dG`YR@$P#J&2C_>aATL5U zhV`3yQA2|Va0VwlIBNBD;@GLK3W&8u-eUbXv40?}qT$%|H?kncNjS1y1>41Z^GF5; z>zMeesMmLv^_FCOW1d~-W+^S~jt?K?87kIZL3AoV?U;^A;xucgL#32Um_p^_Y^~Pq z&ms)CvqbVdO)Q{|b}$JDp?K%6!i)cPF#rh}10V}`8QR>x%h<7b$6FK-9S+JMq%g2~ zn}4`@kM;v}KwuG;-LEqMb>j~Lh2LJd&mf#F?g<<#X2riS6NZ|DDq#|#jMh(8yBJ!A_!gyF}$ z%&r!AM#GA~b%FP!So>CxOTj#&xxa>i4^8RgL)o-#DS~de%lr75v~x|{$+BypV1MHb ziDVqJZZ>-2_jQ(VGDDX2YB((#4a6<*F4;MPYj_8)3QIC9Hz(E;6%! zU`nX;O+L+!;8}n@Ni8UXh#GI+bx^C<(toPe-|$hslkZwyD1mQ{_w+X{D2tS~!IV77i zJy)OMSqckFKr(+e#>z0f=npMcKCTSKqsk%U7S2eU%cZEyN#OOYrvy7bd!=85GCw|h zEn`_6pPlGO^?p)RU5p0nSTbvQR`*SeS=)Wwg(672OvSbabjD@CMYyId@`UHqEfx%k9Bu8T0l z3UfHON+3Lxx2_d`H`j7WxU~m#Ew^_^%D!vmJ=e01Yu)3zFf@WaoFZ#k_~|{mR{jrN z`_(IY%7|6?OEH*8x4)y`1HZojW;x}PmvQq%69O_gm(l8T6aq0amys}hDwl37aT9-{ z+jX55En26xg2f^U77RJEiBP18H>k7-`g&4%XMEi_3Z89C!j_E zEesy;dml|ce+J^TiFiVc;f^-08Br{SF-H{%TWlG6e7orNTqR#tJ$qI9MH*jLeZlG*1Gv^@E3v$cQo@8Cii zgHgqud*_^JsbCvTq%s@#&II9()Q8Cdh8R#0zx?+v;LNqzx_rlCIP-p@87EBZk!Z;c z5h>6`Br_w?J{VCo4Mv(6=+dboiO`W-(>aNF~#=IrYYexoL$?hdm3!OCTRL7Y(5JN z4=8V{>Px$x%|R$O3&z0SP_AS!jB;hWXCQZ~-GqQ?dtyTlj7L2exC}&?Y=E0Q&br3t zI5|f!fJ#AO96Kydn1u;!|kvq`6R_v9`EFVzV~%AWU1R zrX)+_i=kf+SQ_DgbsFtKjrSO>l7=vo`g5uVXWn`CE2ysQ?Tnk)R%CQyj+r@2AXD%h ziG#StNc!C+J{Nz7grq)e_k+@u-_a~v@^Bnh6dj6qG&OiQC;50kX%wMyKRp89BOlC; zBoR_ivLk5>oWZu)kvuRr0ul1K8S)#SAzj(Jv%ZOy^P?Ew1sCuF(u}0kgu~luec@!x z09>%YbMXGNgEPwd+J6jqifgS~hmu=>M_Kr|!s5=4Wp#ge=eg~=aaVjRr^+#9MQU1~ zw_rW9E7l<>2%txu-<_aJ05I@21Xt!w{e}v84f!PskEc%%8caT1XfWAd zq(Gy!S+Rd}nrk%9fB5$8OsP1l22WDr(*)?ZS&q)(CVbV|yUKTBABg6rsfzi#taHbKgDcAGvd=0%qpUsU z&|GekcbrvrVZv%%*%dlP15Pn);Xn$5tL(k=c%gsSdmkO!74{BQw%yKWOQX@C9FUDY zTXSqsMO30a8}Ej`Z)&83f@99Q(z|TQ16-i@#)Y5`{I%`MFw-lGYN$|{$m3wwAB`!df2NBv-_LMXCV)#v+xD zg`BTZDL5~5C-|Vjw@i921KV8eUHbxqOh$h~Frq?$f4#VPb@t=eXgW9lWbEw$^kTkl zo<=WMBXug!JL%cb%hP-5Wf%}br2fZjuDFU{4i!oqo7c96Skoiw@iVWQ{Jn3k0nIr$ zHyeiDP(|4(Kb5D1kW7 zujh`XIdB7JIIZL$`Hr>^f6i{tB?g)Jo4rM5yu`wX&*pb~{Gz>*`(DZL1hPw$91#mV zg+3`JSP0hVG>)Fhp#ZYfYv*(D%RA-`1m;X1rg?4zfv|Zz&2xjPu?+Pf@?htV_+x4P z5T%3LJg&~QAc>R{ou4_`1nst2-7SAdBmZn&yTtK`s#YL{G+aCsE#$}lf0}j~F*~NJ zg(gPoiK>5r$HNgz`1Vq!>*iY~js+unfK;?3DO8C^gn&-(QU50Fe>xRBP~D0Vk?13; zTPY$H+o=10k^mke7-2{v%<~)vmxL$B%qPIuiBNokoQpO1`0 zoBXod0%*4`b{;2Nt*Z_C?n*-Zvj=$|mNvAc7;X?h$U~kXIcGTY%?x=Y2N#@VavB{|Ay=)*j>OtIIV!;(RHuz2 zt4oxOh%QI5N9qb5gNTj-rwJ$wquBbgBd*3)$&qp~3bQMgkSu?WVwJm!24qYL z3e#1x#VckCsu+O&P|AckSicCmnoyXQ1L23Q3o~+RD}fRcdx)Q?jST}*G`0)^%ZZK7 z+PJ`I6R^QgmvwRx*Ft2`klT!b4;YCIY5&%I)=-ol3D4$o7J>^%bW{8>LQjZ5qIwl_TDP zlZzqRSP3qg+7;_W7F$A$D%Qm=3bz$9C{r;*vDl49MHe$;L5LJ{w&gKA7P3tc3@yp} zAVUh(CV?K4jRyrwSt>%SOA$Mu&`63+-WWjD#+F=4Vmg0}cv_mKVQD$A?L#D&DVZdZ z9R#uIpdxG+ESA8g*>s4W$fjv*Y>vt1fZ}suqtMJX+0&F<3DstU`4z?riVZ2;-U~kk z`)h0!)N&F$P1Gg zPEOqPEjA5S+=jg+g~`DHmMs>;hZ5FJC_2mfDJ&k%xN5@06`Q-72LKY|Fe`R}Xm|nu zE5t(!JmxvpGO6A@%QnEmk6?bq|q?#7s6jou8bDEU&~W4+r4Ok(`J-obEvxg>7ct8Ei^sm#i z8*&~15Z>Ec+~NI+AtBV1YQY2OFOe50HB*1^#1VX_ZTGI+^xOIM+`*#$^y7#5SKnQo zUS9v%ba^B}G*qA9|AQc^)f2tE9E2@X=shL%88HNA=?%G3PF^CvoFKqmpAqkpWFG)q z0>LcuCn%=wmgD@Jqwb0bv_Xg`02c!pn1>>l01Tslw-6VnN8OXk-e5T<@yIUw{H zH^&SV6YdqGfnlk?fMKoJ;%t%0BRP2roSVFe0gXArF1VMM1rx^?McFa3Zes2T9mlxY z3%L73XL$;pH609{H69lYk)8OKJ+k_Uc+M4@9W8~lsQD~AtD8YLEo1*KJF8nlKgJX! zM}5p(ydW>{Vs5~yv6C6_n^gLkk&J)(7|Sb3Dn@z2Ba?o~w7~%DvF%$$vybg>Y=9L} zy+AhN9l$B&?5$|%;TmBY?QMVXIKHM-$H}Z^m2QVRzYOs$P z6{=6t$ICGTrSdC*hkYR6k%7Q$NY}M!a5OfWu|17UXk;{d zg4o{n#k9q~>a*<2&H^9X7l$68`agz<{T03?GH~8Y;T!2PuimpAORk7?+yq)sEhn+l zR8(eEoCc(X;-n_8ns+A9r{{lXN39n#dpkoGRZp4V|I4!liP4vr5<<0@DN6uy!hJPk zZTP5I?V7q(v2>Ku55$|i1O6$>7%iofn-x>{bK}3A-oFlPJwjCf61eW|(6Xfl5Iz_| zMfy{{5${k6B8W!rWQHqiOn+2&kpt=1cJf=@ymZ zqbUqMhb!n!2t1=+h@6>*I( z7DQHJXin`Cn?$@7!zIIJ%S%aN-f3Yx!BZm4sCxT;4NexnaH;q19~Z|B>h?XsS_rY* zeR-}BOSkU7S>%6h-w9cABh<$%1lUOF_Y+Qklj^=~NqCDK3>Rg{bIXzQTmcB=ps4@F zlYI^u8JQ*AG9r13yK}qit0N!N1s~9(Tzxc*s_FxZ2emIrs^F#;%GMC`kI28gnGM5oD zG%9~sTW{J(6n@XIu(U5m3X{2CBJBf7X?j!JC3IiXDl*^zS}>_?67}EjnTv@{AeW{^ zyAn!d9}jammv6o~XO8;4&mI66DPNLUG;R%Rd(c);I3QRDOd!Abw%=(SW4 z)krByqW)FXHi+^_DU7fdQGXO&#HjVH|L%Vovql$fq4%R+Jj(37u^+X^{N9KAQG3ik zX|1EzgyV={WUz6kIWq)FL1BA)BvOnZVpKGoA6$IH(FlHfXEioDx^+G0wl_n9n`joDAN+r{ zVG5#<gZ(@$H zd7djk1hb@ti1e;#v{bH;Y?CLjhCYAHdty*#?Ulie_#zdM;s;Uus8giD&fr}+MH-GI;df4{MRZqgAy06NdeN?vk|7T7o$Wda3k&dleb;pp zOF>pz7HQZ_<9xBa4jXY)A9L`>$bgoeZbtB468>q|JXqhe?uU z>8wDHyOkTzobJ#sf*~WDemM>526OUYd$ybp0Em@whxCvg^2aS=65jMhV4)Og%y#G$ zDv_4D;gmBAj1c~IaKUj0A9|4ql-ncQj1oXa~ zST-3Zj6e#Dof#&KLBL8YyC#3X$t+C~0flykMxiC5^gbBrIvDvK7{whJd6_SVWrSpSW_6#Di&;S~HZ7+&EvjM|$+3#BlTl$M9p6sNiEkT(^2~p>&LKe1dlb5-Su&VG zPUKcvUk2(a1*N51X4s+{63J|wj?l*X7ThXn!%z17q~IIu3RLIZ&&iM3q9sV2jE+MM zt|V7(We68DG$i$FjlIS?kZsh~5g@Us9Z140^OaU5z>}w6=Rugx@Cp-4MjJM-Ljn>! z$P=sHcVTCDVdsA#$Sh7~GcOEn*s5Ih7dZp=J;#tkH^3*2QU{HB7@gR$BC)4}0NqbI^7t%VD65Olmw9Z~k>!*wP z9E!BZiJfuaKqY^c*clKb;o=t(JF~<^>91jSh9Pxe>gj*X-s^T=Z6Wk^hNW5|qXYHz z(q!ZrJMc4(^A=E;-M+Ws2MCng&HnS+4WK5zX$cM+ewh~j2X~#duxP$@oSko@c&a!+ z!UT%XM$;kih@C{kBGd5%MuIG>+C9mJsl6jZ5FH}ozgwDyWj7H!l^E$Tw=0kBeq7`u zUme>$I+gANupO z3{*S`M^u$4*hZHqXt&|*Pvr!F0%;6v_IR?GjS7F?>&o65Rj1lEEQ9AbA*(!Mb?5CM>#_?ZB<@h^PfSD59B z185nll&1d`BaX(tQ&1T_6UcQA3uB!H*$%!d`eO1 zFCsXTI1iO8sJVXp{|l3FZK#)V^F$K^GC4PwvB3uuxBEMBAp!wr`kb!%nrW|}_GB5Ywokvfn{>ig?& z7rUe=R*v1?c*X*j3t+Jyzum>F%`Z1Hdg!l`=pjm?_tUS85|L^cCei0;Hu=ZZfAAap z$tW*`%-~1mB2#6w-CqTIBFe0UpF$KmkGzfUf3JR?_I zUPgIdic%NRrjCA%-|nizVEyX1&EEsNN<^Nk4B2x7Y$!}%lo|4TL)_(QYhJ(BxiDJs zagDR>umV~U-*)%IO3V1`N+)rJBX7eQ;}u@LQ$@ICQcB!WiX_QLm4GVBEXvA6WGRTd ze}~Qg<%S(>tyMhiEDg5Ze!o&CKDKUK4X$g6eDK}St=6glhK4yCTlwaZCbj*P=(M#p zO!f3jN3xH_zn6;D#*h0~OyUe{RNm zg8VfKDqznvjW;W!@i-HAXaqfqWKbln)3|YWzVZ(5-4kV3;pZ>-&l2U1tY%x9)|Ngt z9hwsc^)>D7TKa9O_TgAPSl;k0QPJVT{N{1kb**?Nf`8cj5py!7MFFn1z?@8!>63_) z3Z3R^hBz4jS5g)ukO=vVAmBVweL(?W<*EgMXfy`{^~c*ca3c61hMqQXf3o#1C=qDj zJ^%}yA81-_w-|~S00u^eJ)ulR0@{Np06b@W+3dodrHsd4f?ODMZ9q|(;9)Xuz|E`S z9*3RZuWQR5+}0<3j=Uk32Hxg=vG1sHlZ}z~v30+%??A;harBSSLT@fTO~ z`YMF?`Mpw=Myb|9n<>H}e`YFQyZ_RrI==bo_5=EWOyk!#ABcvz1An(&i+SRKyazZ~ zSbfsD3_1rvK<6y>Ya;uKa4AgK5VT464UC?r6j+DGelauXoMiz=g`c5+N+q&{lwFWY zf`OA@A;h%4cXy2?(y@2#1MXPz&4C7HA`gV|Tl%)NTmmY9t@z4ee|1L-!Y)Xd^mjTq z_$*92|FRq7HXm=%t=_zR!M*SHXR?Qi0j59Ro@Iwp3zHj2y}3}vjO9mLcfqC;toH;1 zZO5o_N4`J8x@%9k-wzIx;Rx(^N6r@gt~)mM*>ajJ?E$=OkMPxZ2gotQgi+wUy;x>+ z3~d!@V?)rv{#w4ZDBB31;$iYkX2y%QmY{E%bgaOF-^8;#hOoal-_$f zmkkCZ%5gMy{B_^?QFKh*uoY(SVxGP0bGuRi=pqBfuttVm)qZA621nInpd-TjuJ0#< z07_N3_kNxvoMnWSkQC>rZD3rCuzmA*OWM5v#%U_@EE_pbe`VzPeo8{^txo%~nwOht z*i4#{O?*2aK@4O{QZS&O{-( z%~BDhMlh2eQ<1%>j`=z%bD^_*vCy?3QBlNxl|ga7x6hp}3nfyp*x4>)D`Cb~BA?d= z5K2``)LHNre-NqETNH5(_3(C}#v|tn0;snCJYcbh#J5DN4u^)jnEskc?Pd2xV-+dm zH)9W@Q96MT1r}1gU7yNpNXfRISC{E2wB|Ks2QlCm)#r2GM1>0ZQu>#wo4-N*ZT-4| zf*8EUHsncEra?i|bPsO(M8l-cok$ra)TpFT4yH1Xe|KF!(5MfU1CBzAAsGj8KwV`q z?<$cza|O^XdIM5xYGOjMFT)q_*aS6kJl9?#&(vPxZja3jSgJKCAB#a9BIaG-AI10MRxWh)yDY=IR$UrGawIMROcH10l+n+7LuC%H{ zatS99f4I}+t9Ju`2}iN`$-=)?20cWvu=jpvc#Y7^wQkc8k0_-?iPbzZ8rrl_FOxEcbEqb`W%nsrHH7 ztf2ow51vwUT|2xcrr}hn_&B%*2tmh6$9ry~f5W%Y3Bs4zlLk(M&jL>XnLxN_0$C3z zP+3DPh2i481o`^oVOE$Sg?fG99te>y6qXR{Lg#Wc9IG56k!ovYsP0EX?Lb~_{iG}W z7~&kiqD9fVs?@<(!wTDP=b?o(*M9rOCCvZ>%5%9czqrgUqciA@;p^EdtZc!W8vqb{ zf0A+CbGx&}>!e%y@+nbC?eX2AjIHlsDld7CEOTKbS0RiDlb4MqE;J<%xSy6_GO(~5qkx*5LByBRD%$Hou2 z1FVe8iqIhzp+iL4#8|w%LxgoNEVi-ES(q1$WfHD(xOFsCe&c-wf%J!Pb8Y5NRQnme znX&FDf^N!?390`v;G(WW#HVIk3qkNdKy1^cm!ULq6ag}q(du&)12j1|m*G4P zG`9^%ac=^DaD6A2d~&9WrHJEne(KPj#|jkD@9^1n15ueriYbvi6;Ybai4?Wzow1a6 zZpU$FiNm4>ZjIx+C-UIA(?G7ew%q$QHJ{D~sPm~~_v9m$uf1enXf*v#E0CBvg#50sM6 zbh7Gy?yhZDnfm&^DNboeh7;AOn3(-qb;B5Sdbgh@j?`g_!uG5IAGf1`!ctq;V;s5# z6Ve8Hbk-}j>FwicSh^4pfgYrY^1rPHw67_Dba{0yRHPO$T(k%x0ed!TM`2#|+EFSA z#xO%l!g&-eCnezuuOyrzCE=Sntj$JU;mAOL9MaaNq`}^9&29scVmXi9a7p4c0U4Od z8%VJw>9}c!>Qktrg5C57TGsy)C4V&E{&oHGq@RgUMqa;R0#_1Pk7E(ZnSK$X^wqYi zjq{igQN$ymP$jVlAr#IiJ<;G(j&!O;2BBa^=Ylx6*)w$7``i~gKZcRcP>;%uq2O+R z)HWrXwPNJ;z-EyYS(4E)>>nP&=vnHEr4q>QA@k`3yr{D^`W)v9m;Kj(IuG5lds^wz zqDCKt)p!hfM*`95u;^Q7b~_d=2`sp%?T99&_{1mam|`LxItBthy=wR%epXFCn5M8J zokxu55l93HMJMuL#Mf=JuI*)Mb^tqne-OMl+}=_)#ZUzaYYadY2dvZHl*dAcdg{vG z&!q7zhfy^FOo+%=9g~k=&fcufzMMUf6Pz}K`&Vqw+yrLx+&?>wU$@! zA#R5BO_3%8``VrhPEQC-`+Y85EtCcCtA60yngx31g1o};0Tf|?YF+Pph)0;+XoR9w z?xR&URtTrcod^PJBstjZd$YE#o`e#PLCmJ50`^3qgS8_@n{r>+@~QQIp8g9?NJtBc z0q}Z+7F@X%rkP<&`sl5%?0&3h9b8rGy$_KPHRO@1eSd@pAbyRCOCpi!6v`vG_Bz4n z_2o>+EMeF*d394V2}Adl=BXcj@oo!o?{y0S?zabh7k|*wpR}AD<>O!u-U_JL#eOV5 zA^>ZELvjYlqjWNN()~1lUaG^!X?dBzoyrd+&=*`UL*;SsAM4DVCmyxIm~#Of`n4)( zHV$651C8zed3m12!HR}WSuW8tafSi}y^ zx8D1r3~q6(W$-(;+xTqiH{tpR?F9%1GKFWRL>zHU{hbRLKz_9|F-E>T_)KG@TTQ*sL`LLjr95%zCH@CLFr}v_68K;HLbMgbM2@li`iPW7}_7|gc`Y6`P zghk^T)JM=;dipk84pr=qt5d3La|m%9OGz>xeu1UC!tOBk{=*Zgc#F>j6BwV5+sD|v z!mHBVFRv)^8|;0M0`f_=r|jVde>P}^ujes!jd6by-Zo&H+BIfj3E2tf zdp-ir+Kyzni!G0#4HQ~J$1EeaN;|knxYO0ymR?Fsub5Ml=Gnc{QBNPHOF_+;3 zG%L5G*K=S2f7D`~q)>vzG9C>3gP$~hu34W_$ZR{M7?_d|Amo`VTF+!%T^6&x0Y+WF ztGyhpm+bBHh#F}%9Y*5)*5QVkSUt!(oDa6(-a4a^m30)~W*u%>q!O>J#jz(RfG-R4Tf!Mo9yK0Iq|6h~O5O1ir zXAe~zzvg_C>cfd#aL$F`cS)^9q5UPoo%AXJf4Gq{0~&p4FzwTlT8p%6ihHCK6|)5L z^g+z01xu{_ip=-oFy;gMi6=3i(%yKd`wb^GlW}qw!$Ia&T75l+6ZX-Di}Ya)CSm}$ zh&K$@<{dRInqoHZs=RIYTMnqkK>W$}5RuR9sHcmOM#qfncxQ~1`!yvk(@Gsi zQ%W&yldmX7zI=F$6ow^HrRMi2DDptIj!h$C%;Y?%QC0VTX#N!Z5=L~de)>1y3w~p8k*hQPk#OKzce!| zi-`#HY9iyXqkCm>(WK27Bmy-soLa4Qez^l50q{|lGa=o^*Np=v48YYu@DG|ixJ00P z!ox==u>Vmyk|=6o8ILRwkqi>Xf9(mEV`w)cU>bafgC}5C4kuus&Rr*9PQmNDN5B7JQ{DcOy$HYFtc?WfJ=7X_mo`6HigFciGenT8amC z1DklK&6;A_wbbe6lVcI4)o(+;9>(*{)jv3g8gcK9pcRAM?wIe;yjS>Be;>mo2~%v} z5Cl*leB1z@g21p+nj8G+b?3s6^9|o5M>X-LJA7uqsY$}Kn#eVES$Z7mTlkSaAQO)C zfp-?`EUq+)oxaU4`;yvM^W0e#Sh2~5{0c%Rnsz}iWeXGrU%e?2qk}k-XdB`R2Jz@i zi70IH-}|D;r%*pBDZ`x2f0%0uI$v>frp`cJNBeU_`F8jfdOrpf5B$nc*cr~W-I`MFn&X9WoetYUW&9Da3VInRRG+zS5&o@FQ-HZO&kwY zdgm}Wd|5ZcB7d(<7rwRZ8{)QZ^JgU8hGbkZfp0revbN8V&p81nZ8sv~zxu^nk2ZIp ziDU1xVi+S&FLDT$?fpC=+2Ro%sn&Qt6TuXucbRY&;oF~8piwGXkM0o~csEiIPAY~h zLfnbaClgq=`HIaAe@^p7U9}zfciz&pZg^;q%Uj>6FX@WDsaL)kk&)qnSz2a&>8gtn zkxTTTyvlBNxTeIb_VTtKaaq^&E*4n-T#K0X*R-r>)NYFzd9lKXyX@$M8hhS3p#|ZE zQOhe6mfbkV=+bNKCt-zhqBZmBu%4u{bi(ZhR*Und7@p}7e@Catn}CxBY9c!X-6pNt z+vyHbShf_P`aGQyibzxyrgUjiiqtgbQisQBP1g_ak#YZVM_x@m{eq-xT@gYbet4L+ zK4?_K_aGkQ)XnO}BB!-%Pk!B&=p`2{R`zj;UUH2`h(n&&_ssKWl-}PI7ZW8=aS$yw zs+RpJUPSBZe_dJa$lBW?$aS19;ohQd{H(SB*TkYNqZts=D!N0Uv1|1h4AxZ+AzXuL zi;nI(H|>{87xe%hEe+QWmnGoxW<*ZkVi0DnR_*XFfroTP?0_L81BBuIGS7$8cay|~ z=l4u%K)Y`4J6D8fFV0R*5q)RB-j5xRZaNS{BZPkboA;ySAoX^mIMj+MiXcTEE16yMF9(9?Ts?;v(Gg< zK9y4XXMaU*$`;$ozpN(hv55U^e5ClO&G8?jUtkFd3vhVA-xqJt{S{UWBTvLEiiBM- zQH-kyUfGqH!*ECBc5L3~Qyss|diJd3MH(-&UTpqFhA*>TZ2s@ZS695O{k|I={dL6c zWNs^$M1)=>1q&nYjps+7{|LMcUjBj$C9!vHL4W56E}2$|S9q64|AwW6#+3qk)VSuUpY0hL69*8`xnF1qNh4~G{L3%;Ti24(kIioJC;=`KtUtxF8nRB}VQ7=P0B zP}&iXq@9(yZAJK)WM#x+fPPN02!&!IiVvh65i_YI5r5w4h7_PMfOHS5fWZhT081To z&L9{jO2D;E2pmsmk^?co6^jzb!29OgaG(>gkLXYw1e^(_RtCu$)Bf3{^F?9)tkc$@ zXDsuwl>%Guo3$XgL-@ZR`{Pvz{(r73=9)Gyr)x!0+2qr*E1iG@Gd1<&p;yNeYKy}N zN5>Hh6L*4_rENM0A1JMHx@e)k8%pVXD5T9~+QUJ9AUY#F0Sq6YGpm+Yg`xV1rFEUU zXIDo(G1`TYxf8y7w3}>S4zYXkVGO?Mu6Yw@8770`GP!vhYRN^)T z7h)JogP_x6;50iJxr?RgRkI#Sk(uB2h{^t|bqwc^$$@JY$Lc_GfGu63TuF7o2dcRc zJ*VA?7rxHR>+`3JV#i71DSwlGc*-QcLDyRq6$mEffl6N;giLj6+E*{)o?dpgbckVc zaNz`16qI&d3^Qf6w3uEPqh0ns&)vR8hLNvcy8^gBOGD65D<3{fi-O>#^jkds#>T~M zJF80iV&KEO|IlEOUw_qUeM_B5UCpVL64<}q9LLHZoxgcY{bpR}i(~G$YXvsY(vwGk zCpk{eu(3@%87mfZP|h5P8_n=oTgu2UD*MsGX<@!%1COhvjDaLr0qSDz17*;>rQvQ? zULtS{kq`HD_y&q+dX+8~MLtg3yeb{=^~}_Upqv~ce{p<@tAEwD<}Sx>w3@7eIdK7_ z9;ih03*H5=849LTwdK-~boKtaDH>zoCU6nR9PrM2@^E32=^8uowBd4U|fMq)$1DjmSGL8iGs zrLJ>STCTCo=uR7|(}DIYXw_~k{o*nktd`MIWFzU+twD_GyZCXj`Ydk6rS{)0^CF{z zKyTeJMU0s>CgzrHUgY-kIv0MfAW{{^1uGYlp*5nAefu6$W}VKh&ja}NEFa@+2S%oe zQEpr;T?&6w8)6<CKIF2P;cZc8W{CdCMv7Bj)VD^N;z5vzg zr2DCjH^I9&=g3s)M0oj_Xe_Ou(h-7%N&_0rx?6Ux8Q^?`7zi62Xz(wsrkAxjy-FL) zJXACn#}cEU3|9IHL;q~e3b$1oaXctDguM>ngOq<3IV0;YEyI;t#J=-rtL^`qhF+ib zr@FDWa>d3NBMXh?WtM-Uq7F22VU-wA?j8QUq%CI+c}o!bHrD4f}Vi(reo0%xA1 zhl(;d01xQK2f-0%qx1C;XSZcK&&LlXRAFxoTwz$4a%!Ck{AWQ46u)nfF_oh*Iz|VZ z;g>Mg|<4!D025KSVGpa@`Cm-m)-Pp8Go(p zr#HQ|!nLT$q|7+C>-7&pN%AgcqD8!vwP_E$|Qup~TfIs~U2S^#z7w$aMOyf-cf7 z`AXcE)YYBc^s_)wEJ3(w+e-x7i!YdTSA0PyykC4hAun)2k>;6sfeQ^$Eb1i&v14NR z)T}nRBw0uS7nl-KOb)BO5UujI?IL`l+>8(p?5&5ng2$49+F^Gc!+zNvxJB;`kM`oDL91tsc{*s4{xZZ#Pbz!F&OE`IwU#hhNed&{D6 zeMF;iM5FT~N|fut)%6AR7+m1rr)XvP{n5*dqtjQhj<_LOa~54(MkkhV8EH)kHGe9) z7)2j?r2osscRO&6KAb3XSoPpl_F#>}ssrW^Hax64VE+HwhNrKXq!FXUQtPV}DHJi% z!XjUxFhwNkp9mp(XReXGH`$=iO|MG)^%gm{_0d}U?ZwgGj+hsLMX@GAu}BL}V$GuA z^ytGcG#bIZ@0_&OMAv@i)Xf$|fqxKr^yATAKwd3jhBR=YG^(8JE0lNVSZ{G|yQ)B? zrG$kIV*-Du&u_D0n9oP)s@Q}pXAg=OzrhLxHUI<0*7p8TXX7Ht$>dKf9JVUTEMc5K zqM}?7X7rvEP3k(WntLfq6;WC|qN3E2SeX4NdOS{V$mIA=En~|Gg#!NmT7Pb`(1u8@ zb~03K(IQ@cug3~yVJJbj7%Dd0x86`!Wj0zflIH>!wm5|q$~zYyV$o#`&oeRjQiu-I zBr8@}0_|t2Xb3ZR%f2D_D*p|UH^kwt+fIkAnV-J({9;Kg-^KX&3@5hPH8#Wsv;O+| z9Tg)&$2%SO-LT!}7gi7nu749Nh}M#!T&Jh~6U9~Ub&)k$l4pNUaeV&mU$K)EBkVMj zaO*Wc!_FMmU~dAm^7L-!5SkdSA7TCG=-#^jX6wPg0pDQ( zP(*{{?8O315^co-r0<=_JQSjXdA&D?o~O5wQRT+070n18|{-(dkGAO}9A&QSgxBYV8WqqHPU#;b|vtSm0G@w`gcvdlDT zs_X{)qbj>ft2@(+QZeLRz86ra7!z!}KOmNxPgQf+}GSArPkHnc=gwoSf&$*8KUueaih7rs&I{-Xz* zox#%}*L;7mlCj-_rHmn1oBh1nlQ9@o@k7{nDq$mi{IDSna59e=HWVkEihpC+c=f7l z(|e8n2f~JsL>c>d1(Fno#A>ZXdOw5GD7<$XW%VpiZh!AaAZPGwy`Ol;6=75z;@wk; zcf);EOaI{~97#1iTC;~d;RwL6m25A50E+;G@zM{zNnbC2ocOXT!njTOUVgU~MiQyQ zYqNiB{dC2DwQGrud5qCq$mm4C!=4Ji_rA&MCM(AM6RAv3{oXMFpmo2lI7#YuMxfNmH1yJ+secgZu+Mr85;o?(y=^9-7p@yt*(^+~ zCn$Tk)qZk7loz`%m;G|jNwz+TD^+)1M&~cPj zIG8tC?ycn~A+7XhTGcp8umPG%Z?ZuiSl49^YyH8(s3}9+?hH__n`%B>PAM+~ziN;* z4t6a%e(}h|b!vm6y zIJ7adsM&Sq5$XVh^2v^}qF1Ml3rGQ1byHR#0Z#K_0)jw_4Otk{u3#Qv+MXYD96;^Dgh06JtA7~aFi$-+7(g-kg!;nGA;J!N{urPgwBjPwF}rdn zlUC0AFc`Ue9E5e+g9MnF=Dm>6KYPScO#o zZRjob16p;j%El8v3+aBv+&k64Gieti$XX^%>U~$S5T!iFtQsNDnNusNl7GH)x~9Xy zhs(8xp`einZ$MX6nhic?p%!V&()A$PwThHb7P@_ z=@oaEAbM3+WW8Dm5sYa@xCjw!Gnr}ANoFwc%0inAAwkcQB1^-p1%9Ewl?@WBI<1`C z77qyM4OT*Bj>A!j-m6!&7#C$A6lps0invJy(#m;-gb>a_YRRb4&&TGd7pe>T?vgHU@MH0Rl5Om*EF=6PNo5bY_>l2XrF> zGdGukAqW$<^aphE0Rl5Pm*EF=6PNo5bcq5pIG2GT2osl?3UuX{VApdMm-`8HXP5d5 zbR(C+;3*XWIG4Z-2r9Q-40J02e^z$)(2*|TWsJ44{159Iq7uDE^WY&x)aIDzI zlSdu;R?x+h5`X6FtO=bftj=!pux$M1omG`{%hf2XHVr?&&_ z033aq0t^&0It|xf<9*i6dR~+)D<7tC{*&=UTRi_+ljF02a}RB+P&m!mUGuPraMM2q zsWfvU-5~xuH~g`viw4o7luF7amO9~PD&$mh;bDkiF&1I_aPFWM6X5}hs)elVK^9i^ zF=P!4$9>>A{W5EcayeUse|5hs>!w;iyMSj`f#qGN-$qkdK zji-Eec-VWBS2we4Y$U1#HvN=87Tr3khD6nnt!hvsRu8B~ zXL;q}RYmVr_p3%U3l%D!bU-yavrsjJ?A_F9sv%+dG!{gv@qRi5e-&WMVuOD$s8;mw zkC@Mw0oS+J)B3JlP3wG-XU(*bS%81ND#C!GJ^wsZ=Xmz{aV-ER!M%E`7Ni1j;aV-& z7;ZIaxY5zQPy%g5R|(ufCFq)J?it*3B=O%DA10P_4-%bzX_Be&l_ptgkSZeS!xmXd z=5#!1*di-9+|>DKf7U6eQ}E0UOTtz^RA8sZ$Lu z&4;5SDp58BPp*>lyIGyT3(sGc*&sZH*GwoK%k1D&2Ff5Je|%!&>+mU4WPH6X4+9h| zobB!D6#$indAR3j+DQmiK=Mp){gyH~f~fO|HAjepXTql=JVLF(+gRZ}bQ%exG$CM8 zd8Va~4eF{Y@qp{;Y*x)5XVracI%NiCbb1_*+A$^UTk!}c*XbT(FfSQHk1_x;W(s)W z20JZVcvvlRf3lNsBzaYb*3V30P+;gH35VVLZl0Ck z-_v;BN&ek>=D@r}f)K~=Td(dkfTriwgXafx$ja(=e+qs&Uz962=jpu6>M68KenpQ1 z6xNdpz0rAtt5DqZ?4upDk4i9SP0InRS#b+t4#46ZU3NapO5pb3HL!L+Y#^Ow(#cpT zf!tUHv<6F^%tG~-b#rl-0}7okNqg;P*q9Hl}y1+@@P!2=7$b^cr~=MeS+|E6(mJ0NZ|Jy=PBX$KVp6d<@< zH#HpdaTjgDhp4w&L`qon45E99KcQj(f_cD0qQm+6+c~TRM(`6-Uru-XG1nUN8 z-!)@?$c!m4-xk^eii)f%>+%LOHWCv>)rzcGS&=sz4nu?nUm#@CxYE zf5Ovw2uN=7x^4p>Z2g9fd0nolH=|nU`5B*!CRC=O2o@3^K99i`u9sOLk{%+8v}fRi*pn^jfk|8D zOd59m>C=C%Ar8O=P4WpfX@Tag!;=+~oredseCs867$~2^@NFvLZ~|eMhJvU`nt1IN z$&~UJEs~Yxh-56P@M!?Le>O?~X~7ak(@uf9bb@Ih`jMcPE)iKG z!qEOuph>I%xKI8Vpw-ri8@1zZ5H{NyP?bM&Jip17@L<%h`=P?Te<~h}CU#9qI zz^}k7AB+5H=&PE=e-F+g-V6&b18sazQNpnapvv66T1^CmWfK2kp*!uQs6qN(NmLY0xFQf1=g=W*{q>GEjxLCM6wH z@`dA5dlbsV&@g~7U}N1hx$kIHx=oY&nAhW|W9no&rrP0m^_L4-xzQ)9din%iA1Q6r z>J-#JtQYr_UzdyLP`w;G7u?}&S(CbzRdYz4Oovq&*V_yWZzIw)eXTx$jYzfs%lg!v zcB(#UFby+>R#avHc}|(v-;zu7Ju=BYoPA?CR65k+h{drqw49d9`l@<+tkk z8g7c}VUXbMvKx)k*7v?K4XFs6i+E$c3$NS&4kr-9q{aqOLaJ!gr^~bd1C^!Vt(S50 zL=yutI5?NlZFDG?Ky`Eq1Tr);HCuLpGpNQ?-&I<`B-X#N+dNosZ4o*f>${>Wt~Zi2xFisD{_C) zN}W;U34=KzMS+vlp%fEK$tpr8QbIH1OAEZ96s6?x$NB-Fig{68Gz=(kMgfvO zm(EZMPCA47m}}`QC=5a}JmyM1NC~sGWdO{>u{AP)7fY14Xc2O0k(5hT`h$ip2N?sy zvdJ=RQcUb%9hi(#8P$m;MQ8kB&N6?#h0Y;3i~uD#85zM^#hGOUbmC1avxIrAl@`vR zPcLS2QDKIq1xlV}`VjMIz#xzeOUoFFd{hCNV+3F&8ZPoEk>J63r=lw(_^2Y6CG>xUQqkPA zioVPhUBy^n60C|T$P6JIGnEzzC7)!BtdbE7I2b(H@-_f-jzr@SQ3|C$rxF)?2DI>A z-bPXh6Oy{5QY>#HQBKSa; z*+CBIA(MiaS`^86(GvTU$ilp+l_EPoI6fYz=5%s7nVn6~hpm!5{!%qB&KHYzHdc1| z`2EMl4`++>MXTsm#qn|T>g5Z<&+uGPb9$oaANV(Do=wIJb$qOvXT*O3l(200EXV{_ zW#}c)Ts;ANMD-2UA(5?50tBFU)dO})s1MkrpaWNX2YJY&FOQd`V%Y?x*%*bpB-W zQN1>(@Y!n%93&>4@*97QcRoWWB5#WPYM`g;bz#n;<*)z#4-BG7fS#-d)W_GCmv45< z#vVbGobChVuXbU(q2MuS9U%^@0s>!>{ z$#=h;J?T{qV?p&2Q3mjX1h-n^OAE8`gDHU!Q5*0b0vpZP>(&D$U@4cQHpxAd8ZvyA z04oBNUY5dPX~0+6=$QONZkI^d76{ZV$VWo@)pj8lbP9jm)ga;oBsJ<9IIPPqJ$3{x zt)*_l&`}fO&rZTf#I*N+T`aKXZ`M4~y1)6{7aiN5l*#``*k?Tez1WNWf-pwhq93~<`Tg!A@0WQ$&2T`ZsZ)aWa`jHvKh9dVJPIq zI_y;8$M1ii_NfAr>q2E!2X7;Gvb#fx=k~N$J791sClyvFw($Q50$~Wpa6PnDi_6h` zF&e+qu2+8dOGvZHS`K=IU{g8lF(6#~P-}vGSIbFKRf9QVZT4#M+vwf(tOZ@^e)*k{ zGa)Iw;dcj3kjvl2?>!rY2n-AkAVM&N@Ry*531EL_%b*_L6{dyYE3NL&H8y3*m?F#& zCZD@X8z9^HN0P_v&Ro`0%5}GbL?FS2H3d&D!}rN`;h)05MmHb}P~U3H1=*JM|* zy@%|!qq}XwLb)cSZo(FhO+M#5%5y$jz6!Xs{SF&@U0g(m-$q@v`;+9?YWUbAt<#H~NPP`7Jh(1v(wfV_?C;Fvvt5ARd3> zgN6MP$Pr9j)hJO7QjvXXRKoN9IwT48h43O`eJn?EIwptZ$xvv&B4LAPICT&1K9{ZG z+HRuRCT$~OEp10>k`0maV+jTKQq5j&x%DyXhH4Hb7r!O$^Y@SYxSl6?*Yk08Oxk$t zSqY}#a0pO!vZYbIBZm4@(p5yQx?F!fV4&9Ia%`UEMu1SFm{eqv=s(qu(#CF2CK8Cv{Ogvo><+H(JfhqwarlrN?Vm(z8dZ zH4{Q^x#NTMO~RrZbA#b*$cHID9uM+kA`g@c9)|zxf&iwbCd}=u+CSYKhiWL^l}N+>X^bGD*)dTQu?wPY@NXMbUK+W znybl0d#SJIZ;yg8sW%q9%lBd@SN!Exlr7UB_aJKjAIt9q{+DqIbQPBYKXDTSGc`7s z;R7@)m!ubT?SCx0-Js-X24WMIH3OMuKspcRbl*}bM6tJll1LI090URRi_Fc1?@MCUNU60!0 zIc{yN0$I0Q8FT{ze_DoJ_oIH-4^RpgJnZ)z*n|0JHa4Lkg#CAu$^HF31Dgwu_j=OM z0oc~bten<(KgA8Xq`Q;T6Ijek0D1J~{EOaGKTADqn#ydF^E&@^?LLqeqVr zFa<=zfaY1(Oyi_PZJ9woBa|r3_07{k!e_${s?Dj1G02}0ZZ~VqTB$oU`LM#gJCjJf-$lo)6?+MKAp4eHZ{Z7fk zYEZGZ!qYNX3+;Ne?EVI{W0jJM=IM;Ib6U=_%L?9RHJd-FZN!+#y1Yc)qyS#SMPJ|C zutzZlEUeLfRnM~&h@TcBy2zKAFTE|U^CF{_(LzQaf7Y{MFA@vpz>^2E&yK9|K}ANF zbG*O!^clp0PXAO^>w1WBXay$Qg<^;4jluM{2zwnsZ(_7yy}_OZUu!}CLOCtlk13)c za?@O`hc4VPy3Q+D`gK`%stCK(+*2Bzz^t{0WRu-bfm0{^e?h6Y&`S=0o>VN6aR5E3 zTIfj~LqHE690on`UbZLu;syn3ju?y|#bARW`{)L$7&u5z)H10g} zlPEkZg2^c`=xMP@hhPZ}nT8Pqx1JqzA_RZ%X&|brKqAH3d$hMexj&-?0WjX2dMqRb9|`K4e=Sm9|!no z8;Yl`;RoVRa}Z!c0f!uUClnF~-JWIFL4YznLCui{gz9*t!TljN+%*y8bhuo*;A)xCqB+o!;eCn3nXnxou%-HSD4dVY#{~ugV(D z<}m#JfR8e^=zHwQI_|@dYv7so0U5?Y8#3&kCc|B+QVXVF83L&x)QI}wne)4>$jFBi zMxo*p7=^n$yZ0$t3|OeP7@vRU^{uZwLm{N<`%u!(L~94UNrp3F{=F`aoQ|?7p2y!b zRaLfXO2EzdUgSE{TRu!sOYVliyX&VdfK7${o|V-wiaFjB)Mhp6bvmE3dthC8alM9( z%VwL&EMG(XKfReO^LmQlyv_>Pn8BP|clwxa7O@UT?Sa-so`gM!@dSUKCYmN!{U5}3 zTRb0dBrL$q+3ma}%&Ry}r#TeG@P}>=BbHFc2h4YrKH1O7-xMjO`Yce3)i&RbBPiYZ zd}t`R10N1|-I17wJ8%GZKzz zpo$16Tz3R?TSWmJwfBEE)fk9edtX|%Ty!xn{#zfq#Y{f*=*dHy+RqWwmtCg)9=W1- zsd};$Mo@hAgDE)*ZKNpbJYYzN+7>Y9;6y3$LAlHSJ`ZG+AQ~zF_Dl=n-_kN7Hi78 zqfrFwAjWkL0dUeV5cAOMYpt0{lJKd&al=29 zZP^tY!gLbV6&XCfYQIuEVFGh;L%3NBwU%5Y7%W(b*p;*_vFTzZ5`PSo1F*$yU<(~Q zB2I~z!aw5t6}=3PzQsE1?*;SGf-qQcq!G7m7#*GOJvM=+yd+rXRL{DyuG)Y2E^o7@ zqmqim^Sn3y132N8W?y<5YC4nGk6>73Npt zVwtF@$cgaR%cWi@&xfXu%uMa1kPA zy#_B?^=Z%Ydbi%xdAlyEHLc(ZD-cIlhjLS_e>@XVE`OTMK~h2_38e?s^&lMO{cuba z!(w|l9+j4wgQH1Pmc*%_{&!j99tySi!aHCmyL>Q6qVMV|>#j-dQ0=E>0u*gG1%ULQ zdBh@i#nOb&`?1;-P1|MF#wjqB@Tj|nyiztLH2anpfbwZ2BsDt~rG)dhXU>gRG0|J0j6>2925Z3lkL z$sN+~sSMxnwzkpO4FC-;&Z-_Uz^}X!Lp^@MxIMYS#hm*?#Y8aKtu= zs(-pG+R@z-4(HRz(C)*&H{>->!n6$}Pq|qGMh}umC6D6I{Xzo5@{Ux(+@=o?^Ex9H z6UI*H0|EUw`W&rEZkRQ4V((le=aPG&|4%3Xude%~p(g_V<(RFTq%jkU=;&2>RdznD zFww=!C)@q2_=BZBP9sQIsE5C%owZe1l}{Sdh$I6kn2LS@qZdi z-CSn5k1R+>diA2*`u!sLnyym%-aSEh)c3hqS`!sJFVvwNZ$|?Gf$ivEdm8v7DDID- zQp~Dq>&BPM%3m{oIitiqr`bAA)+?v~>#9HZq8!RZ+oB)*P4&UQT3xx&H>0aSS5#nq zt{@TJkx~Q%m~hg`H6#m&Q$AO4A!_Xc6GJ#!BjNyH56d>vyY>sCjuMi^I-OF?PAEnv zYazuHSe_ccLO(AP6V=Uj(}G`j^J!#2=#*Jx-=T?fnF#q}3CfGXuPq_0ihu6DbIO68 zS}++Wp*28G0(uwpUN@?rn(js6*d#b7AtVEn51g0|;Kfo}JDJy0P^hpM!cL|{GXEp3 zvB!&BX#&x!`eXH`&Nipzw&?YX1d5SYhX52jc^FN2DeE$WHg_fi+VaOK9Plo-Dzx>OEC9kth;Z1`vH;5@^nYMzaDT4aOC0T`$IZn% zSMAjY;lAt~I7Urg(r7yj$@XPn;;I)xH!VFLdJAb9hC_r0!+Z{|k%Qa#3;8E0(K5Gk@N`Zv(FZz_^j|#Q60ouZ zNp>rv7d2HsxC5Yy`F}S06!*Hy8>~dHdE|}eX~2%6t+!5Nb;K3-?zmH5`mRlpm;0u6 zymn{D)OdNb{#4EDLq*Sovg*I_ITNp|=W}vRTMY-0PUhrg&7JBhUMP(qsCo+K#}iZc zkItm%Ff~ry`_t7;l~`wZPrsl3dFpTN{J{QGV%+O7^!S;aPJdBW*G0LT(^XKtP<+8l z%_}Em7?E^5Xr9~fTTCI>4Te1_WW|!1=}HdVR>E=Hr{7dt`m92vmvOTt?M_Ql$Piz}%m;(9J?p*OcUDW)c*)@^j&gw$HzzoZEIBD@2~rVJI)j|pA&a7 zrPJ=7I%lL@!PS%!9gb?f98P3I@?eBtEP%hq+%bZk&I zee2SYi-9uCudy1g;Ibj<@+tIyF<*FD@BD(^o?oJn>wots-P72tDO*}n83UGOckN3* zfa#4d(%Z}_Q6U@$0_#q8rZ-A7?Um?o{!AqRPdq}DLM9+J39LUtl=2i12uD3llOn|e zTmwVLkNBI*wrD=EX_7O@QI34bgsdI%pK9#^F&iN1e1^_2zbrt~F$<5yB;Chr-KxyYpSnDH3j%^i3S$>@$6eV7?Cp z(v$7hcgx-b4oOrWI$z(E2$Y@gxH+Dpq0)Z#q4BzC%VTgvI|WBK@`Su@^rx?YJa>so z381RP{~X8ha=+gmzsDLuhXGg6G=zVukyF@+^L$Y zItHK&}4{-^%c3E{h96^4`1c8z>p??Q{WOF_p{t~nj;V_CNsi1F}b~cs>Es*R_ z49v$85yO$EDjfEtOfZhYOB)&*ea>teCW_4PVH1=&3Je1D^1 zm%gXF{3k2vM|IDsN%(W;`jB7Kll>vG6JgZ-Lf~IQLP*rO$oT zkm|JEsH%p{=QwJhLSVy1nVUXHsY&TupRfGkZ*`5|^I%-t?UdYV-=qJXmw`l2CK?TS zRk?=(&bEJa1e6vKDdvS5B-R&`N^!h~bw3#9J*BYAL7#6fp8XG-Z5#-faSC)40Wz1+ zZFDCQF)%ST3NK7$ZfA68ATu;EIhSF=2Pl8#TU&42MizeeuOP5kpncGA?w3WjfSat_ zViPp5)9$4W1X>)~Y$Q@kQoig%fBSxCWJ+!~1!S3Roit6O;qY*HZgZ|fse;aUCbiI+ z@FtTgm`vF~GbS_I(TvTUG&Ex~AJUA=1h<~1Fp`SXoX>;`lIAe7${t}ZU{RMA!#{uA zD2zCOrF1kBc+Anl5_87WdK?RQx}l}W6jupOWlFj8|Di$TDe-dYU2#K2{EC(U>a9A-ej7Q!6`paP0z7L3h+q02(x z7#M+pz#tIj9MDP=MjCTQCmM97gC&1XXq_2Jq-&j+X^95RIi-#;XEPHxJpt}o?uk2K zxRr?$(q>jeIGFPpNTm@FwL}Q!Lgu(lJn@hYNkAK(Ij!hz5_hfkcn7d`KG1uOB#zLt z0QM@-vr1&%IKmX7@h*)N@nAEjvmixU*8W4{Il@qKD4XC=1q?Mk1~Fh5)DebQR|>+2 zdx8}-!9l%{#BmHs`=ukANd14MlJp`?i>b6dpcx|VElI)`5+o3fKtu*lx(yKuC7b~p zu}_5BFbv8gumCXulmdga7?A?yB8FKYg58h+u!2teOGCW{RwRZ&!NIyfvqYN?No%0( z!eE;pJ$rVPzqwt-EI+R6ra#I*Z`v}p-*MOi{x<(I|0;j}oyZto#@~M)<)?AhXWuC$ z8A(_N!DLc$!we}l*9`In+}ZlP$Ex|us(zOrKYNzmksr_cs;Tp{{F~FS=>JbH`+n8^ zCC{&~uUX&p#bR|?bdk-PWxi^gay{$2yeQi8s%YO$Dmm*`RsQK`pB>@vL5K&vHYRhl!Br{S!4DUO%*WEd5+Kar5S8>s-V%z0q zGwX89OFm7*F*vO)<7AK(XJoly0a$S6DPpXIVDM3}=bmx0;RBO3XF;pOl;b?!Hg&mZ zE~;6=9k|x(zPpTz#TeWb8uQrI&r#14N<+Z|JRV9JU^^ z);3);?~~bz^<>?_c*U!#S$C5w>@Gap<=0hRHrHKV^%GdO;c^0R$tPWG0hiBa0YL

GDv1A6j6#HFhsxxSNe3ZwSsX9euAP+E}g>03J=D1Rh3X7?C?iz zYw0+#OiJjs4_k2~OvJS~%!lBdu5Gj8kDckC*sxKz}Wt@n22Fiav zS*;g~$$B+uVXV5oX(vrRDaWv;QW!=F7E&VU17clxRj>R9N)++{Mxi(2eguxYohjhe z?1*c^R1IZ)a4tr=omAmxjrxq*$iL9)0`Eux_JMgAFv6q zFlJw1i#$ZAJ%JH}Am!Z?7!D9p*b7!WI!2qxJ*F zrg3^tVCfOL*gZKXjRS#u!V0QD><26GixBE3z*+vhSv2k0s+h%0Z60}D^nGmWOl~g! zcGG`x))#%u#Lp&Z2c5na_?Z=%$e$l);xqg?%1@fQ&z?QY@)JuXoy}t>uq@(ki4$T5 zvWkD~~=L;v~!8#G5{Q`%|m&8n*KYq}6?_ z`;N*^=~ZMYx@O(ZVz((prMXwJtcuT@n=F|Z1LH>pERX2JQ$+N-Xt4+tX59upnWPTy zPlZiFE7djc2Ig)cw=;H_8E$De%nY{>{oH@e47YR`W`Q9pBZh&z8>j(BZEvYz zW`HpRVrIZc4KQZFM{QvSeAEDJ27J^Ocz`hjVrqb~8+_g#9vW)LsNC!Xei0iQ#E1fx z&>=bX;gHxcp0lEzT~=2yS$16K$Y`7p+*3VM%6tG_AZY4XQ^!fXjpd|RRIz5rZxDa= z!V@fuYC)EN(rsKdt)eGqan;A=IZ`8JNe-%X*)JE5^UaP~PPJ_fbE9?)5SBS*{x}S< zAxRveCh{yU!4L#uL4N!UP;dtx1Y6{2942$n0E;XcuKU4p%@0Lg+{8uK)G3dk$Ypv* z!E|2yhwvRmUIxw-mYfnG1M_X2WXgZ)Cx@;_k_l&Hk(Vjhtu1$vuPOB_293{OJQqw( z|M3bxVhX`>gew27R-^Eq>Y>z?2~@&WAP%12yAO;S83Oar9D|fgS+Nxjd1z(EmCf~K z)yGwlCaR)Llu==0+K!Q4ZKPr>(kuKbK>;jO4H*F)2_c&5TS6mKvMI*da#SEE(_sWeUo^Az z62WV#9^@yub^7M(v*~G^N5r(VIDJ*r>tZqe7TQ7ojil&0C?*gZQ`Qr#NdzJZ`|t=t z$d8Q4BO4JcxLx$Asa)#h=mdWWOFefG(IEk=>WgWA8K?KC1Z8ikWt{#U=~-M%j;CK1 zb=gh6uBUI-aETS}f3dF0IGP}}XZK=Gg!9Oc{{Unwm^4QR4B&tqHE$i$E`0oSBcv8| z*oQNTP)H-i;6{u~7|?#K%glNV3eNw8?+5EBx}{J~C=G2eow0lJX4UcCzK3N%8O|>1DHwtdEOGTP)G~ z<9Q1cc$?3Q9yWHQgj=RecIUMu8A$ikuvOL~d4mdB#r(a3o zuCOFE^xhtpU-!jTb$8Hbb=jOZ9cj&Y?54~}$ZbtYQcqUsr-Ugqfx5-QBV_b+G7*^4 zsZy#aWHq*wlyo4Pg1Vj66Us4jK&*OE z^_T1Ogyr$+tL1qt^B2dj>ETgWN=;L6R3ZCIC%0KR24 zAe{b9JMlvE%vw9N*q=yWe(0&+@5?*$puW5g;{W>cYUo7Up%3o1GeaNT5500bw7>1p z_4dP4cIbb4+o9R*hsW*E_4eDxhpx9D*0IA&{jiQ3Uh0Ph-S95IU2s^(ZBZT8al^a9 zV@$nca3<0A#v9wVJ+W<0Y}>Z+#Qy<<{aGt|1Zpz*h|BOu$^Zo%NMkvq&*9~k!g zZIZmI#anuOX6DtFrP$dDNi%o!*PB@iNXmj(lxx?O5zoK;J*%(DU%lkZ#!~Qx6%M&w zYXbm3)CicXJkGg=a@GI@ruPS5_*EnP4?|D&6bC&OU%ds-mR1_P<^JnGzg8cJv6jmv zGH^KhAw4B0QaJ09J8A7IOu^G!XZsdY-4`o5G^!~C;o1u;#y$E|)hjz_oDYbN9q=NA z-b@_tkr5v0Q(OswzCbeM#vD%8;k(y!nr;Duz+QS7d`{a~?^kIjRP7&w88_y4vzPpI z7P`^cA1@KU@E$n^^@(wiq3T%=hvl~3 zpA?wC;!6+={WhDToaP1(hxR%wYR=PS6_vp0FgLb$Uj@K6M-~5n1SQin-+NKWAA`96 z^MgWd(GqYG0|sC(*gtMzjDwTwRA^k7SjZ^Zn6(h$A9(sX}vXT%I)RC9Dr}Vp^dP z*blWv9$kY`f_XEq&tGrTQ}0hmB0>QSS8uxp{P6Jcl=-0H?blGbP z8n&FA766g$Rbyu^1kKP7J=cV)#zVt(mKbcI1CatsC_QEc<|@!#gJEYopl&C#Q&wS| zrnWweafo1Hr;rlb6oDe`8V6ZumGt6Da}06H&QpSFt*u1J*k>nzRM;b zxQ-W-aqsLvWfRk24f8%*HDv3PB5h9)dowGX7l9at`wljXOMa3CNvB?&69&{4dojX` zLA-T|q6)aMV2df)g{naBAb%kG4LS^a=9qOks8wq8qKMLofkq_#x`mL0gH~A`8=c_- z;{hmbnDs=gQ2ru^mjPC*peY;M+wYXO-Kw2I4OTJ%Ry3)Wsy1VqYgSoDlkY%wjA@CP zSeu6KA5%V)QQY{`>dC?j^i?G1uaikV=Bdap-$^6K3&{SCkgsn{cZ9(Dj3lbKFH8KW zFWwulM%mF~AUEKD>PYJ>Mi*VcVGmt#oB%>O%U|xPi(=T3zfMrc&9>f!667!}82i?< zZ2!t%cLfjp#Z-7@ckY&A?JYge5kqe|L&7M|wBs1JF zTg@Cpq-?tkD^`CV61ZQ}vKWDsZ85bc7fV#V38xaQh|R$RYdO|qcBg?va{1^1R|X(a zl?4*or?VTf>;i|ON>AYi661?N{geG7?P&DoSXf0-I7vt@fJ>2$MM@e$K#sTh=c-j= z#5-kpfVY}?ra+8<72|hvCfsNzg~(BSd$>9H#Kdg?GiNG9#j~bw6nziHVo68F+fg|8 zRmV-?#WVK_8n@DHC1b4r4gpfkxFNvi+-ZmWB9*K6Py+-PUs_=B7^_fb3v-T1-exBHLTZs)$qZqD*1hdii2l^8qoV)8(WkOY$y2x}ujsp3P?*-MY5mB~Jij48mp@h}k&HqLag z32jiY*lzOJA5m+DUM?dW+8xf>HMae_-blB#98jj{RV>D8Nrfm&+X`!?{^Hwo^->c8TwAxzEOJ z1(W*hQcni+Bs2`Mp+8yMHsV>_=Z!r|8b%uCW@OPoYVyxGewK`TP4)hM;|w`q($#xr z$0x;w(Hb7;&@j#V6-16ejmY`7^$jt@t)dFjl&x}PEAEWQQOSSQanKbyLxrFM?(5J8>8<*VPLv57Jwx125WhL~s2*tudH zuUvtHU+v0h-vR;VYCo@AAJ3s7d4YBO>56b=Lm?XKE5oad(kv&aJ(gyG&{BmQ^e_h- ztW+z{&bmIkD%Ab9wN(gS%2 z00k!mv!K7daOHhZvB`c1P3cfPrE06~d z9D?x+?hY!#Izf&ikS!hihC|*NkE*K}9G+}0j{=x_PQj~1MUwE5J@#VJ@y5ai&Pye{W=_D3EJmZHQ_`V>u&Ic zCfQ(7zj6T~5rRV@aEK|i4R3DF_>qzxU{>9=uhiNbONa&yU1EcJh`(2|kNrd4QYrhQ zRj#Pah>(mXE|^H=`X^#L!oPad95A~UVL#FB%fUN?6a!+_KyN^ZS2H~5&-)0rO_=?%JDceOzn85)A9 z7=wS;S3lt8EbE12hAK>9xf<&fSB`oICJ`%%Bq+%LqAV+%DZHoN)JsYx^`EMt8TGp# z&j|n!39`x%>k`*jXWbv{N=hYl{2Kdf-Y9koTIZ(?H;_v`kNj!R=}G^E)sz8Lx`6YzwYR&^2x}y53+6 zTYP}$kVaAFr&ipC{;qwtNe5~E>v%9FevY!*jC#z)ZH6WX12-V;8AUEVFxK`NfpO^J zM#@#-V)U4Gk93Of#%rB5i{n<#hMLc={Z>i7w+Y2p5>;l0#T*m!L}TOe#AAhbz#9jQ z3k3cCmR$Wg>er-DH|R^7w0&q_x559-l|b12ZBLa07lVMgINt$-*EjE`f6KgK@D59h zrAq3IKec^Zbx5S{SoVda^TuH4ps&wE+bLiMec@^eR;^JNd>RQ3#{}SkN zP8K477!DNRK5WS^cU>|bqrMJs&^!2ZUBAOo7rN}M;oQAd#L;(zGhHFqzB)JjIL*y_ zd0y8Hnl_-~@+c3AYczSdbHHxf^(QmXNF%^JoiX?>>pM~MW)_DpjxpDz3PLnhI7>~6EZh)eVAJVeLSX{nouO^@PWIGc)*4fF!t|h z+#~QyXsypIrw||ymcKLHgsKbb(YHv0T1V>R{g->3p717gc87R*X1&`8AI{LTZ;+-6 zS?v8;l7jl9dZO_9{Rcr#oP7m#^0^aY4g5B>ul7mU;Sd$9GB`O!g1YpU&Wy6KRBn}8 z{Zq^^kyJ5*P(UnmI59**y4k}gyxDV{)K>qF6F{>EhpQn{WP^nWYByW+VR&~2sMlnf z`A^3l$WO6b?*u7ov$o3z@DF$82f$+MLXqZ&%nJX5ykkvc`bSLt)4gZwygr2dRihse zcMC~y^;x`MvM-u?j@>>hDVMc$Ye95JW(WcT2lRWX`?^sA8O_!bPTIwK-8dkub8xe3 z`wB$KJLOi!>YT+8A41QZhAJ?40!AJw$UYE8y5Af_k{%TSG~fSH`L6geC<(*UB8 zSue;40tlTKQ?AU5 z8?$WocvXiCXqsvpB2RLQCG*r5pb0Z}XvH9YYxrIwlyg^{hzZAh12Ps$Nq~6kM0vcK z>XA&{4(OXpt{O!NUrWSMyr<<{qe9ct&WL2LXO_h-`@J4T1rTfEfT|`7gqC+}<0DM5Kdk!?&&(QM$ z*PvD0DDjund7vCzlD`8(>r#=B*mRKymzJ9vnlsD&64P+q}gqcUj3X^yR9Nug)|58Ks@jEVd z`>#c*{vNU^=w2#{c$i|9=R+#khTDksuw+GByL%$gr(1ybbmjWo1zn zT=KzF`&G1RKgJD#JRh{ieyb|d>8W=B&(7?;A-BT2!pm_>bVDmuHfp&nQ^W@h*1hA7 zizur(-Q`cQi!ohreroXhqtqDc!T5CFV;SdZG}Cgl$D{%h_RQ-P%Y#@M&oLZZn0RYd z(sQ$sYk~pSWy;v~6}E9VoQPBQ+(8UTZQcyb$A+@I)$IKNkt-YbB6dUaKZTM2s%)K+ z_iV$dQG0*CfW{@5iXXpp(s3--d~}dMTj-Ec%^7s1pHkZxrn*bVp3BoIRS(dy4Ni{8 z>xJB>S%(jWQLdQo#b~=&R-96&;M8J{W`AF3G}a_cmdo%x+>#HwKi>tY?y*z?BH>q? zC(le(JKG7Ds~y;w4Hh`|)}nB= zpOPk6Eh3Y=2-x893Z$-uH{*)haUvxe3=$-y_9h_YUz{d28HD5cjFL?;pP3d#w_4L33WXf(WiIR5vVi%n9Egw5S4ry=^_enFZgc z2g_8#qNn+@de8u+)J&;Lo-?-a$LN#{Oea1O)0U&%qouvpx=LKF_d45>VdN=9;b+8# z4_TjW^Xq1j5kp6U=N{}iHnOn=3CU^Y6^&QdmNPoC6>Dy0fYp{c^%B;A81$}ZOc5DT zC>}=m2;ZZ{0F1z3DZ)FTbO<>ic-F)Hj^G` z4y37N(0YOqwmUC;{+UL-FvJJA^QzN?{=mx4Exx^`*$E?EN(HFhCMJ|!AmRyPR%v?z z1+2lhIC37ku~la9lW}IfLp>f&fBGr~dT~2rUf8~S>h_c4#qFO;V)Z_+g#v2)6)32R zOvU3NBAwrRV@!|#ASb@#U_+=z43ROu><7iMF&1f(n<||fG-xZFv}RZ+^XY05G0C2` zc)30Q%?2$>wv=T=`fH(fDw|HWJvY_Y#77&*eV7c{w$RYlY&q_>NJc)jNF(@G>l6upL8>EBE8hiepE)N zp>UD7)CZVmJ<9f1^?W!;a`s)UiP~5FJ-|w!8v+xMshiZ+Yxh1F491K3MJIQfESD#K zTIjm&iC0gEetgI>7h{2}V~$exj5{!ys3HXAwcl^pf>ZqfUZ>yH_`mr&XZ;UlZl$WDVR;uX07vZ9{XF3W$vbv>2}0Ojo~16Q#xN-{XTBy_`!Og~6Z8 zih$%ijNwi@g@5`J!V3SjXBLfV8c6)MB{+;2AqAy@;#Lo$ICG4HlkLs#T*}MK$VvMg zykkxHZsY9+oYW#_J;i;ric^a zqX$EL9Y3DVBkW!c6Um=ipqdY2jw9BjbD zqy9~+&cOT>Cm#z45?YJMdXeIMT^?=h?@t0;(b^UP(y$g>9;n3auuy;dNoVJ?8wYG% z{KESzJ6-xM1U;tWk>0Yj(vppEZDZ`4E3b~ug4I?L@mGi2(m8~bfX62SdZoKg^;Fp|R>fwwwFqr(EjkLZrmC2O2pL}*=9(WPzk70p(lANR*-;sp) zj)$fSU|jS}7D(+bn#Ys-SG%P%_WHTR@Xp`DveH`9k`CkCOzsB~>B!n#pGo*apc64< zsl1P>VupCiv4vMpL6>yQ&p~v#So+&pw#CHwcD$Pk&K$Hyn}*gahDg0Rjsh7>ql#d-8s_z}tXoHvYxc>PLO&d%_i;1^U zT&xtAZ}+9(M!_dR`>?Vr75$ik9c<}+Y_90AoSnw@V@S3J;Z(X8fGdlC$a_Dpf4;2) zaz4H-uk|Y8yq}eL%iIC~R~P0--4f&dE&Z={*L19OpyQv3W*4V`cGGd`>UzM0kAPn4 zyvM^{srxF-FM+8$%*iYW6_Z;W1MEY*$$*`b?%<}5ul*m&3F*u5t}Y;BkryS3h2F;%{a9ZKHQk^i6zLJLq!*AbZx_VFK&1S z!T@E*y*!^De40~Fw*+SGwL{7o3uo#+XKLOY;2zm{c>Mw3-~D�YQ0#$|sn`?!IW~ zwx|nDp77<;$oXAr?vZou@$yp7c3uksWm7m@Bshu?x#MSr*iWs4jRey#!xdR#6`j4? z`)y&bA#KYVJyqL#Gt0w+?}vHA%VB+9w1y`qXxAF1kiUt-ZypJ_TAnKVl25Gv98d5dTSf z1!w-^PXO0?l#A#?CQ3{q<>>ttQtGpg$Ry_e#MfsG9+m+ag(d_U5K0$nCE^JGA)Ekm zIiWbWy$*nZ_pXh~Sct$MkaDUAI-GML%VFiUXN7%?>Dh@4fxN?~mI~v1{s;+A5)?KL z3WAIVn0!p#9~)guUUXi@dDpuW<-$e1o^^DuskWb$d)yiwkD6cNf86I~l_;)UBtHCO zg8$kaE*UAZ>fATXIy}?3$*_8L-L<_cE112ZLRj^N14;4aFa(hgS88`NVfzOmWVFc zOg-d=O(o_}|C?0*I0bKcb5cG;cGXX2X&mTD#abCq<11*D$(`i%=Lhy-Ac73^9D|O9 zFd4$UZ(Mz}s-FnKgKb(~`5?&w&D)Ed3&iGV+cATcwBA3{xt)DYypQleR5|Z*s0==0 zhkm$60a69&i5&c8#8sqh!rKU-lC6}UKNI~oq%p4-v0AE#do^0RkE|c7LcxrvHg5JQ-H8efAD=w+m@uzEtmLBfnQT*63FB=g z{aW%2=$jM;N!I~M_W|kHgGQQ66aPK2!u8qFb-X#5;E2vCo87weNLvAjE#FS^wu>5P zt*hS2V*VbHQrQPgw%03N-b0%{QX_ka&QBY+c$pjx$aF%nQ+Q&@+Op3qa|{E z|0!A}lR_qg51q8o6z(t;^v&Hkf^lDWVwkcJO*$rtQDlD5Z&)$#DYe-$ILS>+>!qbm z$<^1Yr*0n6ISr&wxj-E-Csl9$;ROKDp5h}AD4E}Xm|H*Pa8dV9XA;^UsP7L8@~ z&^k1$0=qU`K2HarMPOR^FR!b@<+X6HB=Ywt4Z|zAl0Lv5J8Cbj%nPNvroT4HI}TZy z;HEunaOH8%mYN|_TvdB9Wcc8;q*#UPM4Y~OpKe%U&0sib>)9(`b+(KKE-mv0wOfu) zw$L$`%NIUL!37`f2kCT!I!}{_kJxW~c9Xs)`WZ#*maz@6%e@sl)RyHpt95f`w56Ny z&5cBa^;L%)vjwl1&L*n4+fJg9{kLWOf>t9x_6cw6RqJW0b=b|_K?*1B^_28X2jkwT zoJa0c)Aiz`%2lB9l3dkF+Me$5wS}er&^}$;=x3D^s-^iE7YWUtig}LCM^c*be>CSenREU>RfdC&YI;6rXp@|4I)v`k4oyRbbh~$cB3^tg$ z<3l3bPwBH14l;4fWsG)?LJa{J>!=u(nCn3Bp|DD0ll8XFA&`S7O8Df*;f6RDgO6&> z5F4VO^F5SDI#Md)mL2F7uv@euuAvd_uf-7l= zAdn!*GzJUY@f9R^T$%xu2I#dSdBCF~{Nr#I5~D1rPyGSs(ZaR}?9&!{mjPrMOa^e0 znHdIQphm)&Q-=se{y=4xyldwM;nkdH{zLmBX&UZL*@aJ=%qxwO<|u7f)HUs zAc+vr)Q1MvIm?EjprgBEJB$oq{NQ1phBwqbH!$wwy#}?v7GMV+utJG6<5{=8pQ8Er z%;XV|DV?7l*u0xE<(3*>C%e{<<5usFz2oGH*>0wD)l?7bR)<54owdqgz6lH(2h1WT zyH{*Zj^Wu+ZJGg>Qr8xOF_V!LY_Ph+)>VXjez{xqtNliwfR@FWtZhXiobCMFtJ+Iw93@^s0V=5qxHhk=QX`Mxm zH1CXwTg?5UasaD-PnoUHg`2wbB<51jmvN|C&BR9y%c=mQ^h!|>Ck)8`;0q}U-2sSF zUcQo>_J~d9n9(+xdgT;a#fZ`)G~^@`%-H=-TcF@POzKFnPMzbvec6qTn(t6IOQEij zl4N9J#(!0q=ci8FrBpuJ&z0%PsB^7Ed|4m#zTrW}QUc}+&(+>RKJ+xc{1^gru{Orv z@^>R&g^B?9hLPnMjx6BgrX_d6lRgUtxhJl|zUjCPpNIw z_gse3H}f9nRB3+cK~ZuEopKR#4~lq}_Zq61MEV1(-g*?C$mM5Z6?dw0+-i$>1o)#y z1WACi!tIDQ%*?6o&gwGD^50f5+yq)xk6L9i3^Xj^0QgP}DI&ZLzNow8 zg*=51&hw zW4GtL+mL}00UVuLc>2}SEzvm@c=OT578AgtTUcyJQ0MqOZ|!4drtCzvp~Ta0y2m*u zwqLCytlZ(dSSvNY-cW!>(wi-RyUjkDF6Bk*yyF)?yNAuxagGH0G~p^F&!Hg53GtN7 zmZ#a>M+O%O>-Lbl!Fi2#wkmSo+2HbRMdMjpi?x4t%-u7zqxCEmwb63tifi9Jd;;KE zx=XG6-d))e;X@Q+(x{O%DD@Vm@@88?uD}0Q5P>{1 z;9&uZFCL+m5#f-rd?A_*P+p`VBGo z4EmE-KJXo}uYt}aZvHoiwO_vH%;Y;A5-Bax^VfoxOnLXeYt8M=Jy0#@;6Z>>@F4R) zjM~wu9G+lqK=E4=pNoe%M>(>cl9a*J-o;|axi0E4;mVx5JCjlzqtbR5tiULBnEO*S z_AYi(GJ`XB@mc%v#h&GCUW@4Nl_7HXu)D`?dey)fu#yno{Gm3;xZo~I;{2xRNIQ8#6nlFL(B)s;A2-9#2VO7nI)nYRv6;^rDhTZ zFyXf}or#L$WD=*upxSr6<7!s8B9BE;*h8)ti&aLFh)}x<52d3FC)q9u+Ccs}-WnZN z7L;ZO2BY#P)prQ3F7s>bpW&nH)m>Od;1m38!-RGVakYRrXQGqrj_d8q`EDuJs5ku1BB z+c&z;o(P>4>DZtx2;Eo0NOL_oW*34&nbss~PPMczQx(SM)g|T63z1TUR!F?p*cn{1 z7m}%~$26Bl4ly@Up82ox;B`51Kx#G+Nxy@TbHD&M>NpKTpiuyc$z|Y3;7oC)Ucx?= zO#%s`+4ZZlt49rj{PosGdB5?a&A;?oyDK|HIJ|V<$GyR=Mp&ra?>=yICT4#)$k+W4 zak_6nk|A|}3|tGdjw_nUEA&AG-01HNo5!_rJmSB{zOi{YkMW=-cWSR^N3mKANyiOm{FN==S?|X_0r)n0)qTp?dZRZIi=W0d*ShxXSQ8N7t3NbDXAZIilxp{~ucEE-ae_}u{#ur% z%rZ<(lS&Z&xVsBeT_MXQz%U-8ug)Vo9wh9r)lm!Aw(rzkf!+^fY({+2aw+ZNeJz;1 zJJ(l>?g~)`lhKCP*^Z?|mGt{Ob@7dBdb{Vf#y7=pqW=$g3#~?U?b$#3b!39&c8+OA z`#UAy526!dG@g{`%QwF2eeDH8A;Jn}z$g^E4*h!?#uKlv;~oVIcPo6#F= zBo@d7x6(0H^X-@WZiCC@)V+cxL#GQu(BDg*CDl$xS#JGfi94EKmj&kmiq-{mI|~Sx zW!gLM({2m9!k6K~m#FA#p9*KHC~&DDsIV{8{aC~#R7l7+bcJdb@+E{+Gl(|TdA&I8 z9EH0e2BN@q-iZ`e07A&hvQD*Za}{&X0Kay(f=#n2SNcm|(1+T8yXud%$dzy6fHB3+4YSs)>?L2*y(m(5YUo8dx~`wN}D2Cjvfmg*=0 zr!7n!65X8IN2}ZnNnYAVldf?oT00_XRUJt$cU^NoWzw{25Vfw*w=xUzsOr)x=-Q}n zYCNDyvA13L03+Z zG`?=WY?EhSvlC+T%oKdsvpHkVP5KgD)aH4yfyMrnv)%7XU{IGfa{L<@#Xs98QKtv4jW z`ut0(8RZOGG%Qv>ea|ewQtkvNrY76_9%ZuR8kRYTxT8oH)tBN=XBlCZUszO}f(Lfkk z|9jPu={f=bGtp7o8?7a$%(W#0O#jt*k8}Gi2*UI~4XqisLv|1* z)|Q`%%cehb{&PE>t@}?KML{AdYMMc_2UrXBiMyTsPm}9^f~ZpWK==j1{6B%|Ko36_ z5a#~`f!1Q&?6KqfGx0wv8mykKb|B3E3u3R((~&(5ScM0*#cb8n84iTye+pNJp5-tg zEdNuezVYPt0A~5G!iR;IRlxt@Fn*##YwUD1!`R?Opa$@+I^ni7T)#zn^8PE8fpg2J zNue2S=oq*oS&hs&3@98zk*883E+3d$-qvGe!LlPUJv`c|P|2``E2)17+!FkqW=cCI zoTh}nw)5QjG-vvxbuv%~`d|hCGW=AjopL}$r zX^WMnfk~W=u0c9%bt-fRcvP6;06hya3r{>l(*l_vTW}aH)+n}+2Blsc472*^W7tC= zd9Rfsrye9rAS!(j=vMQ?2pw1!@4dvJ43Qpupa1}kxYe!-`PJa=kaSW1zk!1}&m*EH zf3Rw#X;4z$+d*jqf#>o*jYJHaNevNS2rbd#mXL@6w)pT62@TL9Q{DKLu!djt=wp4B zYY-g{y^4e*vtT;#SiA5MeCd4>G56rwV3v+af@%&;;x}UcuLkgfp%_ja10*zw2DDKp ztWy9KQ{+E=Yz9~-0ipvI3dnFuD0CLW3an7U)g*Bsmew&QQU{IA$ea)tp=JsQ-iGPD zruoqQ(L>~97a$L?e-SVODI~$N7$>w2$Z26@<01obsCA+5EjCb3x`rrZNCN~xE2*)L ziWgU`6%TL9B$1d-bcl4YFa&#MM12v|lu!Yo;Bg(nwwTPR zT7qkmLAntv?=1sixLnR`KC5*RN1#&t0F*NTnej+@2iN1BSP?nr!Kal>51?H`iHv?blCVkBv_V{9nfnwB!Jg zp!{Xu4{SM-uWy82uwJ@A;J`|}T{NK(gJ^>#-X*B(EO!yGd;8R95AUs2Gx@8!*{9px z=8x8l03?34tZo~#9Fh}Mp-F9mdT?JW^|yuoxo5E6Yh79r)G~1rKS8D+j@(%bu>;dy#t`UaZ&0I@xxV|8BY=MBFDLWk#6O&+g~Gr%d6tE zvt23)-_?uHjwrEe25FUvEca@SBVE&NnaH-Ji(`HcfLxZIp)`Fc-OHrohjm>`og3M5ii4^&CLuP{XCk z!kTO*4~Jzc!ZK*YiVg7|o1Kw$r^HR!s$sWv3Vo_d!S+di*{(hS+O>CZg5A!{`Z^F! zk%v)~j_XWQU5MT}SgpI#Tgi6@iNi*Lp{=>9G0PLvb>*R2Av){JrRz6(9x3N|DZiy! zHrqS4?Oj03y@R#KIeWOhZwrjC9pdnB075BoNadsi`(g)Z$Ak2)68 z=6!M<<<4)C6Gd_lS!%ab=pRkUOp! z0eW(9s)#%{y4hRJNlp8lLn49IpX$`1Fbrb-AJ$PU*$s~Cn91w6!JD$=5;s+d^z+ed zHH0v#=9>k7X;{w%C=^z8ig6$b%I9zI%OKD;OZgy}boQ?8j|uWUNbIBwBaA31LS}p^ zkRYG1;)R2x`~u9BG&K~&m2+0EBxH!sWf0jC2Jf}I|hI2!3B%m&v`?Jg*&7iPVJWHmu%qrAFFtoa>uW zD<52|YC$A8qs%pAwAfTzd8OH2cPWb6{dG=CS_!K9B_h9U!ga?7?Ay>NnNEoq<#8Z; z?hF_b&d+KQ2iuS^p1;6JNx;rMYMGca!8?;kbsn!vJt#Jaq>!E)S<84RYavx$oJtTi zY+s2k_(SF#n3)2W*eRPSY8%?*l!Jzjh;Z%o1^I;^&#P|}q#C%CV8=+N3jsb1`QR6@ zkaXt}m>ofRAov?w;TD9N0MT4VxzG`zK@H$%SDY!>C&*?xLVDk_c1N5)qJ4MTDKKFv zdA$Dj(#N&RCtZc-HgO{w+!UxTaSTaoc#EGcH&U_CCI!68%6^w6q zm?iiS85ZfFyQaJ@F|phXI>%od9_C{dHK&_-esVjGpUSm5^?GGPDO0QX$x;(ihH3z` z$nu|@{Z`#lg)t_AObpERw(%*LaZh;!h2Rw1H*uTE*^gxzV2(Vy`)9Im15%Airo+bd z>Z6;)uj>b5w;4Vbl$u1kQD}3L;nP-Oa&~wdOw_G^z%tblbczP-bvZNC?D5%~6OZ9T zhn*7U6n3y1(tj~1H2@wjzDFie;qWS)!6df<`8OKpOV7=Q@$j|}kCOJuvnDJ1F&dd@pMlmO^{amaMAs*@vhEG$p z59{y3@5s&3bO)W}zm2WoUg(#SvmPQWPI2;6rR?$LVhX6XZA&D~);4Wa-139=>@Hwz zX^2+)A>@#As5=P0*p+05cTxZ@R`m@k7Nbz6=pq6*$Fd%c%R77CBDq@{fn+I9_|DMUFZI-u@1#DA_XI^zks^?ruq<7J0?$^YExpe}M{z9< z(_L{6oN`*kxy?tna9pbbe;+1V0*SWTh5$=grM?Hk&RIY{qx&!-W>mZFqRZ6hVsJb) zKKGV>Vc`$6%~0>Py@6+f-By{beSPu)b>$yh(ep0T(2Xlq!ofsyPSu8WDh z7+p8Hfcvlmv~X`?=W?Ir?tyhNZtFvxHQCd|%;oHfmyCX$!~0uo^|a?i$`5=5I-eKj z@P7&STw#ET0Mqmj;qhm-I_JeED^x1+k5y@>f?u^w7GdKHM-HzTmWuOgQyt-raOePS zpnYH2?LWXLBP2hLU~FRB#?;i(3NBId=~|v|ZsA4OoenGD$n+>O*YylVv*oheQ*{SQ z79sjiU7v399q&%c=zA8-xrAzsy)GUsc{0x@ud0A=oGl;T&zHTI(QbW$JeitzV@-bU z^k{k@!-ei_^iU>51d6<F&xFpxR0;448#U{nHe&B%J2vuTtEOT4HYTwfynHFl zoPtQQB=^Gvgir59y(oWZ%2IAKM#Y8pVCag!g&u9WZ`+c$mkM<7c{~=R>aGxRf1ECm z#whiBfQue$&FBttNDjRMV$!I+`vir01&(i{h z7qRd6zqa~yMXkVD6Qwy!VDIP6+sMvjNqmCCVozNTwYYE7I|KGzflH5_v>uNaRdlxd zsHM#FXYam92p8ymIQiap4>q$92myU&>{LlBKt_H<>>htp;X#?wb@ZPD;P=6qC+SW6 z08e`_lR{=@9F>jqN!+*}*u^URq|5_<&pLKn+a7Urao75-Sg&iDT54-6$Nh|5v#P$sNpQL7v}();-DJmh-6^zg~nN%=3BrS8ENjrb|D2YZtR8tgIRh`2gMcF%?`+ zS)~Lr;$Rt%n2Y3@b5WyE8lgiuX=PX(8E@9ikE46)-J4Gmg>SrAkF`m!l<#>uJr*ID zSa(I=mYcxx-6`sVPqp_5-J5bpi-WJ>p^J#TiMeZjSJ{!&wQzFeY!&Ck&Ibq#{bVLn zM{eAB`t0<_u-%Z;{rB`-M1YYa_t`Vd+PqFr?Ja6i|or*ou?;j zu5wfdTDoq3-;h{eMLV>KekdX?4@dp)l>ZNBZy8)S)2xe{F~*n~V#b)6nIUFoW=0ue zrkI)Sn32rC#yCwMh z@(RO@Ta|KzwYrm~A|dXIn?(Y*N8Vf?(v;lR0?Q^@UrwwTWb`6c!HhR+ly8TL=5K3? zt?Qi;AOiDZYW2~a*<_JyRK}a=uSZi-Z6MlmUZyC#R!A*gyDo*7umf4fT|>=&HvH+z z2*p_!aBcfxF_GmC?IlC!ZuC9i$4=SG*D(b8Fw&2r{;VbVSYIHX!UEs*Gu+GrSf2XE zhwZ+ee>9H#G^_c5i)bfaPIjcw^16S&JUZ0?Fa#Opm#Ca1K!1vPtttLtN>*uM!(#-a`o1v+gF7X?$Rl+GYOkKP}@RSbjgbKD3 zCj#$va<8SQ)JB7cUg=TL)xOMu_rnvgr?aVBN0!dL8C`TyyYNhQe65CfJ)e)`mm&s4 zt)5OkLIh&$Wg@NDJxSCBybsz5ACnhAqBQX!qOH`jY}|!z>Sp2mw(9$!PDERvv+H#} z!&DXl9>4S~tQ!n8P1fTY60)>A<&FexwT%WxAfpxLs&2=|$NQcn_3sSd7QXDrbJ|QQ z#>yE*&LCfzBy=bnk1oe>jSIHQ5TjprcW35&?btq&aDL3HbK&UzjIA=$+$~AKo7%;s zoSsXnz4&R&L6Z3u3GsH6rZ)^h^8}B2jy=Vc!{yZqbm)4Gs%Ui{ewL92gjX=*u$97B-?Qg1l~*xK>^7tThv9 z+32P8y~u^}84^Jk@9U!x>R=`i#7i@ivu($IW%hOU3@_6c8ag3AEzR~@~*tN}v>-F;SsGe05 zcdjbMT2(#I=0T~qqhj&RdQmvF=o!pfFiL#E`rbb281&0L`58O+02W0KA%FYF!{3>a z8rquoPAOJn80+YQ?-&3u^tQk%s8vaK^l+FE3kX>$!5he6*4z~KRrdz+VN7yajW3xyWlwL`Hf$k1 z)#ssl$Hz^39DZZ1kta@^*vno#)xXYyBMEZmTHw1xqAubd74l3x|5_6oFZJ~?*;d&B ztV?<#*=5@CuP-9-F>Fm;f(FN$Yy13zfa;UGrI3)trFCmf?t5YYOSu9l!zDbfWlKCg znjAT#1XExEjHuF2rFNgI#*duvu$ z63MgG44t*X+Rg{ClrqiAE$}BIwYqUC&GvG5eso4bbOOJDoEikX9~EVyw-vt)W*2bc znCe#t{}e2;Pt%7zH{y42(Lck|{w=h+71CT;ESB7PgvvytXHfg7Jw@zB-O8H!i^AO_ zN_cCA-l_3nQWK52)5Q{XuZD+ERL7}l&*<#6Yr@Yvtrp^or8{0_3i;+^B~(du&^6FL zt75admc}5ANoPYxZ;PNKSI6pY?)HcM^b`Qy|3Xar&m;s|vOxp&*JNZJXADGkrvI60 zW@6z??)d^k1x#p;*y3@bb}Xq~ZkmTiZo{$QOw(J{(Q4G5_TY^+>ccBY6G#%se7r%# z_fRvjYp8_vWH2$sM?M&ml44FX!B8cjj#}mx2ne9Gqp#BJ{LK<&C`YBoYCRQUBx(ms z6EH#-gDo%85~M`%gevHt{$W+2fLH-?V3C8ACSMpU1gsH(e6vs%16eIzg=YkDK-Q^^ zp7#flXGR;e5U~)8Ln)T<2ZQi0{xv_%F(3VdD7J}Z5r<(KB_}@?>q~41Q9X%~KzuF^ z2@i?R+;;wc5ZmT>0>-KZnR)PiY_NDPII)J3&9}U2(7*I?mQ14{QCF)lU$Lnr=c2^K zQCI9D$$^N(qP9iKyz`?ex~Di?MAZAJiZoC@>0;tp*JV z`#dxwJdSvjvxannae$;_jwuKl*Gaylz-_3Nb7fMmq->|i;ZJ2QE@F{nEa}d9XS!O7 z5%{8bv|%Tl_#*t87}N=S@^J|;CW1682@T=~0YI`;JI62nX9CNpsN^6|m>XkMSEPv|r_FPF1lcr) z$%y}uONM{L92$^`==m0Qo=47IP|(KpTMs6oGk8}F)g(3%i;Rfsx1@~qb(ba6ui5#2 zhXQ~afDcqGO3o6>DzqheX%r8Kx=1+3y1 zhw?Dk102tNB~%|gTxLDbG*ChDdSoN~G!ar@>iyBE@#_78kloPeV&~nX(c$Xm#>okX zp>$<%@%1nHCJOEvce>qFG{DWr2|QZ)b^*K}R|WeQhwNLvo1ZMv6Q`N!MGP@b{8@wq zRI~duRFi)P&-UhS?jN3vSUejYc&5xoC)oJbeSO~FMfe(dJRjc2`j*yS?5^Uh>EE6X zX*dXU@Ut>(OrrN*wL`K$IbnMO2F+25aA3Qk4Mz}t8(qTROBucS&Yp8=UV zdglbjuC6~bj{B2FjU=NNE6F$y$%)-+oP6xB_~LPI@aQh-9Dk3uxz`ejk8PvvSGpk~ zFSuhuM*r$LxME@Ha5PG_pn zKlUU|%FZXAYTJFzRP1pzk6U<)s+Uw{1*~%_zSuvl4QxKax>)~?N%Szds!-)Pt%j=( z7`tcK^0q#9et+eCvT8>^cL(TAHF|1pGfqZYs)hL7?si_^GzJ{XX^n@X$bX=fA*jj< zUEOZ{nHh5W?SA#3mrZy+Na#_mOkna?RqhmO^%z~VZSFx0vxv2CW&m;sMwL4__2;(d zlu=)YnVX{P`^TTuUO6G#TC?!QB>(toErx1!*7M3$ySBHYtB<`QIAy>j>jskdD|v2{ zYMl}kdlqd3203xlWHk3U0uZ*g^13!LXKnPdL-9SgogjEquT!8)8$Zxb4D@te|=QEMKhu;QtY7~k5r)@N)jXO!k&f? z{{{tJW`Gi$mI-*n?z$Kr;}Z(kQWiTC#5o?jFB}s|{Z=!(QmHZmt^GAh)j64{F?}LX z=)&81ExS_C96>_NYtkTF-8~|!>3GjQ<1Y#NC~Ph ziPJyDQ5bD$4>BF^RwLpK7xS+OSSl2S55KKW!$a_652-gVNjt!x^KOOCfqADB389PjANs)A0cSdpCVn9M!uBG6@s9`DZ%nt;t1z;+km(F^g}Rhaz8bf$b~i^8lsBYqY}8XW@-qWy z#YM$m-0t0PE^deVt_`T)2o+=N$Xd2kprz1vOxx{7tr70iEu4KquDMg+|Rn_>Xbihf^yx$wdFuRz@W99%r#;ZG_vJG0uV$u{ICT~i+#@( z5>Vz%>c__uinH`g>4t@L#5h?~%FhMRO$t^`5&$oK_L9v~B~cZsmjEaoEe0=ijDb9R z0b;-kE9VDVM0u1ZS0t56*BKhx3ZS6I)|hK-&|$5`V0B_*r!(5C9R`lGti57YNjs5f z^oQ-kTIJnJ!?yVV6aA4Qvv zpwZ{o4%=cjSw)TCIvT4BzC(QHzbVPU8O)1m#%@)UsHn>pYFx`NiV7m&ELf}Y-3&87 zFLGXvvkbY{V>~Nr^4HbGfaX^O)O{C+%-z@jIDKHOEdP8c!3_5K(aC?7y9K3;m40hM z34S=Fx`vdY`8FWR_ysFaZ4he@GewjEDiVR$Xm7|(1fNP?q=3n4uA+4GbuaHRachK& z3-6#fe?-vuV-J+1u~>YdGJ-2w^(;cuzNKn^I*V>pFmS9)Z2w8AaLYUCT zW9UV(#sF}w8tzs+pm<~%&V`k>@^`Q~Zg(0-oDI^64VSiSW+Q&#aaJ80iitXuiczG& zQwf?s0_BM+a%jnstFmYWl)FORhM8<~>sdOwu=&g2sw7>UO<~vU5n*C|yz#(~bn{w- zX_dLB#tjPDP$H!h&&o-Gwh41nDKxOn%8ibd7i7-+SD)0!&*J#L-p&m* z0k6+5{9Pv;$8HCYFGEfItF7LZANON17az}WbB|RF@6T_*y&|qHA@I&gc~qGJrzxet z_~PSxwf6!5{8JG`91I^fRs6nQKEYE{z|#Rc=EYj}`x_zpi*SbsIc7`Ix>_-I%Yx1M z1XXubuZ-$On=+*yoXX}8y}X?MW3%wGXY7l{=TUm5KkL$w>#i+J=V-^D^%`eYK|9g( zdDM`QA;rsme>azAU)h#HJH%2QbP81rueCrr!<_+Q2ITPVD)yWH*XkX-6GC@ns{G2@ z3sde*3z&y3alGui`^jhP7>Aj&Ta@#^ykaYI>XiI(dkU-N|Bgv9?aOlSIai)(p3%-o zG4Cx*CXtNkV`4j zB@#`rk}Nc7lAyzee*Ia=UF{7{szy7UPZJ=#7!_5c-@VZvC_3TXG22JQ#aG;BQagGM zXkp-ux^0v_TAd&Ie3}?d6#8yO5>rJI{U{pxO``+q^o?fFZf@(h_jr~Xu75V0ieduw zN07a#WqKODp2Gt5TLkP?yHilDAvY9bSMEu$vZmayTjNRdZ80MEX|%4<#XB8|w*XqK zsKy`%2LT#v^Bca!v!`u8Ri)*vs!8nAE7~GyO0yK1&XLoMEWecKREf@_wR8P} z*ec`eM2XH?GChZVnpAJHxGL)rHM}P&ay_|Wh1nGhMYYYGN{;!&$W|(}7!K2Fmn2fX zk$o@f^7W>`V+A#)V{*vo|0n^7cV>*RV>ZZ!;rBR_FH0xqCC5@RhUt|1S@nh z#xyXzri3@=Xu2Aq&xm7}n)JC~{U7-j$>wb@G}(a%-tZqWaFSob4k90Dl$ktw4LlRG zr`}%V|CH=r=M3k*by9OM>w=@}p-V)sX9Ax0Q@g|1Ef)gI<@lkf?oxIb##iJPGbCJ#4Tn3=ofuaqk)yHC%;u+#- zg*SIwkFlmf_@S_OT>c|J5l3I&6b<%*V7BeLuKwvfxAD-ai)(7(wB;@`+b85~UG=af zjTc(dfV*kC9o##g5m(2c>{EJ^MW2yky?&Q+IMWUS%t-xi@VcG$Q3(xRTmzgIgRG>j zp{y(R1(KMDv*vD6Q485Q|1t4*o~CGb&aW3GyuxQClf?8U{To|C(H2z9XJqCq&z>LL zV}--@heNoQL__rnsY#78649De%2sl0LVrr%X;v`eeSFVE5bdb;=xnx@;=t8MLDJ)+ z81w+D4(~$IZRVBR>$@~-mssiOc5c>T6dDsl-uTQFN%c9FnL zn{+6es~*4P;R(@6D6LQ?QajE#yuV818xcb8N^?ohBeC=*vmG$O6nC=0(au!y=c3gj zJ!hah63I+|O?xC->&bK+3#3z>sq*M-W|AcFR+YrooQzGSc=466DiSs;=g1Q3luY%* zze#CDe;cYz(74Y-jtZ_u|K!LsmqxLg{~6U`=HyKNPbR7$tueQuD7wRup>U;*5HC0~$5m2YNLJC>nwFGn$u^Pzzebky9#*_~v9@ zWAFxgQ|EZWKbK%J(!&%74FZZk6ohOwHK8>FyqdwrC7uu~g$gU+LkFb1U_T^?3WU4Y z{7O&|FYMv=#fxVDj$+BHF_P)d7FWpJVu8ms!kKp`hIqii8Txsh82Ii`tLAVGfxqbHs}9Pfh<6f|%mM?-@3}lt!o09X)0_zvXwi@QtZ)|y5MT@nc~A>s3TCJ> z)$lpR1wtwbe$<#v%k`b@fF;*xP?FdF*&lU|1n}FP5HcR;U>r>$O}zU7 zfl0RyMPzRlUMFK`C>awAZNMvO*ca4Hk~NlVEX&aKl|KYuZgL!v_^xt9X99UV6dZbm zv*~Y@djjFc1Jj2MFSnI?JmmuQef%VQ5=?S$)0Km0%uzXi$A-)Y51&)SqDRoyek+vv znUwo2K9T}y7Wn87lx?Qba6U>AX5OiOU=);OMq-M0nyxDP#`Fdi-r>tTAjt275zd+l zV;9`3!?;r^wGMfLZ{QSSaS>{`0k_C4^wu9JK?$qo>~2YhyO)mWFeQ(dgtdy5BFw&H ze@PThKzoxzVNA$Vz|5m`YNlR*Z#XGLg&`$#`$u7#9Dp~8QxLwALyJc!QmrMnAzMas zCUI{4`Lr|jy>>tLO+nJf*b8H&W>{lt2R2e1v9Ps4kQwRP28qw{-2I(~lg9I3M}Mv?J_a^UBOKPv*N_o09^^^i85K5t7WHPy_;Mx$;hBLpu84eRQhh=hvVxw71TLe=GiwLZKE>~EO=V}6 zo#x3euBt9(&Bu02HgDGv89Tx$JE0)M&b&MV<_lK50^vFPVzS5+7l5Do&1}8K(aa@3 zz}aQn{R?_1b5#R!Dke!dI;Vg1>pmwM4BQu`gcIzp@3;CYy@!dtg{>iy72_VjxSM={n4H0H0`an;;`+_74Xq+ zxY@Y2LSSaR^|ou$JzyMKOAcG5Tk1?D*QGQ`L?2?{8X9VXUPqq63{4_ zr}mehEIlph)MZ{oE1a>(!d|3a=qZHNpghN#a09-p`gG`Goj~BphND`(9LeLlK}1*aVF;Ijc+Oc4Jz%x-=$S_r#Tt zsd5FRHStsv! zcDk_ij7TcNMBVgP?X`oQsiz&UxynwLIG9Y!Rm$Qqoem*Z=JUdQb+0Jbu=GjB;tXxO4G~HCEi>(YN>Ef3nK`s~Qe*8w4c8V(e_lMB3hyXYiZ*<-2#zZ^Yu4vF`D_L^B5QJ9PHnGjD;-FwGZOO{iFmC^f2gCEiRO)1tQa7sxI z;thOrArB?)(v(9{gLSyUa1b_j{+)LQRzO`^31JLH4KerP0+^LB|DvT>V9Thd=T(U| z!oe@pOs6lH5`e>I`ehq~4a1fG>-cooEF~`0-F}U#xAP|6az9LK8as47U_@Zolo>hu zFY@lfco&*<_9liRO1T2<6^35&RPzskaubnNZ<{o`^$5!Km2UcNu_y-0c= zMMK5=ISN_F(3SU=90xtj=h?3V+vBH|PzoRWMNI+8+~J>Vc#_EDi90X~=34Bo7A?QW zw>s&`$la{*@O4{^WOx3#&{0e8NumAwYeW>b1E5K5=>euD!Iu9QY0~W3+sD@?LnMXu zc#YV#GeiG}I=1Gwy|g?=#q;w}`ni3?i@0(`zCB(g$8BDc0gRlS&u6uCnEo&X&ZQsj z{l0`iBJ=A7UMPj=!R==?XD2{pnMK;qmr#7?Al^pOG5|RlY3xZ$Po+b~@iUKVBvw9@ z5{Oo?pi0``JqANjCJ}cKFJo%ZWglSC@cvCC^EJ)+fb)`@#Cj4FsVg@woa{+}wKSrk zQNJ};Cw3j2HNez?kU#--VwdmLf1L&Cg`vPMrC!hc%qiI7#bm~4AixWYJGYLe_9Z|o zmD!s9J?AF^O>?sN_$;D0v3Ewukni)+0pJ3)+lI#9zKbIebhyqBK>m zt*1N5d5wfRQ^zBQ$MUo1&rZ_deK}Df2yVgIsgxZENzv8;5u~72?AY5tp^Zq%&m;Ew z9Ak2A*)nm1gqCk0U;DR>IRusS0fG?-Z11a-`ES**)Wk=~l1HHNjODucU|&&RAy|32 zHDr+^9!=Bb;VK(j#oDQM~h65E- z(@|~}!$TM;`UK%zp;~bcH0p0&IiKP&yc1Hcx4pyO`!?{q5gPM|R0S0>C>)j- zCQdFD)40#>hVsXccI~Sn2qrlhS}}r&(f#({FHf|dXw+#xGYXM1CH2v$$z2Y41;ZFd zc@v5jW5zPGS9`n*2pz8eg2eD~YC!RLg^G+5{VgKSu*=bl_%=s)`Hi@oAs)A>hOr?E zlE(!m`B%PP87Dm;n4?!lRwn+5K|cxJH0d;y!2ZySdKAIzv0?mftO=Ee%+N;L{}mrW znIMyt87eeltQJa{z|xLJ`iI`eqXV}vLRm{xB-7Z@GNw2_(z_xwE2lp^`aXaVjwJIm zURWn^XppJF0n>`Sm=)n&B8r>zXW3%=WT{BhALujWFQ6mbiU~4@DnNM&Tm-3c<|8&e3#f^?*KJBZSCKfEgkE3r8ZThO482B|FAuc2#Q7$a%i>V=!O= zT2_U}z#O4fT zS*gr+m0$~d`AAZ%IVWe*Pf;h-z|)Cio3Lc=%iER4QYd{B6%bQvtQ~1PpF;=s$45_; zmI}Y&kE9xEXl3SbM7!$@f>i|lb{|{Rhto`}f~p~6E(YL|9Iv16Mg~GA&hBSk?~^am zPtKk`Tt7G~{EOzF7i1Jf1Aqu-W3}8?A?DC(oHb6>9`&z=V1#T-Na+__x|za39*>9d z5f5!^8@_V-n|C)O>FoG(feUl5eYfh0c+(0qX4Efghm{#=t&3OK+-@vPgrJEQP>L#V^Zkjwgfu2FbzS7O2MEmSCiv&gPhSse;X+%qPrHNcir|C?3t$NkHC`0-dsNil-l! z-WTrYyn3gHvCSdHi3rG1N(~?~j?nqY_`4)BcB>>eF?MI^cTxIrPP{O{U+%B1@%`?6 zq6e4h%*5CK)@&Be)6|DjDIXzkqUfJ}h>WD3FMSgX)kW%2SD6HH`S2 zA#+N!Mo`%4aRf*^J|pm5rQLBm?)tRyuHrbDGM{uv@Z8vL46!+(fSoFq3U1Wo{%bIn&d6LFS{j995`yDXE!%g@ z9bgJtOKbaDc;Lfsh~_-+Pxv|DYxa6{&_~K!=U&?!cmOotH!(v+vn^$z4NEpD@?1X} z-)bj1q;+dx@(rU+*yMY0w7at9{dhGGw6Kt#x8b4x%eJe;lFDmjvR|rjEL5T%ex7oi z)6g0fp6ilk!?l%TyC*D%WuuJh)kf`XSRSd3&P5imgo;ctWY9B6fudmJeR;n^k7Z%( z3NOZov;weJXx_^Ss}1ZrTZNtTK;6S(Pq4^mt=irt1bVFzPY-b4DsT+ zKcfb=_8k@@l4q6y>uI<^3|w=OcA3Mf7v9l_#=mbGbG}g<(XwC-9HZ8DZ_jDQ`fPxh zYeURQjMXx%g3?}!0KETpSKEm-88=HnyEhsoi>S*Xk+HrneYnUE)yJg3QacHn%!zDfdufAVryTaOB*PKPs_k-i@_RSziA0CaU%S-R7esb@O2|=>s`Ko3 zxqxV`Pd?-^dh;J^Woq@MB^yeJzZorJiqqVC9boe#HOnYRJHP7j(DaX#)C%`gZI42s z6uHRjvR?iCr%FzmIpmyXw4C;k!wJ~hT79k6FRD0;@l6&;ZO*9zNw53x5))~}@RAPk z!+L7u)d@|0TUmWuInY5TDO)NyMoWe$0LjO6R_0a8y()h18ox$92`Gyz^~A5;hl!5$!Qat# zOyySdmNUE(Y(IJi3H}7R3ESy9^WWO4LH1F-rp%N-pgy?9!2a^S@K4s;)XO%|1)7;| z{~!@Ta;FRvjt+v7olnv^*hNNrF*qGoeRni)6$op&;g#w~O8)>Q+YG7L@iWyWS<4Ml zY#R0wYoncsrh76)**DVb)_7Dp!E|=x8B?4JtOL$F7j-vfx5g|**~jRHePlg`4$TZQZT)k2^a;25|-r5o45CQIVuaQv)VnATiHl>;02L z;`06C0u8B`3;u+*yzXZ$OvEk=(!~cc0sWRQ9xs}K_D=_tK~Y1spQ4g!A!G7(@}HR~ zCPfE=6tZlAcAipSPp%Ts*~{Z5XK`*-D^EP_ZfDx6D55CJsBclUQF*PGf;{~!p7ug3 zuqG4CQK#U?0O*^T;72>Hu0V5uJ!BXb3@9?# zFW^?1kvbj0kG2W(UH8!|EEAKDK<|0J&e@6fydCC>q(1_gA@)`X_AI+?{H#*CpCX(H zO^`A`0W7i?elNSdE%X^DZ&)Zch=fL>AP^b;5`4(B!absiC;;po5FlHy#Dxg+L*x8K z0pMT?$Vk44le=hz!wCdvj>fi3`qw%7Tatp`bv}k)hyPs<;4d z6f_2St4BpLDRo;LT8#o|RiNQKpN1YPTHM=K>}=1g6W)f28N2>CeAAb zI|a|M`uQ7nKP-l2y3V_78Q$NT6VJbNZoN8u)OR(%Uhuv>oSZ+e`Sx~M+Y#t>bv|A? zzdWW_M9E`bY_@~i^2`r{K5I+rdcSsdyrk|KE2Ml7p0Jzg|0kCRI1@W(aaWFB8v2ZYnvNC>W;t={S$}Yyi!NejaCM3edNA&++1@)ggpO=y`u{CoxC*t_d z&i*eaJz2VkGv1O*(F+?~F}?LS7-V=gkfgKRyk!$m3P{Ja*BM1y^QETK=I7Gci*~a56z1RAxvREZrz1Pm zfnIv2uFJO78r-9>R)Mk>z4iipn+(P11;pJPaOVJ@9O&BszG;9#L{8Qz4!73BGlMsY6KXV@v!r z>(=-ML1mAa0gc&B-3+tXO=gd+4XW0|T8&s4h`VhXN(49R=V?B;uBMml67gpeEUPaY zdgl(W3GW98=6s;(KWbapf5C&^Da@FFVN`&%?7^r8|GbNBR2Z!bG@Kq734g$8JGh14 zH}D=3QQ)T_B+*d-7EuaV097#@dOv)> zZdg}PAyRX25dnd12-9<Dg+xv87`{@DwO^5bo;T53;#sNmHUai!Xs8}!4!GYvl8oST{&hq@!qTar(Yqmm@7w-T3XqLp zTp=88%-Rf2pN|V(AF@1vG0%|hJCV)=$Y8SH6&X<+I0@(fK=>YPDllgNcoTY|7u?aJm1E?MLz|Eda#GW4;(P4Tbag z*5F<44~TW|VeaXJOxFwu`;B4top_{Mp4(P`{y`Nv2=##+MZ5iCf5a|fgCx`G9 z8oC?gAFYwGph)%6BK;j0KXY$W*<+p7s_!?=tA&J4YwBi~wS!Fs!nbeXWf^fNRpVYB z7q|3k^?~xX!>D2dYav+pxZSRdaFc;rkOKVU(Y(Pjs$a(S=Fky-ik$hE z5dj$X=-FdY|7}j%AT$_d-kNkddh6iZ09Z#Zs4u_TW&q}GaT!M0`%lD9m_>o&$nZDeqQVhEKSoOuvfk+U_p<8HQYXZQy3 zSSjbaSh3?y*&OUoBhjZ=hI9?&)n_n=w8_Em7lb~7klA8O?X+R?Su=iaT!DWE1o)Sc z5dA>yk-r2GGky{4ro;l@(+9%?3juZNmHqQGeH@lOCcq+RNj-hxyh6o|-v29x)eEal z76kJ)8V7=D4_*p1Y&8f*4|cV6jk_YI{&h<#gc;r93RcbXJN6j|G=0F2<~@x!*Q~~3 zBL;t(ZR%#w#q!2ly`c)HjCFTxKpWhhyl?YM_;60>7sKrXoP+<_I&db4{J(4_b9qdN zF>|nxer(pS20#4u3?&Y1cGnR1_%6;&NueyxylV3R@4|(MZ#*ps_22Nhc82l_^JvLb1G zN$k9gud^QFW#c7s(?hI!W$+%D$NDt5dTQIViwG<6Ps?4%ftnAX)2As0U$eg02@7pl z?7${@fOA_L!91@-o`iPU`s`~@A!Lb6+w|V2S`~TC!6zEsPA_iP=&M7Zt_Dk1;5ApA zJpf+T0P^YaXvAsT3On9Xr#*ebqx!{JwzoydRzbp}n#HEW`g}}0sQ7J|6fp4~77kMz zbcW;Yy=@2%3mXPsnXaqvDszOo7laL*!lVm1Z`&YPAkaNTSYUnn{i}_eix&Bds-HYH zgXIXM7q$DRD~x)xsT&@QlAfn=U>n2$hc!&pLl)8f|!z3oJ3@T_R{G5z2Y3}Bc} zXK%qFBQQ@h5oW*OFTRI`MxQ`Du3tcNtW;Is$N0#5&4Au4A45pp>L7#nhs2!wIA7#@ z5pFP}(BHUhRV=>$#dJ>OvicbB8eKI#16;*aI~w>ObTnv57_I1UG$b$6%io?-tJ8G> z9>c5P6=WKIrI5c=vEea4ybE?o0d&HWM~MM(Y8lh9S4BoB_0-FmU;u_lpAhr~W?8(O zZ}lz))cAx{>*HGrDD1S^)t0aFgh_0lxc$50v*=s-l|Id-xdn|mcu^1Sv?EyDb!+SKlfW^ozSF`C= zjPA59%ek~EtyJ^Bo1y~L{sQg}Hvf$7gw5B*tLMJs7s%@2`9gfFFk$s+`!WI6V$gEC z=Fd&WMQ3U^dn5nE2GT_zS3kDT26T>wP42$3J-s-I}Nugz#STh zI1StS&nuDT;T(W0uWpv<-Z1Fi4IhJ8$N%hnKu#MUX=46%`Z$|m#*DU7&LP<;XVaMp zqE>V5$@vLyGp0Z&e>Ok!tFE`kN;fpT9hOC>bteo4ZzXQ^2M3J@hlWvSl#KevldU;j z*-s~i${uGtfRhmk^|MiFzHYrs713$DViuXuX}wnP0|^a=S4}4-nV_E+D4_Md$PIOo zkqLQBY(WOR)rWK-m%6!{5p6H2_CTo2Cb)Dv$^-4Ltq&`1`1uOa8C1toxVU99@qbSu z))|D1)YNI1;@ioca3JLno6aIKTZF*Y^=YpdG`q5$l<#eI76zgqTrh>WrS?^yGuF7K zAaIWZ!T6?qp9h=Ot#Orgz`*xDEQ_FwN8tY%K)f*w@u=x*UpCM^WxR1of#FVXE1!F! z*l+~FC!z|r3VCYouoit5%S^W4h)Sz{E|1d11^shR~W+5eYedQ|#i>HiMt|_eC z<7xPvW*M@#y(}vRX{U^`u2?*HP)H#_ifalnH$c4-cus%B$jI*B|5V2iDZg0vwo^TK} zdit<+elc(&HvR1*BUwb?`_4vcijW2+T+hv;%Fif_pji z@~JAKQuD#-q0lk2j74*cxyqsnTxh0UHD9){=-!|uXWjFC2QU|hycGEN&0r0xC5XR_ z_{l%<=8@TkFz={mIxARr_j=nzvdH~*fGnTAK>Uv*6(|TWLIevEn%8F$@|FZH@Flq| zsw3-JfpUMkg=3!iK>41lR+TcH71A=irXa34w8M#xyH<#^`o%212I*q@-$d5`6^l4+ zV;wbZKJeu`6?OY|)hN%Ys0nCHf}lEi>wO_z zJbZTwjRi1wRf&5~e@{FI2xr8KfAQ%5wPpHt@f_q!S`|xC6)R5U@k~URRiKg#Fu;v+ z;>IQ%DKi3kL>BYwx)s+d#KSo0qUjCXsug4-wTS!1*(~dPd@j?Ym08~H&)-R&{}e{( z!xC-3BAx)^ZS+Bi*AhgZr)%rB^<%t&VUGC(fO*UIt!pNCZg=q`{X+Axqz6H$Qh!1kwmVoRJ zY{M&zz=MZ#w)p=6Po!+kUMfrJwLQE5`AIQCBjN~&T_Oe1^^i^VnOZNh}Ye>t%Rq?ulTbEuUty!>eR%Cu4Wj>`2;CI&n4Z77_HX=4 zdB6@6+|7suny>#QG7#1tMkbJI+PE)vYc3J#Gui}m^~==9Tt>L}>&QX>3~6vHy;Lwm z*X-Y#%Mo#H9|OweaR0wDt~?ydz5S1|7L8_5$(S@|?2%$bh?r(@kbO9avQ)NX8DV5d z2Mt-qR*1nkktur;GBRj5BKvYAn#h_ZYnJ!voZt0(ulIeg>+^iR&;8uX^IXsU$M<{R z&-HwL9jODnubXvyZnT)HV7)J_IFjQwKMJj+-+BlQA5ZCD1FNr|xBxMWMB$@SUHEdq z9FJ~9syPK6$n5>;F#?NWj`}?jN>&m4Fbyn@Z0jte{ zoIw<@pM~#qO7ezi;XG%SgCOQJ%YMFXZC-cg5^N$1147h?Ja7D}`-f!6C7d-Ujsmwx zkyZ#W#3mNMgNkVuAc3D|pLc()wvh1pMpgH?u_D}gvbG{o!yPKGi9`b)GCqv?%$C84 z@bfHO%Mfc=u|^Bx!%^m{oUbF6yO2M>Purk+cb{&A_jdl9@@E`7+G;nyCIn(WZrR%d zZ~3@)!?qi%O+~kCf-d8hQICBu13uvpFyynlDN$Y0n;(&_9Y$7}ox}DH#G^`%D|+u* zY1Bk7tX~(o`En)m(u)ZPCZt?s0bH*Sw;tQN_E(QTNe`%6fsK7;zqM+WAL$=^Wjp&< zE1$$cSQ2BA_Mxq5WirmR|I+jP{R6|)7^8n#9*lWP=9k5qA%KG7kbF7qB9)V^qkmF2bSY6&#?EW>aM9ZU<2<1>%W|r)~ zv73;6>m|E>U8}HF=F~Q~{RNf2Td69$R7^=HO{t?usRP|O<*~yA4g004=)U-z40MYZ>5GhWe69eWj(XT>tDDJGB8{}13+bl#K)WR%P?dHA~08Dkyp#?xT_ zx0lDX&+35Rm4)GSD=mWf$oWrn(}>xK30WqL`9nNCA*brGhOTIZ3HzJj!p@|p^hTnJ zQT^k!4JJ+$2NZ6aUgygii%scNeSYyq!uPE$?Z8%#h!bCrvXWD}%f{9pWPTqzLSQ2!Qr3v_EkS&@_q)yw>Pb(ONJHO>~3T zOx+&AnC6JF;SHz7qMm$rfdnu`-l46f`#^ILM4r|MD@9_{f=*=C`i%{}|Go)R~rAkI8}FQEqsn3)!d#_0M+kzLr9&iE$sYNPOg zKat~8g#8j6T;{H8P`33 z0fn7LUhzdvn-8~je=L!_@G(#5)O?`!*i3W$Cv-CJM9*5m@k(*kN`z{_2~~23@7P|c zlrmM~r}PYdL)XT1KVf_$x94==WYHV;`Ws$nUd`p&Ph+3RvCA9eSzi+nHn}V=1wc#O zc6@OCQbd%f<>@-8bjshbfv#Bv*N2+LI?@Bbb3`dy)&#Md&y;cByC%na*ZhXZ6>e0; z1yDR{`N;*Q3Z@i+lDHQX0s4umF%ds6l>+&Khu4qjsbOPck)v|R7^M5JSok$Vg;s_7 zyL9`R0x}g@Xq;mC0$JpUt+p^!Yi$fB6`8f?KSZiKV^LS#667rHY}}OOUk)!m{^64k zX2fAA#q_usiqJVMq6rVRg?>8@#aDDLHSG95k=b70t7i)Mal=gdCtE2ZDa*oSQE_pK zkT%wMh++^tQ(!0tNEOEa3Ta%P(H4V@TdC#)}jD z2bbiUjw-(AnrorpX|G)FMC@k;pPd#>(eoc!+MSZ$i{YVo-g=dLBwf@h`001wMXV=X+k96WwcNK})mYbs0!QN+vPx;mEel zg=+1E3hmMspL{yR>{=9?XoCHHy5k1e(*YXME*7B#Zg(17uHuE^H8B_0iDyv)k3i4{HN(oth2M$SV2>~h_rEwBt^e3#_ zL%16C7prlSmAFHdxXB7!R|U?Z9G72STX*};XOS$!(pcpvMHk6W4SFPh1hET&?sBG~ z{lYRYdnBElo?|^4ciJaO{$iW{);TH5IVs?87&|8+os-DG@eg*Kl6swzo;fAmb4nVu zQ5n8*=jomKg6}4@?y$=2G$E3;AgNz~l*Ug=1Cy+|NKtQ-mgu7cg42sjQ<=nc9w`fB zwinTOQQ8SV4vfc5qXNC>pzosvz31MPMoykBddd>(e{1`+UoGddzBkd>DS=c>d2U8t zY%VaDfB4+&!)AYbSX#5~)9PP-pD>2jlOAZ2iInwHn6J`GmZ`-_i?{jcSkn%)@vE0C z{)lJdg0h*{y(_`aZ*_`{F(oSUlf{tV?U$(uGHm77 z0)f*;_cP)+Fk*-i>*{qL`6n)^Qp&0sX>xT&1vxNj{H$8`eYXd&-+STN?-**rFmG55 z+R(|tO~f?g-Qf(mKaI~}((#Fz$>A_AfDW-`#jB0+Z|-waiyKi=+o*Xv^phw~s+L(M zZq>+g0bRq(mL*!K!`ZUidgL=eFDTsd%+*Z5Q2vMIFgg8{5SpCo_hXI&%jQcC4}g{m zNo{k$wwijYY@Q53;0mIX8?UPwYr_kiA1mbpw6_>%Kx|oa*8wh6UQY8fe!Old%LnlR&CHXl9P(7*Z>2zZ^^fTSfM4_+BK2!y43LFgNKtj0}ni- zP!mzQucfi&7yyax{mIx>xcoLopk193H#p5%YimVDajbx8g}(M#Zd z%Fkk?w^`y!I%ukSG4C7TDgMWS*u&Idz}o#`u*|on^%#G^zl9a%Yz9z=c*Ov({xsc<15X^q?^`=_Ej72aCzzKo=J)O z&lsVk@8iF^p%*@6PN2P-5qsMzoetGq^X=|uMyBt`x}O;%-+1uD&bgu;u^q)YzcDO} zNGA>=zD3||dl3gc=I%ucD`nc~`5c}7C&i4ljVKSLUJW&CV}d}wWE98NUF%ZP_)MmdQg|r%l|>4r}ilE zmi%2li_clT$9rsONvCv&tag^_xW2Q=EehAQ7Mj*CJw51k%jr$v2e#em6XKl>V9F&2 zb8+j9a%U0lzvTZEXInP<2U^R^5Ft3u c0r%%48lX&KO86t0wzeDFww+XLRBTmj+fKz_Z0n27if!ArZ6_5cr`FngxBuc?ymR(B`e^g6 zKdobojpTdq+`@Zs|6tHyuwd|Dh+xQIs9@+|m|)moxM28TgkZ!*Bt|$OsJIgbI0q*W zFdx;44(I@fgbJou2MmHkq6G|j`Kb9dyrth3shd_W?Tyj~&9v>uStF9@b}rkeh!etVTC`Hdi6_f$GL$m+-iSMTz-kbk zZ~~-^g)^^A^#p5Mw#G=ysUM$zk9n&K-|cFys}o8}&z1=k7s?p!20qron);7>pY+&F}_lQkK z`E$K2_wepu_B96Sgn1t1QmbUf9UtDugOn_VHKB;mf$-j$RfNTILdFQDK75KW+gIOwpjyFY6`)QsgQvfVx+1n0nlmQW zoLUk)qzZA$)vIQtq?D%=%7S4hfC$8#nhRAJRSVofx?w#b@_@qESXW$a*i%|L6utnF zP_Zmie-EWbEfE9Kig$|KZE&oHL>9NaT7~cjK$_L+6OFc)E(6-gia5%7v5Ldb#U{Lq z!?z}F6hEXi2(TpFRFvq`O&W>M4}Vm5sL%ajclYB~c+<6jPul|O*OZGF4W%5M@?abB z;KM|5~OTaeBedN~;q47H-}m4sL7Y|2rg)lW>gCzaEztPxI-dNSOJ} zncz;F-H=^ue|M!Du?W*)?x*rKW|dr!nlBrjIV{yMm>*LSPtGet{abDivgQqcVL6m2LOg~6tYHK`(vpbffUh2r<8hvtj9q3 zXhnizj@VKfv&El!>W{4FlQ(pJeeni1#N0wWgf8rl9jla5_cgWa<$POof9Kb-f8<2S z?6O}s#%Z$&vd3-{1ezaE7VH8tDMA)8IYPGEK7)pD4ATTO|%mb!dN4X3qZ_p=ia+FS1B z30>-p>Ux>VXAqlg$}bEu#N`L?O$4>2 z>HVW)6D#&eDV;QNV!_N9`T8MS8Z?5%`pf6-^*~(03Twjp8m`;7br8lE+&t|V;wK=F zVN*^wiXoYY#FPO%S@8Yk==|#Xe5T?ihYs9UTRroy#}W2!W#@J{fA!JupQ)>*o#W)I z%p&m!;3!3bN2_ASaL8mpRJ6EK7*Y8P6BlR^$u1ZP#VV5=ZSV5VC-1ehhooz8?v}67f*D_E81jO zSjfv(oIC(`JkaKGW_85SjshYMMR47>o&zO}C9CenV2$*bprd@TynGRr?6BVd7bLaV z%aCkDay)dtAu?o03qVO3=BS3ulqn%plWK7Mw`bC`o+er1Vj+6?#V5IZ12G^8x{vF0 z)B-LJ(eyE$E!FJ9OZu4gne)gj2UK1g(+5AYJGR7}8=7S}TcfjPXdQ%_c9Y)Ruq2?y7>eu>DiA$Gla`Mr+!+{1)`|e}C-Bhu zxRsS7B8xzA%O)~%jmLak2nUcy@}57NwO2kPY8N-saEb_GT@7NITtz_taWgPp=<}7L zJm}f~_2|^UrF;*bO!_&-k8#FLFe#-9LT*7HrsxoJTjA3m3W|>xjaM=|z;%zuioXrP zRzkh4EB-pA&!r4is=rfN*q@VM*Rxm4d!fDsJy6l(O*)F^#(2`m5eN{2VZ(iVF-&B; z9p$INv4lh0-;OTC>rBy@yO1pKhXKV-e}DyM)d~xM)GZihay1&hL>qM!*_A!_zM!VE zpdNDy#vPB%P(_xC^uCV;Z-u!nCN>qDfv{q(-AAX6|61xd#G{^AJh{GU#dVxz0~3Y- zMfkzgg!rJ0oR)5XIso`{b@=jY*cQc;w|1-z=s2gd+cN&pCNh^wIuI?ya@)urp*b{_ znuS5HGX2NYinlArb?43{c%4NHPw|{I&!`Fqj=E3ZsjaRHNuJUcU$sxP&Cb88#3g%c zR(IgUXWMsF885V2SX?j2`WlZv?46WnxY#f*D?r5;i!84lQXcRwm01ds5o6LR5djJX zxU<8<>11(NMczQhzV(iGuP(cBD`Nxq3YIEgHXBMJa8*}3T>uU0%FM3zq0`6#b&cQ4 zFAq&Pk?*+?$wwHqn=yk3&?$glVilDe{=?c?rz;Tuyu$yM!e&zjq`*?=X|)l2CHQI0 zxQ$(8Eo3|btOHEG>i_-&K;U{@qGz4+1&{rybFyF!(uj#oWrd1>wzGp)_ zB<^;g9)B#Y7xlyw77rQXxZwuMqi30&f$k0U0KO^EhMIVc{MS#}K0{qL(7H+dg(W2P z`bF0hDMcjZPsmi#El@7V>YmG zx-JkIB)ISoX6!ymew!&b2WjAt&1kX+DcI9IC#HXVEpiZLobH@Z{*yx>G}RECnZ2ot ztFxJr-G3|xV{150ZY~mLlK)uz{BTTiX7<3_EHa3eTnwZVI50L=pb<6GhuCJ?_`|fy(mQWcbO}QWZk<2|Oo28TE-UqySGL+w?~r5s zG6Hpe;+|`kN)zN*e5rsGB%r!DFJksM55cxDk8s`4o}RxVCSPITH)8g`ol$#v8ZuOQ zg(`Ju=0}Tlaxt>3>Td!(sAKtRx5S zGHFzw3e{JU&vMJwp-QEilgC%8S|pNaElH5HnGFazqvHo0=Ga0c0{8~NG?{+WQ1U>_ z3`xLbo0CIAQR5rACR>c_jm7N2Hlu2)lE7o+8HUo#q7cF|)0tz6OOmuT z{*tLrh(+3@$eIm^0YDPb6^0_f#o+B1M&kvBnJw1tQZHx-#T4u&8a{!EOhSCo48xSu zIw}u5_71BBJhb-O20qn-nX4h%st&i?Hk)&YBT_TtMd2d+JzRdbH8o2lm?Yr{q5<1k z0uzOipbz>aGc1@B87#9G!{Lcw3I_wtPbEx@b%TTmW;1JU0I=cb1Rub0sCW>CV)uMu ziJ3Gns8F(Ib$bB({8+soPm?rDV5U3r7Rimk8EvbT`W%o`y<;JvM?%zM5J!eWs%SG_ z^;Qd5A{-p#DC>EjQ@w0q)QK2uQD6hcLzW2mXs-H~v7(ZYxJ8t*eQ1(5+3BS_*$efB z*oXI8NSHON>|BP65`C#X;|%? z@Yn9dQB>!zMqc2uYI)R&HD{Hd15rtnGtm+74~AU>c}DC=_u*s_O(zr_k2UFe`XurX zAy!HfY@7m{26I+nQ!!-SvVtMz^17@M#PcvaJm0p%`o zYw*KI7cqK<&X

5K?tcDcoH#ssfzOId(-K35#cT$w*3LuoAFnKji-gs1>L|{;W+- zTeLa>ObVzwE0Y7g$i3kPw(osBonHPv+7gX>P4}HybM$CJXaoGdjh-GI-P$w4I$3HP z*a!p!M=hrnM2Y?qg?+$$n-6?B*TM1e8LdK(M64|QRWc%z?)eaLN`5$Ey2umoTl)x# zI$GQF!HmtHO!{Odm=dh)U;2vu^5f(BVK8F>nDwj6U{b&Nbrcr~g|7r3OrL;`lp-Y=*<7ui5hPZkjw7%7iGq;vg_ z$Y2e1saiE@IR!hN3hGX*iSk(H)i;Gk$)rh_)&;4HGKFuixdK(wt4_#KYHDSE-ASkm zsLbmUKM6Dct7rSA`&GZa%k<~r{SF)Q+uS*dmv4Sp;DaNm_Y+a=L00Y9qf?WC^v=U)ktKywod}SN7!BL%#Z&! z)+OBh5q;qtVWZA^L!XCj{xLKeeJp1k;9_+71N_mWamWZKhcPY{bo1l*>Gi(D0O@xR zM}@A5ZPf}6z0L48s^d3g_UIJaS!&|}Cxa-1(mOFhu+a|Dc6`1;;^EK?(>HU)dX@!X zZ35pnLr5rq&3hx9;t49DXcH)PAvUo6x@?!R&fmn|^f`eRo0;b&W)vR0Z7tvpD48%- zV}tvY`0adcc*A>iT_Jv>6s5KUtMU9YN?aizR2V4|@R^d_yM%<^NdF`J3T~@MC3!*L+-VUdhC8u8 zeh3X#^Y=MQqx##PEsJ+k?J4Dl6^mE8YH(~v;J%xsg4N)%w((XO4G}+|vG>XfZTA-D zbM?uuTyMVSOiz_}2Tzrgsk{;ulm*)GGmJr3J6bE1*@dR_d8RuzYcp#%>(bp-rX%@> z4fYzv`;ABMj*pz>ixv9|07#2ba2U|Y0397zn@Elc&dtgKyjv!x1IW5;NT79JXxw6z z$po^b_1Qcha!0J-^DS$y->a{A<0Ocp*`Vaz)fNDHKJh?`ii7KF=+qK=`MpXk+Izm* z%PqKWsuztHvc;pO$h158qxvCocOQ<{;(VS=5HU0c@V++ip!S32^b(*tzYbY`p>;zF-SDVAO9x0l8SvH9h?@R?XoL z%oE1?Qj|u?$Fq{M!DPnkTf|X1KCN>1rCK`z6&}1XrCN%d->#vG4LOz1jaoUgT$}u^vBZ^) zE$-r(`2xlrfE_+p_i89BI?HLbS$)Uv`Rn)mPZ_DLlx4|yj!d_K)DcaVac?N-0B z%Wg$NlzfUbw9}Y_-pRT$uqbc__&9lAc4nV8>s^`;iuWJ~&4pE-+zeJ+3iz~U}{-g)N23#d&xEhF~J?gC68{L{-*=Y$wOLd-XXMc)~tlZHn1}62A4xkCP zu-4`W(Haq zZ_@s&2JrFW;-Sm1OPGFKuG25F!YU~@>RRK<`D7<*&D8oV8mOt= z2zgx!0yC=)Q1_enIWO5%TxM=L*hNRGa{=D?Hy?>GW@HmOJ_$1kDfpTWs~LoLSKRwl z;sg>z>$40Rwlocv2~ZfaUb09(wUMq6d9SpyemoKKuf&5&$p%M zkbY!>#SZ-rPb%&Eu~m_ltH)@xXWlZRx7z-w(>YY}nCYS0$ABC&QY)Ytqsu#^^8kl#2ZLo;uf)Tj-wNhvjDNv&Ch^5+$jyD^lFJwG~ zq2^qv4rjP$G_H;@Ph-7vpgtP#+k0c)p5>4wen=mCv#2Kv-p>N(KwuaFcDCID+2$SX zChu6H-W83sei!P!1oF0|9GwJ>-xffyKOSV5NkO-0<%Q7f%s{0ns(TRs!=m)ar~OtD zXDjHDRmlk_bKm>StiaVWI{mcxOTp{a{d6wkJ6w&Z4^_e<30#ZOnK(OPv@8M=kFqX2 zcP^wdx;!(7craq`EHxq00K#sR*!} z96Cg)&aJ(K;Ud4}gN-N5VAuAttX4wf#ACFlKRfbx++Mu&{Vy`oF#C;lN)l=hq*uiL z9g;{`0%u)xP5FR*=T;q9UUtBhFqfqFqiFw6ItQCby+~p9@te2e`oovA;?r zBiDeSp9r4&>vPekDrK!aHqtw3kprIIIzE&sutoMC%0^QLWs0M2t zl3K!;v8@pp2BZO#?|Vwr7}jn&OUEtDirdkT1AEYMd1VE&8WPJgUQ~diMm5evq|nPE zT$M>#r=AG3b8f}6!xx+=%cW&;d^cvx8?(u((_`P5Wia?GX4Tg#uTdB0go#m)Ah34h5(f76FE)tY&2j6z8X;uttJi}{Wst%Bx*_fL;SeZ9Yps6gX8 z(86Br9cpP%djVFEx6U^Hrmx{JlI_JY|In*dhT<`Xm zqBe+yN#it5lSK9ZImE82knz4qVlXG0{a}eK+^8pBpfGjAp9NiC+G%sUh|={W8C@BN zB7%92cYLJ8Ol7`jVzDV3x96jWFA`pQJg7S*Z^yz0p#9yJGZ^QFGS2Upy@dyr|G94dv3_YqNEs4n_}b)QMT=XN*XV z3bGMWi@1mcOW_Ttac$2rAqK9QNJUaEP>YaZ(59<})rp;)SLe%=EBMgmMDyE~`LsD! zvIhzzKb^UP7`>}9%ttIj7*W$#pTL9L7mc^2$O9Y^gD*xn?o*sjuVw{C)AlLgToi{O zg~F8#I4@*f`*oDIPozv@r%a}BINIFU2}^WU2N<8pdes)XGP?{2X#3(XIwejqE4!jb7j!fj%61gFO&~X{1UYuc*nhzWxbD8Ge zMFSwm`XqJJXlt7hI2Vkg2S4I z4Q6Z}tGVB{%-}&IXbkN!46O;GBeXP1wf21PT8e&rJVIkNta}hcCUw4Swcyc$MZ1vI zL}G+ya%GLTo}{?z*nzQZ?25k|N2uA%=2Rcg4sh(+>TTdn0>b%0kNYa%05bVMu%uRpWUYZKsp+TNO5nh*FLJxKnT zDk`W^{#%i~sFg{^SMFfymwN_QO1u7(O-8lrHezb~ZnvLeuYLtD85Y zPw0LdHIUlX<1=4Q0Ox!My870QEVgGK54HoX#;D3rAKi4XB>lZnO)eak-*9iGqV^!< zDQXAj+#wFqzm4lEf$x92Si^z1EFZQ6KIlBBnwh_HHC<}144VQ$5)VL2i1rIq>F)9I zY~_h6sdnoF_P<<7wX~F6g>@E%DYS$R=eMLYsqS;wDaOgm%BC7d1XfV#F*&K7bA--? z$1=igxdFsS{ocPy`841<^TErE_a-(M1&3Aubjt;qz%o91we4rm053hAMn$56Pkk5& zSl)-~0RaxM^Ef}0YCx!@;qR6F17gJ>Q7z|gaE*1pTQ51^uIkmA^-d0Z7SB8vxh-|i zDeC}C!L2n$x1AvGI;-|>tEa?6mPMTlodk$Hp+J!BblP>OY7$h|_hwqz7>zg+ z#{jfFsFXMV1OPv~3VWL>NF__O2`Oggd2m%dyB>=xVO!$T`wHr)u^OB*hT(cuRZ)D0 z(YO`5y!{IsekZEtBLHEG_ONkYo8hi{PyX1-Z&Xri^A+<9+&$=LrB1xU%V}nFxg`@H zrj&;pmgYKZQvY+I?|}peaRiyb<{{=Vmw#XyQ~xhd4S;L7Vp!*2^pLpu3OxKLSxI== zjBe2Ynv{T2o%i^U?%$$B^x3*UEmt11wu@S(Hz=>{UpZK{-{B5gnv9&nZdn;M&!;OZ zLuPx|zPbYCUNi}JyHdgF8znX1jAtGR<&zN!ZHeLXP)67%fh`X-P^7kpj8=)iP!jLW zt2R0>Hv#KjZH3Nh=|PBRAS%ZzCF~pZV2Lk?pL*vySxaUOxEIj$TO9d6%8DA?M=J?8 z#fdjn#mVGeO8Ca{nqaeNEDD_D9lM6?gWZ~yd*494KsBt8Yf!UPs1B21`6!p+U5P;O ztoR{d$-gfjeW3wIdj>QQe$E6r`9m^}OA^Y^hk&AQ5NZLc-#Z!@hCU@C${0M2k3n0u z5(@#uc^<~m8LNbly{S`ya&WhDyy2o^SE1qeG^;6+ks905I&-@iPoJJn5{kPJCA;X? z2q`J!NpvBzk>oeop3-cG>~M$#M}$lju1k%a`f;8H(Hr~OcF?Cd)n$K^F)1RXl!rsG zbOD{^Sd$cOw@tZ3Q%+9Ke=(w<7_CKW2+uQ;a1t3Z5UxJKZ!R4Sm?20Kw^=7R7(l+v zYxlxUZ`|I%r{5v!UoqB^f~CofoMZ2VY@BFRd+R*L7Dpqo9n#STpyl;}1l%~BxwFyI zhAKRrN!CtwZ{Wp2Pt-LE$Ob+hBOq8ktN;`r`h}At_z$}htpxv4jH?zG@0uJ|w$}mr z;9PVcC$CxqO(u!C)3}g9P?c!NMjHu(Qt!6h@xW1Rr|~=b+ZWOl*F`mTjA!1|0b1=oqw;P7AKfq*=s zHPpY!f|4wt;B=Fu-}47KC)_*_f9jmTMd4SIfA}C^LhGJ&$L7%_Xd>-dbQrOj`^P3) z&u#xUJQC+gjov|q_jE)+m3!^gp8GS*k*dx(=I%L2=4fOrJ z_yYJDDl`f&`*@Yd0}W}3%02s1jT>_Mvi4xM3N=HpJw4w_QKQCvJ{93ee`-f(v zh82|vc2p(3eX{M?vi-ECOF9$-)i?VwsqHx{rS?T#gwl$A=2z#~g}v=gYf*9>q*W$9 z%?*l%5H`c7bGxPOnX3u2?g5~8p6ZU=Edvfzd;U>z*$U1>F|F0TG#sgNL4Rhhz$Kh> z!eu|${2pvnOK1@Bn8e6+cVcn+v|H~6+zT=Ze_U)j`96f0#nR6)wa`58@nvtSrYA=A z43)8x06SF$TFGBJ13vZ#;zF+cN`qqW>+T6E#9!usG+4FLAJrg9yAuF*7fyBCc8G;@ zV;1FCUsrWM2D03n74j@h^yN*f&n_^mcX6zu zD@l<9&}t_B{%c&SwoZVo2C#RxZN5~^)P;^#8+D#%tY%}dDZ9Xg zVmuqD^ed1Wxnvk)=*|mu9U2!7N`HT&Te;bvm~qTL+EBkF1k3O@2q@bs&h9$&;538u z_gK+Q%FwKPlmZ?>&z1jJ8m`RV`Hx~WrP(HEsL5XqH(zWt8WaKsS!KlOa1&+eUj@Ea z5Xw54&GbYQI_j$Ua1IH1qc7^Uo6!U6n$$o#1+9QV<@oxS%?5{Cp%oj;>Qv0X?LF8G zJC2YDeHR`-#t31k;CnA}X6!ls?Al<%ljj>FE&H_TwhnW_vKWgn8wVQak-@>m#Va!l zsHV0CBoi-ZREPsM&T#}B*j|+qA5dG{<(ZzdY0DxCvJQ5!s}AJoC8Ph={b}hm?1>`* zr$rmvJJwd6$anmKYF$a?13iG44C^k3M>367-T*n7jsNyeyv4bR){)tvuW@dK|Ko&e zWolMi>FgJ~-nq81iOFy%u{-;3FFJB#K{y&#%wMVth;DjR$%rMd_L0ifQT)b5XG8XB zG?Pm4QCOfve`pj-_-sh{zKK15!AJrFKCm!=ds$@YEs#=3l3<`*K+t;@<}hCwq<=#G zCzO9e{U@{*Um5fl3{Y<1+&ni6u+#uu0+c80+5r8ZF#ZX%<=OzNGz*mHzy6ky53G1- zAbcVoBJeYW92$5_%c=(Kg}`R}&krb(&|9D(v74cRv-8}nVK)fa|Ag~TxGgsbc!VK9 zC0tI5Fy=(Of5QJKf)?gP!UL**iT0efD>X7R&wr<-7Os{gteh;&|Cy800rVVn+E9I0 zYIaTDMVwwZOpPEMFNbYNtPnP=S`a^WJ(fu9j3JvlN59^4M3oc>BvUhVceY4CYEIh@ z+t@^>(@7h659HKY(7?1EeAFBJ#7^WHmx_9K>c$Sw<(wdA{?WhNb`TROPoncsdO0uw z&5JHHn({z#N$Rf$u5$q`U{7&ds{9i5AUjO1uQPECJ^DF?)gv7AAlcYwoE7!Ne@a{~ zyv2EtKh}~bEvObMHtE*iQ>Gewv zl@22xP5v-AvaJ9a75Nup(Vf2_rR1KeaV#wIn%EFmxloA=nEB`;K&)(x0@^4*&KY&x zITG4m!-)zuxspf#^nvC(ng0$W$@%!QHVCW}Zn(TpUiG$#u>tz{E;bjT1A+|0M`zMw zOVl?VT={*yBv0N*D#ZM*30EM%k(MArhzf4W($-7D!S>f0xCV;QlGtajd4{2jJdW(1 z=s7ySD6__GiPYc@fK-D&x~5mPAUFbQlmaKVgsu^RC57ygwq$WI$NEpu9cZ5_a*8E} zis&%L+`t)~sWCGLSK6HFlvBa1-;WdlSE&(2c~#C2#Ilg;KrOLT`kyAQ9yFby25B!6 z*63;(&?eRmI09tOM%+SaVn-ct(1q}#Tv@~=0SaZ{(Gi}^fKo8poLxJak&!?B__*uI zhqTacGRI-&`*ZzDG#b7cmzQ!Sr9Hk`>1WqRM?Ng+Ih&nYjXN_tdSAa;eR+G{%-o+c z=$EtQ0U1OJ&-zhyy;svz3kPdM{hde!>U;T1>Z>TR_EwK+gC)D9O*ZcClaGUP$j7BF zY+GCauXn#M0I;yD6u_yNBXqpp8K-87A7_tK5h?afu}%&)H|h)yMr9Wc}|n&Fv;iA!a2LZC|LA>JYES zu*jZXGtq=ObLV`oKEp1$kyaF9N0~uu?iaCcE$p!<0C9w!I zQm4=s;9uAC{&C9^y`RD4f21BI1g$w2$QBJX05pX^{R<~avmH9c{Ij#IjR+&uLzarR zSPK+iq8=9_x;8K4DZp&D6-}iX>CiT0f0>IjkNfj|jJ!3}vLRrv1a6sH+-@7I|EGlT z`r%|<`q#${Af1yUjl2?`++e#C0BBZM1s0$JSg;oC$un8|ky_u?>uVX<+U-ms^)=&f zd}wV-ydRz)Dw5((u-VX4YY4KLuo^044}gN-Z&pr@_1p%N(LPIc4Qv7eKhfB+Pb5mb zJ0x;;cTC@G{n{+Oe4*@PC`!8iP9|AATO3Dtz6LQ7=uI&xm@{LU?*Pn8N*fg6UEEgy z;IY#?(^UT6ZE1Rp)d{2=K8^QJAIIm$?pyQC%TLCCCpsQV9~4VGB?5Oy%~|$@cm1d0 zrfZlX5~o@*9J<|N#?rr0(9jpmD!P7jRaKcOx7z}9dy`#XH=a2k-IsE`ItZR^X?8-H zpvyRt#K}oYtrLpsAq-`8bG0t7k=v&MqQ7QQDbQA3QpPwIv(ghxZWf+w&rXS%LSw|7 zq!SJ8yfpk*G*Uqcmb;=Gk4VRwF=KI2kjP!+)pX!+@l$ufRlO{SC8`IVr{E+(v>b2a z<+=5<5i(pPP)4G&Eg;E5Ed`vX<(WgLc*rfi;fDz!>-FFxdr*faga=Sk|3JwBB6qQC zDI<}W8;U~Y*WUTjV2)dm*r&+f%Bis0Dj{CNL`UbIXmW0@kUP|6E5JMk59jN@jkVD3 zDL2wa=)nyCdcc$Q5u$OvK?~{bbhZsw+#Ca!b>h>6-N=wKe>0B`R-L4R{=5-r_z{ng zaMz4fiIx~b#%WQ4DfL&gu;O+FfY-7$B`oZUc_}S?`dNA6o5`|;iuqz((ZM?Lqyq;X z%zt}bWhwv_OSS>~O;jXJ7B#qDKHoyS_*_qAa9u~03y9{Z89ZN=*=WnkR_Xb1crmITY0gkml1li#y;2ksxWH zu$Z#1_|uCq^g)oDE)xqVBK134spCelz4%K3;r^O9s2sE87F!D)6-8U1g$kGUKk!_v zOOy3u;Mm_+&c#YtCXsOf9H7H@VH^<#*@#l9M&Pb2W9PW4HRJDtM|U&w5;e7QQs?C6 z$LDe+TZ`&*;p<7`e^s@N^;L|}d_wX{u=e`51xVY<`!OJx-pMpKLZE_K>_qQd?(!4- zt!c@Cfz{2$9f3k&>S1(C_-m_m-cG+Rt0(m~uAa!EF@e5sXDXl#AilU!?`)qKyH2iO zM}0l%$EyE>0SGTFeov`-3`+U24XNq;2eaioi|!FlP4lzMrD?WJ`&9RbYc&=hbyRl6 z&`CD*l zJEMcC0&b%B33FyKkV7h1)GWQVpRp~4!bMv#L;teN3lL35(!En`?IW@d`5Bye1unTd z(h+p6Z(216^k-N6QD{>jYF)+s z+X@xVdk45dzmx1f9Kf}o4D&ByiaOLJOB~R@0m_qz8%ZL9GaQmH0)*4$nDK zU>f7m57FSw{4!=nm!b`fP?+;APw(nN#pWX z7r?iQgv0}Wp50S-f$hQf8Iq?rW^zKQ^vD0ggBSmtc94=`ZB<&$RJ{w>nspp}Gh!IZ zssmX9vc>hMKNlsEM#Su|w=0LlEQgy&cQBzeQ|9-I;3YqHZX?%Bi*6T(v`<}VagKGM zk3zG5Y5A{9c7!o5ps5TPS%BrR_sW+4BJ7MS&|w86a*NY1hXHSbxiF->F!lE*()-1v zD3pVmq;f&NzNmVY7v%kW^a-y>3}3~1^}>IdF=G=bv%Sz95|YWtoC|mr=oquQ$#}Sj zhi8WegGtx)%ZimXyDo-1g)}KChRbaWiD|Nsuo77kX;e8#SnY*B|gfD5Ak!@+?LAEbW>n%hGBtHi-)A z3N7%#nE$wP%f81T<27uVy*CGAgvr7Hd8YwpN7hCz(&!p&4!Q#P#>?|wCwQ;P#t$Q2xs+HqgTp4-$)?2n%Ps>-ba0Uc$K5L?L5NU8?@x4LXzCizVT zME@kg_@ACUQxw&9o57oCLZS5qiHhpN4y0()2zrW7I6>8wouT5_08qsfK(Pl zyGKdzmNj5A#nA%~^N zipx1u%!<_DoHHIwqop5Co1&LBxt$hNG0I}jjLE7=)ML%X4Q^`l^6@ZMw|F^NL1ETO zVg6v(B3avftf|3fP#zG4B)MI&F_d88p?91@|HOrEUZSGwpWlG2X7dH>k=8JPL1F<2 zV>x7{Xjj`fH-{~9f#Kz0MH1G)<@xOoB17E6=7Gi&M23E=`n#4;Gv<&Z+wvmVv6IE% z=_CfF`VdlYoiHvddm5d_qLQYbMB#~;QSX9)n15^zLz#?l8>?nTP!d6=ARPIy^*6bz zZLY{WSnT8<4L z3tTXMUY~nPn6Xg8$4!Kg%E|@-M>ptRsEQFf8zLC zLOn3pqspHims{O9*(6gh<%vJgpd(+C;nh5Mk&ElzogX&F&#Ab*eW(C61_1@=;yY0g zL~qsL^d@d!Kg(5SKFbQRz!NCzq$N2Y1D)*t!qw^QVFvB%O`!IE;#Lq}wL2+<6!mRd z;Xb4Ua=SKF`L8dtqZ3V_W0MY*BNM?>7Eh;mz#tAKZ{Hf|M7GDkNF=rCoq4I3%z9*nluRpsEz<75WrPm|KLz@w2Wg93pV|GeC9lqA3sbkchu>J%q!OCJU)IOo5(7iMRm zX}OXi6&C#00Q&ETMkMJfBhZMI9iv6Gjr0Qy^c5)7N%{aV-(QXXEvCKSW&PM}rQy*= zac9R?X=TV^J*iO1G5iW=nu+w@(p?C>p#B?X9zizGPTej|N+Bea=%R#tLD+R+v7`fe zQjAsKoG8s+W&6EFwPEg}dE@OpSB9ky!X_?iwwep2qa3VFV27jxobieoDgL*|sR8K3 z!EHpRsYnRmwyC@ICuSqR$+;>|9;wuVqm2_9N>_$ZbU_2xF*2=hX+K4%Kk3uiukf&w^k5Su+$?06AxI^a?**wrp(PdFO9C^3;FsfTkE2TqB z22Blm90jWlClGp&^lr@bXTcCYDtkM&o@u@1e}rWM39EURBa+p@rD59CsVe6`VDy<| zJoE)jU!xUFn((2?7g(*&1H(N7OuJb-x_IDRgtLb1@oh@;-{~P#uKxt53T~DZM!LiW z8?~3ZM(69`b_x@|%Vh6_D|wh>Ijg)mL$B0i(=kas4LD7MKE=a)5mlo6LEcWq4GNP> zJGT0vhh*p-1F{Jul2$}xD6`q0&Fqz%Pum9YnFBWJQN*kJ&pgLTTvCk7_g&xOz+Z6b zz{?^Mo6qhMc#-y3xk)xwyPTsF)-m`kNh;hCFOvFHvTn(O5@voXp^vmAxW6AmOSViD z@+oJiu;^e55sgPv1dW>R33Sj~C zqf&X1_Ov`?%~7DPswFW9yNyw9cmxN%sbFqyv#}4+nWvJ(EEzt%c5H{s_((+IF^4QZ%bk>|Nk$caI^m>?*H!+imE3N>7E4($c#Wq13d2~<@?vqrRpQ)rQ&A( zUu68l1NZ--|Lp%m3HSd)3HSd)3HN_dg3&V4N4f(G%=-^FrYA^+K*4za zmm=I#q!yq+npslXmeFZaBhddL2=*`XRSs}&p8sWt8WfqT(0`i9N-VT33ld~2Jm6g1 z|JN91`G1XJ9%de(Q9m>dU`ty|1z#G?Z>6TJrO6~n*=&nLm^;;^c8%GjfA{GU%?qU< zripw}yPU)5`~9u;WJ@nw?McmCU0;usCSMGOViZTWY4+!?b+Fo|eF&R= zuvGs$MYxbCo(6s3Lw_J6tKK4+_67{<3`>Cl#@H?2NF%>tFGQ*k;0$stI5iy0p_LL2 zZhH?3ouXJ=O2%?%feI}Hj6(#Bv5?t{RYWHUHxY9kR9{v26fS8X#swq5Q8Ph!w#7(`W70>?r~)Z-{%1&T>-b`vaPmjyf3&LcX!P0m%bF|t+q zv|3uzId9G|+r1kVFt>0HVT>pj&0~#l&H%ND$~8%E7xH8oo3_fjQZUy)JjyP;8r)a# z)K44NmW5VLu!dUH&j1Y;9k!O;hbV>{(93hokF(b~Fp837@+!{XDkhf{yt26^`ls(6 zaqtfY2N@P_`Edusf2Xb}ZQs3g@)MHiJ|6H@GD8tTM%!Fo zb7q_vK&M+?KfQa-S?0trMcu#JwR}q!w&fz%)bByws4iJ|TM=;~GfGKi-mY49&+vw{ z`rk`C%6cavtl^RSwvn3t0#)@k{;k12Blh6tmlJiI5Abl>ngH-DLo*FkXdr$pgY}ES zb}I@)BpMD-p%DMw&RvP<_m=(zuq%{HZ4%R-$x=eYH=>MOh@*B3^jDWfBwUb@4*6k% zDUECc(v&b(X+FI6TGO;Ig*Rz{nGv37%CeF>G_T@1^|$QoaTW zLBIAmjUII@ygdv^YwRQaZuF_w;fVIfK ziv9>E7;~(&{r|D`PQjfw!P|F?jcwa@HrUvHhS-aRBHvt{?rwF5R-I zc5aZyy?oz0{sMDUB0SkR~d1YDyhVpM?dspl&p z&5|rCA(%R#m8O5cZ$rHlE?2R7j@`rE9l-}X5FAju+>U(i4xY}l(I&^gL7s3*0@|C& z5eW2fhv|E>S*LS@**2>PhDt+z-BFK)Ulm0=MEvcHpWdIcAn^3JSrap?C_QhQ9z19z zG|%!g5B^Z@6%R2aDoW%#MkIGOjWd&cB0SI(NcG&Pp!i;m zBi~ydNiCvL{jQVQVQ9DUh-@2V!kGx38|CM`qdm?0Kez+PrCe^zBFp;@RYph@|A*yB$(%s9l@>CRH*TV~k~$G+j1#&VIJo)dW2naceY{jzPMJ0gLX40h%#vh|cUY^-&~Gi{zA=lKa_2&f& z4XVsznp!+9FC$#?l}%g*{+)~JqrSjSf-gfLl(~dep{b-4H;j>3F+##AXB6O@&X+*J}T$8t`S-j-fSR8%-ygd+V{h(Kax=# zRy*iQzuH%Ac78?u^7*Or@j1*yCGWZRV|EYox06-B$aI0j^r__r@>C%t^`2a$w;SXFV4)pJ4z>Ci{p4crkDp zoyI=m>E+1~*vMQfqZ#k0%YLjR2Fv*p3j#aV0DBTfVLwOK7Jbf_XrZ~Z=*7I~xgqP? z_j3uzp1fNc3Zc2^K{QzT{otx@&NK9`)UqA6Wwo@S!uCdQcy4e2!gF~dlCp0v#c6ss zyyXlKWog^_T8is@N3r*w$PeaEK`^Bl7ik*)BkrB8gdt-%nK85gc_|Hb5 za;wjaG_^?mA*1s?{sX*vxJ_wPytzVAt|1u)jo# zDLtapy9HhZ6Xwgu^7<`hYrp-xdr^t{B-Lq6s?GSZ-=&-5JnFCzAKEM5UTtjrml()JbgO)jL&N@lC$>-bi+GJ*^4?LY`g10@Bf};uD=sW-sF&p3oX) z(|qG>>R8+7-D#g-VlQt4ICFb=S?Y-VimcRb#Q(b{fskFnxmCW3FdslWtiE*n8%0nChDodiTmUO+u75j{A z3R%%*gg`gztn#s0!WmHJh?Q>-Lsqi-^|r#0OOgJ*-)_k8nWAR>pD5~&)CeT0#ij-k zDyJzBoG__KhS;X%g%)-Z!434aRPke&+p^sx1IpDLGBZds;6TvdDVwd%QLxAue^92+ z!iWgGJF&fF+wrA&FS!eW@xwz17lcnXXev0m6mXCG1ERJ5vVKegfl!6K<9XfkIKQM3Wkzv%EzI zgJvC41E6w8NSB~xx}qt+LB*brQNHud5ShNb>Nh`Hg{LY&MYUd05Ll$C+FKBhe$G=8 z$lFwdUMq4tFB|IpgG_u$ReELmb4`O|_iIw(lYCO5hY}Ul*OK_#%i5)Pk~&9Q#FH#j z+11vRc%Na@FC;59&fjzIB6Ri|M}EnPPbAtRP@^bT&!MO=euo$0Vrx?D8_GILv6=lD zblUi{mNq&Zus~o$M7YAX)pIu0)lh3`Vy`8Q)j2EWmy0+vb@BlVOvTZg*KB@=Ur4Gi zY-QhA7gkJSX9O7)X6R@n)-ZT6TK8fUR5g}XmLzF3tWa<1P6{goQN5p275p7WpLKE2 zL_qowMObJQJgB(GM%XZ+W@cQlKB1f5RVAXyJ0PY4*rm5papdGG8p6#PNjPSDO_i=! zz6oVQzJ5o#H6_VrSyHnY4TCD;Kfwxck#-x_JGmcpX`i!=kreofJ9OZ}@>DTZJCUFm zQ*;wHx8F$7`1@3G;3AZZUB+SJ(IXkDQ80zcSx~X%9Gh?R6Wj|SKl%TCV?h1)v;fse z@nS=Au(SWyR!9eE>%{Ceqxn2mO^f*si|8?h^VcW|)NKTeSjJ9N!s-}XlFXxTSW1R~ z;#=oFg*3|Z7;OdlVuv^pwasrz@5;y>9)&+C+lNdrJYx)xJJte4{h6MI8UvGkg`d1{ zm|KPIH_v&R9={(-{ED@RyMBOm(1KDqx6r{2{Iz>wdzAo?`~cQIPr8;DUhCy%$#KQuxA6mFl&7!xDf{Y;2H(!{(Ke^x&%!ue~uhi z&K6191qq{;oZF-|ZXM2Q6)qTT{f%L9$&rhD>*$E7JxC>oqZli?{)skBd&8azrGo$K z729K(1nV5gl=N9h>=T*zuFTbd+>tvdJs0?SY2SwNQ3aS^8Xr631}UvZs;!{zTo?%{ z@6~Teq;9376~b*go|dXS65VGtu^QeAbix4T}4r ztS+g|5ATpNNeg~1^go1JYLXkJSSQZ3W*m`7A45i)s5_~JwB$>t@H(mrN~>C~zCRH@z0#2OT6bIKjH$$KJ?0_NGD$@4ba;@E zP=)|vrC)`_+KAdA>~e;@_z`H3Ormv+2hBmw4nsEwuT3Q1bl;LdlV^9dwEeOu#-(S2 zN)f!$67qE)Lz}1Fr3KBhim9^0lQD>}a~IM5rpp96M?IAFFQ6=D@fRKfnbRQ{p+N5yx&9~6 zvP(=#Ho~EbBOyyzd!={b%d#8_UP_(F_AUYw;LAdS?<$tRS3~&SkJu8uO7H&6S)6jhpZ5cgV4z8Cw52RgFJ&enn6ilRO8SSL@z0){nmXQWOqFe z8~wxBtNsV%^68C-Wue|UY&(zO%8m~3T?IdvBA~u+TlwiyPt!NMND@VA=q-|pwiwCL z2_D7z5684joCkcMeFhGt>II@b1~M(4og)$*_A4AboJu)&?M#}k-B>^D*Tlo1)jsP& zn+!NQv*U;0W+i3&SE{*hW^qM1L-4#eQS`RD@4kw6wYmp{5Hf|?q_Rgh3~ZUeJ}CkP zPBVm;iP$Serwbe>mz4@PQqaQ@E4-~MZBZ~h&BP=Eg@0La-!ZBmULwL@cUJJb!J6{x zYYK`W=)J$SmeQ)lzf6&f1v0XqX)`A(5XMAFL_)||>V%{!;&U1_V~ylTN+nv5|1Q|e z(JP$Dc0+M~0VQS917 z(Lo4r!z*|)UsZxxticN8H$c&guADB5Qz9IbexZ6viR#CZp*&D^XSwtW6XN{ZzMn|# zlc!O?UV>RwVN}LLP5R(_`RG)cpKl!p@KE6}a={l45SjT*7e#1;ocZkm5z$5VFrj=E zo26rxMQrgjF=Ql7k$LSJ=ZIXEBaSNQ5L*BG?G&Mq(!a3xuLZQ&^#Q^o;DTPBzfY2Q zjc@w7`O@~BwPUB8R?EHcFFc!E7v#C72&p4SLN>m+_UL{RvOB3jk;~&)a3^P*0X7wn z#zU77e$kx)o#wF_{$5@W;;Z?6ih$ghrO=pqd$J2y^Cb#ldLqbn+|FNzqB$WL~(!qXul9J zKXgiSg5PuCzD7bL2A@{qz)bv$hq>pAAjM3b$d{=6-S|UZ^;66tF=8?Pv55!shx(GD zgB-{(M_wcX=i>*9O1ZhrEvX`}s-iEd%_L@Mn>yr?OV_Ve>evaFz8+(;dF6nz<#9iP zc+VGAx8srwJdf2L;O~Vyr;on2AHxhmQwbM#J?CDvpGQ#|kNcy%9_5^Mk@`jLbgi+m z%pUHTuLSxi9Xys+JuX(+_`U}%^Q`3L_dZSKpsUgwMT6u6rc_pXW~TG_RYaJ^m(brq z<5X$q~qRzWi`^ zrpy>4ngkDW1duB{JKgj11tb4lX0VtzHop>XV1MT^fK3YE+8m_iUda)yI|s70r>9Gy zxcU^z@QeZ(B%Zo5SN;gllOaeMl-le`x!8XG4Gd?M|1XLYZtxqrh(j<1A}qy?o@aIEuKP zH?ozKNPzyAD^K?W$cwSfdBO&#WAY+jz71rO{zRw68c^@m6ZzUUBuUOC9Lkzx1H6NL}yI@+1#z|~4%jSHH{`6!= z8yF~Wdq2$X&p_n-e^aRcGAKSaUeFB`6=u^@5;-_HgfDN?YclyHG%niK9PIy(ngdir z%Z>rb!O8)O`%Xm<=;%1F52E=TRV_CekL|-bZ~x3MrE~&M z0n$XK2AB?`U(b7tNUsB?j)IlN1uP6~VxQWTopihLB>$vl@sZXNQ$w2PyAmRVd~cjG zNt3Kf#^I+2X+naouTtbtDTwFl#A{wHhhFRC5-#Ml%9aUl2>RqKR(5S5>&c;af{2AM zj~gZ>=e9$r?ltdUmvy&Z-M-gamxujKAvs-Z1b&=8B!^_5I(fg`bh$CvJI@dZD|(Mf zMG`duPllc>CzCO6ANfW%=dZkBfcM>yob`Q)R_kyRR>qg13d^)Hx1iT6H2t(9dRPUr z9)qR5+g?5*q&=uwX(s{XxM-(~U&>98vDy?yyyy1K1`6p&XRJs&#eQDHjE!rXfH<`T z(9Vk|rGRr;{A=}*1QZq_@*pzs7KHKz6Y*d$n;MzC{L-5X{WV84NMKjEZqyw5&Pd^F zhfnmrPCDuyi_h=CCljT;XFP)S!q{EL)^D>n`Rxjd4$jfeba2OuEOB4!QP1xMmBJ<4 z>^=8W+6=nrhS<&(^S-d?dD#dd&IMaZ013+Z1{%AznN#S}F_f{G^mUBBn=#%i$myQA z)(B)8E952gugzPhI)vpl=7ag1w$`jUCY73tL>GQ^pBzCH!h$jl-cf+JsGsQ91rqm&JZQtzTDMWl0+vIu zaXS0f*gO^z|q6B9=h4)6{_GD(hFOxE^7C%>4UR`-;8)68$&JFK5Z6IZ}F$gC& zEO$nKL?^JVuffbM?SS@=mQOCX-;S(Jo|#T*^t=hGUTA z)I&s$z`N(SiJU2X{Zbm3o34fS#b;^v3JVx=63i%GQ2N|=?@d)9=AlnIMTtV=A&cNJ zfZaVzO)x0rYb06^mKSid+#Hs&xSV|A!B%wL-alr`k*7%)^9W5>dWex)fR6}NVH4o{ z$*U5E)s718Uk5Ay#V5g!BA~^utLNRF5Gr=}T7T%G!5G>*OWDTXuWz`Np)yiSD@R;} znT`%|XTrm5WY46OUO6HiUMa5G%ozUH?{!&g3x_AB1i{(!f@xo*XguJ3Z$#5hr5N>F zF`m&EKHjC#H1jxfd{eG4z@Sob2=s>Lhs;+o0FWs&v>ix-;;10-r{KeOy71EIQh_bGP8?Pu!Y|I=e63bN$4(QCSadP@7Cl zd0W%YSsW59od}taH8PW_s~9A)&z%=-VMszndOk1r10%>^Roj&efuLpH*>Fn8E4rJx zQbIZPRdRpF84AJFJTPoWW-Iyb7(c8xY3A@wZn2^4GW)s{-FYYe5=;y-2Z=Q!lI+Kb z-PAJIuh;WFjOlWW5e$tM8+YL*8wyc5PiS(Jeq9N%)>hd$!=y6N3b{rRF&hvMzG`uT z!8>z8lT*T@DY!Z&z!L1Yl8a%SWV2=uH{WDPiBqo54x**gMBD4AItm0X&6Zw4?lv$5 zXj&#xVkk=MwYy@_;=yw#abiTK*`fO=yFIi znjzxfurE&Cxtn9Pw%3U$P=Yf0B6+l^Bzb(BuyKRkGvBX1fwVW$)7W5CS%1{21cuL= zN=n>Rm`O>-d@fGiunqtV%p+X`vA3o1oc5^@sO-Il?NM!^w4_G%_c44`p6q^6D^x#55f5^)38ByZM+6_$dq`0|5t7BbirHbiV7;+C_n@33!r962^6 zRN?(an$=1h&@+F)?vBQ|gEo-3qtaVf1Z8JDhZ*109&eTciH3Cu07H?5 zicN9`#w(*n*+%K#H;L6^-W@6#zefejd>w@$(Ch!Oh!T!**t8+(b}sQKmxsP`1O{wl zk^Sks7*pZC*q*^8OWW`n#t5EwbVh z(Mgzg#anTnFW)6Ds6K6=1bO@{$G(WEInCU}HP6(#Y+|JjM3Bj3=;GDTx|c@et81eQ z)xk*S%PCQ*Dt%pIjw3HH1D*Ep$f14Nc!8G>G%8X#?)-)dY7wQ=r_WmY6RZIp|4o@W z5dRIEm(WAvtcPL-mU&eK_GkOeHerMh7=bTO-*s?)Q=P(%3XhtejN(rCk4Dy9{_9N0 zMBWkpCK36Cr3`c8KTod?ZCa5?!TJ|i@|62GBqO)+!^KbA&zE$vHtx7QxNyh@jsjg( zfcMyg`cDkrJ+#x*Wu{+b*6uYph@L=C&wBlh^;B84WOZDm-eO+)RLkpk17`?IY9rYW zXDP|6^vc9JID>-%*e{lYI!QRabK#U7M3A>JWJ8W*igNz-82ezg;>dc7=^gy(818A} zV(uKZSFFy(3F2j)$)1a(5>@|Pg#I+Q0tz_DP(TkCVpH8|OLE+J#*QtKMdRS$DF35G zY;{22s|9~PUm5R%kP1>hd;@gJ59X0F6K@n!ZOvrWs$z#|&MmbW5{8bY%?g3MnSS{0FqcvUNZe6&!~bfpyH4wXCoRFT{;kp>+glyC8_Sb z!;VSHgNqE)H~EklsOlRl9;vF5!SqU+Hu+G&0)i02bR%10BBuj@a^lZG$i? zqGZX8=fgBoC%bf|`L35Wu@;F8Lr02*{hX856Uw?z! zBYN*ov?+hTUv$C~7AT8=c*C4y1ia0+7j39~Uu52wuP$`#PSMbd7vNj6c=GDdMN{kK z(Xyb%DOwk-wg1TkFtjPjSCAjQKO zg)O^pNMiI~j22GC8A5dJRFl#iG-3NH$BQZJLIOio`zCtOR5J7JB`5}QwPf*~!^o`q znWJ|T1fi&$?;~FBrtrOtp=1BH_=iSv2+)HfA0YzW=wqnxZ?lh}sKhA(F!Kuaq<03d z3ACB!atE$q*AY4!)E5G&5t^aDOHqy7A(tp14uWMN6Q7%D>BB>!~ z2Z!Kd`(L1#S1tKJuETTppXML?Aq)=5$MwH)Foy7_+sCt`so_`x=WzLg&vnhRl}&L7HU13pXVS` zM6SvC{W_G(Tq>ESO_bZ3NLBK%yvC%J@G}1D{d6O&f2W6gW*<}P8DpdEf*sntSo4`I zu&5#IIQ78siS0U}@D4U==%QE2T-4`z3j7tZHc2Hs?!(h_>G3`Vi!8qACIlr@8N21C)-x(I z+@F7enhbaQT2Ig%TiIO4G4Wcs*|Su#N(Gb17M8iXf~HraHv|@uO(HyQ4vUj(4$!Dz z?<#uWXiv1|Ol|d2wzqZ$k~FxjPFI;b0)m9w43BH}A_v`#df&9{X`=c^z2!C<8*LY> zZpRK@0vcf#+mY*QTM{iIacP z94{^FH2nk3BmQx`j<*aJ|8wWQ5jgGoJ6qdioQN`c%Fi}DiA}1zROG0+1X1duztnbS zW&5F<)QEzMZs~)Q!~Gb~i{ujjyQpzM=P-b{;%c2_*lDfyTLA-6n#dReX>OhrZO>jK zwv`Q>d2Ta}(~_8L@x|PxD?gt!gR(5sl#ok0)Gx?$Odk~^W*6%>NR6f(W#C#Z57yqc zy^Y5E`Uet`-yImQPGt=P%qFv$wPdR(eUBxEB6H*M5kX*Y?3GQXWoUOHh9gUkzDUORQ|s!snxH_x4h1+w@h@uQM?Tff-s;N!<25`1t{{eRy!>CdX?dd!$G9-f20qv=MtgRDSwM&Eawp!f|bCD(8HFp zWy2U#fD`{3C<^HaEhj6;FwfkdtZ)RM&k#TD=q4b%cY5VaQ zvL~{Mobk?OFhG%{;dJ`l&Yv?$$H&Ha_{d*GzcB*W&HLB;9N_MY8`-*oTP>3jyaD~D zI>JrKPT|vQAQ7*imAjomJ*+THhMk2)9+}ci&!%)gpXA5aALq_?#_j2x#H>Ig8=%hD zJL{W-BDH;q-7Odq>gY?3Qf2^A%n@#7cSV>f(LV|CEkz|C4J7F#!h#9AfDLQ%Q)0;( zX@$XMMTPgn6`+tr;M%3L>l>)3U?@3#@}2yCs-~yrgj$$pp}IB&NikbPCd^Dg3(ggq z&I`9vD}a_UkLs7ycrq~2I*OhH-XW*8p^{~?ZP|U+d61)=5PiS+d{oL3<1IX>N=V;v zj&q;jqayHIfiMzsnpgnt{p80!2Vs8}996I*jS2;eD1ag+30A9Mz9>x*(P3=n*PCk# zYxzLS*z0w?R)4-+O!~q5?ZkdWh+-W-XmYt}S?^;Pf4wU)ooSoe$$)m^n=Y+M!6+UH zVYjOV68#-v^Pmp;ehDw?P8b%uVxBlEQA2ywxRs`?Jr3srKUKdzY7iGk#~wD$K`)Jg z!C!Vi1t6=Hf8LvCrb13Im-s{FyezmwK5?X?S89v6ZI)jxkI3$ibe2c$sg%6{w@Qu% z{{ZWL08qV4A!@&n=mb zP%Dbl&Y>yEv02Q@Yc2615Sd-3zJrmw9zzbZ@%*s&4cP$`i9Bp@KUuWx_rCVJ3AvH_;1ZJ#{{Gh{!${?n~Z?U6NA3!abA)<_7^8d=;U&@ zSOT+KX1bTmf*Iulx*t=!sp;GZQ8BfG(tyIbM;Pu;npiqe2!XMr4o(2B*A7@IC|{u! z-y*MUomFgN{Fhn})?Oi>a{O*CsQvlGrPz7s{n;aUpHdAgl~Xw`W7mfx*WB#F?Q)Xi zbCP?%P$>sR`Qeiv0R)gx+_RyxwqGJcP(cwtPw)Da2@Fh=1S2}X9XvU>TT-TD?Fe34$_;_afK>TL+7wY)aPgB%!&!W5i$uLkzk$j z*t9_wbTHvdtslZQx(m4p&uFm^0G6K8rro*~LZCg&g_KYKT@*7yXlR**JgUx}w5BGJ zi142t(Py;V{7zYsRpNEVgb;ri+!5(0a4dShT^ua92Y!u*9oz~geak}l;jT060_8*2 zO+MER=92gC3aeb2{WcGAwKkrpD7XY^i!nCrVJ~_>h~D**uGA;9M$@{V&jqWxL|jj z-Y%T~_Vyu}tZ#G<u60QGTv*!15B5&+D6(%9EJe!l1_dQErahWO`M^B0Xyt^U=p<7C z=_=~QQ@AWW(G56F5iH>s@R~DRni1tfwr1TvtU3^3@dn%9o$k`lUf0KZh_CgtP!jLg zM~PQcifTAtGOJ(H;U*vJhP!tZ$O#;faXrxw$5dQ_bBAnj#dy4byh~uGReQ>a$Uvo~3>86mia&JMkoHZjr;`b7oh^Ue zvi;Q=g6~A)ZM1>D@~;9Z{iFpGc&Rdu-}Z<`H#M^TkvH{m=933 zC!QKNtzA|yeS`wHd=x4B-elY`pF6*I)1`z}R_wDp*qC632$?L79bx{p^QDvpdkFx| zyt87=cvI$OTal04Absq4eQ`kl=-Co;xqcoy?=y9~X_=0r$EZk(#CD(gl~l|)t4o{aoQTvJ6<>2e^tkxKYs-`wri&AzsplP7K&NlL^Ww}-o=(UdCB zm?Eu`XeoTv;y0X_;!x?Adu@}hLw0$cY6Y$wf4X=Rz%1I%F~RbxtwFe;KnsH+=X7A>$Bbw)Q^ zPVf%xq+Lfdk_FD}2rHH1SGClZ0c+eNp+!=qhy~L`8hqUYv+AOsdea@1HisT!IO@O> z4&KdSU!XCW(@z2aBz?`S6ex|7TP$5xFpnjs0?EAYiDk3(Nblpa&Bl$lpTS`p0heg; z{moATu3z3=IP8Xqwu@`^eG-^|DDpe#yMKTC@W&K!EH>Z(vFXcw~~j?6ECA_4*TVUZ;20oGn*82Ghvy95Gw0Y zK>&4{Hdv)ANNYIka9a*0?=?)X1O|!1?bkQL!GA=7KRPS$2cn%9s(TeU>=Q6n699qX=*<@d!N8}V5|LzPZCj`uTWIqW9bmqaNp>F$--M6D^Y zj+0LDm5;dfN2x4RF!to?OgWg777(zlPy%QF>~yv(J49j&e7lFJ!eK zEdIM=cUvl}P9Gz?Km>?@QT%|JS+Aly-;6y|-nUnbk4oid)Wjv5VBN_+n^2EMordvD zb2Gj^zz^wOopWAbya1eLjT|Z!aW+?m=i68IM%{i(2VYrwlmzZuWMNX_Ji?y}*n$w} zIkaQV{n9VI-`HR4Q{KJY%28MaVM7+rQb}MRAxv-jR*vl-Vt|vsJ0NO06K<^(H;bgu zJP0P8yfPQ5gIQs|FSy@i$#z*bCcgwlv%p^Af`?s2(6W}4m+zMObcp(+J&NtwI;zN9 zgV8xlqkU%JAC}6i>RezH5Y7&9#hxm=DLI=NdK7x*RY$|hs*c4<6820jlbG22?MYGM z`tndsjjBb60tbj2#VD-B&yVIzKZ5;W^=D^)z`N>tiig$MO%V!?jop?fs)io}&ys~u zBYKvJsnPLbPCB?bASy1H2@ZTuS#)IO>H#^q$-toCq{?>3u9oQH& z#o!gciRC&dMhG2v2hH0ryn`NRd>q&qsL`E%SOwY_^7LPb9{JMvk8a#PGX3Kaos6U9 zMlFRgXyuO+9r+IEhmqemq~A21yw1V`ZQ(2kEde1Hk%(UaVO&*2H15v%`&V0`;{Q^6Bz)wmj z$AGQ<+%s=wM@`t-`GO)I#Hvw@f)THa~E;F|&2+5*oU4ku-OB3g-e@ z(9$b?zIyj?aQ0bpb29tJB#++D*O?mK`~*}K8Ip=?J#A6PZjs*fl0#s#UUMORnfJ&< zXxljw$Pzb2i?esO*ta*P-_TDCY#wzKM|SktY%HPkEH70ssFw~+Cc*X@;57dHq)#G# zz&v5`L;TvgXm(Yj4^8x~bWGgJd=y{6hH~b~7&+>~_0^MysD6Y|!FT1#Hb0)#5f->8 zC);f0v2LClG~z4<|y(A|et{sVBgS+{I4kn%C?^j z(ABSVbjy7u@T!LM&1Y8foRNV)TGyzuqE-azm}8SF5(I2*6UOE}`i;f7q0_&xC<;*# zW8nJ*Q?S(fX{)I%yBYaPpaeT0Hfe^ma*`l!#H*%pQp}V~Zc(c+MsOUN2o%38n$sD7 zV?-tK(2rMHp{b`-;nQ7X7%9!@ZdARdPneSP4#i{@5-_b4mk%Z{5`+LwV(Ub-O37p4 z2~$^6$%nEHM`CNyd~6!$(R)Kk`#s`Ei5s>>$zkWOJ4pMRbe2(mvq5LWVyTkDoKoag z!!Y@B*J$QYO+%pBuv_}?=1K+yYX0-s`7(ellf>$xQ;<@Syq{wkXaRx6vtSa}i`r|+ zat0YETG1bz;Z>}vI}#7z7Eo}*BJY8XT}feK54qNldLLGaZ3^#Y5s z0jL5zKI~Pp0bB*2i~DRvtD%YVN$cnrmKU%0%%(g}8b0#Too^kkyPTW@=>@r!%=}SQ zND*pavBQlE2{ffZ@yk?^Lt$xG8F2?mX2ul$MT1v4SRMl*n{5g*w8=KKU63_>BaccO zx`PBeArrpc2jI%hPplY|{tM(7>Tj(-^QW}n7_4s}LiWgB!kInGr>^U6PXn`!RK4QG zcH#3`xU0L=+7C%-NbgD#Mw5E6p)1ZUnhrT(dK_qtm+xvBp(URdX=TsYuzufJ1V zAQzpShat4hd}ws>#Y^?|izS?PZ~Fd+YqU{<#O{a1C1~L2=T?hS^VPnb-4Z%_)4j#Y zDl5*a6|k0E$>goqcxAV?)%gBnt?B^o@zw%wjlIdD#h$|5a>AG`vqVaO#By$K=J{lA zG=J~TBw(=5NGf2oXzrM#DN|~0g!$mn`jNnvlHmM|T88~5d+-esm~j9++Y99c1gMbm z>#AN@it6Tio*)*u*FX-yvI@*6VD$MJ#`G!-h#;7>HZGXDZT?>L_-yIh5Gyn)MZ13p z_p_h~{z^2SUOMxRGx5}9$%c>Y`F=%RJ)1ugc6vo5W+E98qsLPHH|@DPl@Ns*0lC;_ zuZ%ZW$DvSIQIhk*T22e}pp_x8#qPc`zTWej-#r#-!pxprjVEuvU<77RJ({H+g)+5WMOhukU2 ztA@VW_e(OQ+isN~fbu#2sHbd7hTf?Hx*=Kx;TRGoC-L1A$gQi5X7yAc{`FtMD(Bk6 z_&50WL+>EZ0Pgy}d#Pt7!qVkGBLp&+FX&$H9RtRK`1VSQgGi4*72oPT?QIa&5Xj*} zl)cBS^2tmkH#T82OEi>m264fwy z=Y*~t8(TLiZyAxCsLmPO(l3zuvwDt6Ei%Z{vYALGWVpa&9@~k z4HCYjkf9lsN6YXz2J)2}8_Okh2#;I}G!l(ldW3c_|G6;Uosz28>tcg;eexj&$4YTV z5W}yrPdUa+x$`m>6khgPxY+zRq>D@~B0wmF>BEq)0?RgSt5Fg7htE~i-K9VKSdnC0 zbuGH4UMUfC_l*X;c;f8fCJFDX`0lA3mlB{98MN=^Y#(V|eaM-_K5f!Xwb;Gn3A%m! z#UvYI88>U=28h<)oPXR+)l3KvaeuDg`iHcQ)lL9KZoh5rR!SzUKpI|hEDe8E|8J0$ zqiNa$?oM0&r0Zq;3tBD)O;#a7e2k<|gV9B%EzCLNW{xOr2TLB{dI@&}Z(XBz11yiP)2J@fu)VjdhQ0kC4d01buzdaP$+N?Q`jk%F;F{3yzWuLk zaUt7rOVTdwR~}7zaoR`SFF(Np(nK9zgm9PEkLR8pSLsNbui9ZS3#|RHoaF!X=xP9T zTOAz2-uH!i8d&A106e6R9P49W^B~B5ZeU4SH=rZc{%b*BnPj~2#cg5AF4{B4_8kCj zLIa9~b_VN{%Cg-edM3Igy!3{)^ytrC2+M!&4vyv}l$^9f3=U$gwJ;5Ql*rrFMK7ni zf>_?=3GPEU#0rJpbqd5tW^Qbl#%ig67kxAlU6&Iz28S9tdbJ&TQEIH6n5=Wo4zt8|)A6Er_et=edq$jEov- z%E$G=&FFDmtWmpJ4vfOtWG7NyjdLT@6E|B%xiTM`yqtWP{Ls=KjW@B!Xd)S#XY%b? zFI0fIK}_^GtCZMHb!o8mb0I2}8lNPn(Lh#4Jbe5OZE;ycyHQ&5D2V_Ogav#VJRR6X z*j-)Z5hQkFz?&&CJlF4q?}akb9!tD*?N{aL4h5qK78!PGxc0FzN6ol`oTU@~!Xg=r zfD0bM_tcCnxin6z(-(4Rpohc)zfQ}=z_{$zD>lDhcr=VD=JRCH@_Wppr9UuRu$<*? z5aJExbi59AZ!dcvdvif>XaV>EleL{U7@-ves<;?f_-eT4hI0j_g!3KKP>y9su9nzT zs6$Z4f$`I?UFyB2`@UbQqf$ZpzSd38sVv+`qqh`YE2+M8U*k`G(gVG5N7{y_W}=0J zj%MPTm#@@D5D$1+e+h01+E6qBF2tRF82m^r9RYb9H&mR*PUR31Of^t_CIN}F+J{CxGn9NfCqKip1)D3YpWa14yJa|h34xY;^rcBMl({z7#3Y#Hjq^c0_0f|Xw z+7?il*v4h%;MKH-%d(I$4vmOl<@*?R>E|?29s@IEkZpYfDH0-Xk}&;(qt@{Z zGZeu6&O-Y>C~mrwO>ju8i>sJ|USn(G@kRKTw#((xznjoSHUKG$wIt67y zO*JMII}Z=r{}@kzxH2eEfB`ITSy-8Aj*t_l$R4m0LhBO7nh6O5$~|7AEe8hO#6QbO zkfsY|7Z>SUoBE%X{u_>1ux+HsLK8+<{VJx$xUi2sGy7Xwzz+Uf3F#O`Qm>SiO6i#K zoFSTE^k{vc67c~wLI%=h<%hu5>)FJ@T8s{HvEVGdf`58j4sAX!z!1R5kUzWMGr|3Y z-npd}GSj-Sln%_e5lQJ3s;y^XRU^gaM$y?n!#5ViR2)35nyZ*_8r?css2`VibUsg6 zwN9D6KIBh^$MiMx}f>o)He8~pZRrR0hh*o1jG*^3%WSD z7mH%TEm0Q5bsBX5@!2A=-Kf}EY0ae@A^~y8Orv0@(Tt51K~_xC+R99&db5Nta# z>iq7Zo==i{cgzO)f^?=38MBh#gMZi_Pl%D`S--gn5{TM~@UqMgwkh(@g~zD$qkZ5Q zJnMt6gif&C0vU1);>IG-Kd5a5&pVpzx#!8>b%J;KQV0&X=1?T9m4m&1%`=2V%HN1g zsPiAhK_h*W_`dDtM`8%HLXfN1pt6c|j#gxD!v`7|OBPZPp zFTjNL>ujKz6`8oiAmT}S>0v|E!{3(*XZm@TpTFZaq~>ct z@I+D!h^!79^?CzgmPSU8vdZkJb_*dKTQTJxUnzp7W$-1$yU)A}0glQ@JN_VO=4Rg) z<-fre(d`fX>ZuQ}zv11P-(~hZ(^=mO)rv-29W+v(fxnPw*pIFGBlIOaA%&=Ex_>@i zfESYVn{6Qz>8Y-^I|@rLY*8o;jD-M#2}iRHU`L=KjuS+e#D=hYPt(vTJedFQ6(3=O zD}JI6*PsrhIB*x^eHCCGp=Eg$<9F|ii9z?1;i4)IH2Yvc2sOLy6?W?d`}~F!UEl(U zO;U4Tr1FOzy+06ye<#xK2u|iA&9oXM}sGn&}oD?7^ValL2ixMItin4!HJkCw6k z`97VZF56?&%%|nnp5IAdssBR4vx)wPm17z~S0&!G@pbMmpFTeo;jW)o`DaTQFzDD& zG*)<$I3lSY``QsJa;{0KRR0cQaShp+iVkKq;a~W0IND4cT2Vb|>LS?W2Re7^L#${s z`QSJXuJ9h{#-r{O+Y{3j+cA9B&llVP)c0eRfEF}IWm6?}DXa>mM4d?-@vW(WUJBmz zzyo=LAZ*oezgzPFM?u7KzLI(WR%ZmM1aw}w06t)R_R&L5BCO9vFglmdDNuLILpxt$u1E3iiNkb?=s>-H% z4Mg-QENujtjy#>Jd0gQKvdlmFd*A|ulFaN@y&U0>6fht&?CbguMnA4Qbg9m|xt>0hYD+>ySuQZv`@uWz^1jF~f6Iyvll;-K z>`7+nf*p&53%q+F%tw{<7>R7lT8q0qRnEhXo+DzpOi5{qBGG|?sgOdaxciQ$dz^(Z z*OhE8lWWJlig%|GDhUI?HXa0l{KGY|menO;n#I+Y)6z!Es2sv*Q5xCgdRAb*h~ju5 zOFX8#wR4%>1dEh0I=S|eLiZl2oKYSdcRt3l40(Zn{Y$Nwm|MoZ2nujBiqhqFyBH87 zW9krelr^|GJ9JMg7vKoUr-(Lk6@BcCX9^4A4^7@o?L3N?+s7I}EumXhO3(-mm|S|u zOn~L8U(EqKWJrur)s@gbH$4xcOTFT+6*SDStaClkFOp=__c9>xUDzt=qMDc`SkHhs zTJXOEwaY?aqB~t>q|grp1LQ|aq&|kHHlfLN+FbjC*x8V(L9g1t5DNv<7P0^cVz0P>5)2-CBIfURpLl1|m zP4cA4m%}cFqc0Yg)>KI>d$;$(&RWv1`M+u5gv9W`jTz8XQwZKRz0n7k*a%%B|`Pd>g^iSoV+ikr8+*0ifsvMky<0@+lC zuh9%S-_BteF1$eTk`gXzgrC?*(Ih0v4vo<%rwts&Nf4HGYZcz*b>cMRYFD)8&$XSC zTpU3lU6E=AfIyU!A}$LgX-SRdH+Fg_n6I&r zAUT0!IS7x?l0d*G1Z|zNHjC|_%x1F@iZccd z;oeQ@ON5{PxsrHtrj z7rK-HA(PQ0}%$To_vq5HVsh(PUw88GD%%S)uWo++^wJ|q=%B-hCe z;^Vfh4n>&zlR8ZsVbeO^buRw`X_l-dY>nu8*4$-yq(p*WGadr4p*R;Ke_i*mdx4%K z{g_srC1A6-fiN_^gzJHZzKPz@^;w3URIsE0)XD3zud!%9uc^7c_7|28G!VL$5*D5! zC%%lxK|)0)gpqNZM0w5B#k^}O=k)3x_{<#!vyUM? zw|6D2LkM2p1f!hOT z%Oq*al&0LD#YtAabP)$wINMLaZr;pN1n*(mmt^ewf2I^Cfiajyg?z^QXJ5LeZZur$ zP|QL)w3ZY?33`nXm6Uc0%zfgf&l}}oE>cL+fL668Q?-rDkar*Js&BpqBRzoCf%KoV zjY8)xxA|wY?Ji_+_8m+Ix0(VD5zmAwg|nFi4p}w4i+FZc6k_w1*BzjUCgrA-Ab}~y z>Mir#OLrGT6i3C2^2mUTW9x*YWeOKnroV6@s#((WF*wmcnAp?rLrKxoh1b1k(hZwP z!2m}s$bc7vdWU5Y3w-IwlqH`ITJJzyH0f*b_k<(ion!CJMVWt7%Cm2myo1=*K?T9?>8btI68M9+r#10!<+Fe=(N-aB52Od z>!K<{nG@<-6vl~{%jT``)C>3TEYeA5hX8FeC7V^2hSe-W`;}hXZ(uM~BFcTpZ-Hv_ z?c2bfadn#bMmetsiQ&xoyNSiv%z-y4gVL7wbLG?8%5TZasMSqRy}uRR|0D>F?u*Z# zmkOYg*SBXTt_OrKdk?Wn17mF_0<^l^&JegOKc`J=!T7Ls)9yuR>Z;7_;K>~4Sm9=p^WNm{8J zlX!xj8nR0GDSG@G-sQyX)uZ!b_aZng*RqSp{04{8!>lA>kZZ8Mwg%kSIz^vS`|R1B z&=jiLDtEJhpAi|G4UJ~G<&&WFj2B$GfuPiuyDX-6Uz^j>HzR4k9X&ZB(gI8tG=sf; zr0rJPB`zHQ()=p0(^3I-=;_NEjnnY~SFyrjXOCz1@xIB*FIGQQc+{BF&o9i%=S{r3 z$k`4INR=+I(YYaT6y4RB53jg)>ByS+#*CqjY}9lp(Ne>;rbROnEO>ei7na*H?`xn~Ej3Y~~mDRGw=sfL1>+%H=!z zP;~pPsjtvBgZP|;@=ZciQZA+pl^Zy`@OhlA;g6kt#cRl+&K!w%qm`dBj~}R7A2{uD zNN#ir-UUu9mOF2v*a0&tf$HV<>yu|IFb5|$ld%bM?Bqt>Af`D4VF6T&c-Ab#-{G@v z`))xV9b@SYgofD^j^}bhDHq5sXd+!q)~XXE?3~8M;AnW-c%P{+5>a_s%Oxl_8%2s~ zIu2Cg3eL?n@=ADKuf*l-t2PV%@T_es_UCJwiZ>j=Rk0;bX?fgwKRg%3Q(WNsn&Tlh z5k+PmYc(YDqLKkIpa4!&$gn^$HWnPEzi#qNsy9m9d(-=cf0yNde4!sgpS*15u6)ro z)!plThLxnUT?7xzZ8F|z&&=X&J+oqz!=hU3z44ur?<}7-LogmyJhU|2&b107a;|z- z>Ra+e%I~1z!e#Zbce{Nyu}KvK`%5D_Gie=xKR^Szmd_i(*a4k58xsri_|ZXNXW>F7 z9qV-H%g?a4@)RgwdUWg4$(rNYYvNX3&5KBT^x+L1+@qdxfpn9mO(kq+8Yp_#H1>fn z@?0E-b@Y+LLIuQr_E{JBCa_4>3e$-_Zl{iXJ0xzhah<8nlwkzlE4iqZ)W#+-C#YH= zSL^k@-2ch}qXF~b&Va3FcyZR+5}@W!Dl100mv5+C^6sOE`Rp>@xk$a?uZ1Hx-Pu zdf4M8h>QfXBHq+{NTL7)Am1I%{?2)=#U&+?2_P|KN>76Im&>S#jUrI?WH~(a@Gtc3 z{>IR~I|jILWS;QIvq;nZpr-YeQ}eW|Nb0%Q)iuJB>KDtCy7(s*k4%fUI>oGh2EYoC zGL??0J0TkY@1$IB;hT}p6-KbF<8}?qHJF^)dY*~~JYc-0G#6*axUf^GY+A3aZ)f06 z>7BGduZLgq>Re-TtP*x{J3puzLvDpY+Xww>%>`&_fXFq+iD5KURa^zOl4fqfm5sA8 zr~`E!&>bfH`0qlF9SApvBY8H94_Ssztrw==y<6^1We<|t9uQO0Oo6O0Pd(U~>%@9i zaBJssh@b5g-a(7f5vvhgHA=DldeEe1g$5yEBHCl~!MOuQd=J@Vz)bixm4P%B(pQF% z&I&+{iScDhonID^d!yJ_U}Fs^iqlV!Cjl3*5v881T^S@27UFmOim-FEpfHgd)Xu3B zCpR2Co`JZ%3$Z`0s>AB*Nm|NXRPQ~xIC&ROmQLfQX@_+0SL%qXOL8`C-*#=Q;P)W>fao|YeF;k$&CKbW0wsrPe=jl_0IL@kNv2@ZzZ@rM&T{xS zYRsQHo^s|KytHfojARKxOpH9fz`lXZSEu zgpSXVTo4~zOkB}Bc8(n@*lXV;^q0JbD(dmyGt3bQ%+R?P?myW;IE*MG0#`+F_R_

pJ)n{t|N2! zjRQ`o?D{P;y)C#~&N`~k18jB*kdH2qePpO_Sa6vy(P6zJ=jzt)Kvq&2JY$Lf-AgGVDdqd18mG8E8E2o`!(g5Iww7Ou#5SM`@1jV{_au{ zvcFSYxN-v>#zm4=O_5$?i*&xe9eQEP8;5ENnJEapUTmV9%hhyTypM^WV8Z>xvvNC? z?F5nlhG4HUFUto5u#A#qATOJJ5j zO49y0_@Mqz1aUk&?AX#}$eg*h0M5b=Isr6yAUBy;3C&zr{E0OOW-pG2S~;u(?U8Va z0S@=fK$VzfG+wo`2LW^sjM^16sHR&I9gcvKVqPg=%On<_>);C^d;4iBL{QP<_$TZ? zPH1h$JyB(ja`9X5SZ-seIH?|Z|Agy;DwXFs@T*Ft4;g7RYCKLm%wyA5_4z}D3-zIf zP?|JKH^!;r2Y+K z8ye6WU@@E;ZC7-hEtcQKCT2d9v|HDDIHlekyhShv+TXmtoh==ljj#*di#Vj*>yRdL zb~zmtNoVaxnUkkaCdii~*|4Zd6$5Gk>XlO+85p{%wTeXx&$63Kh4n6{O?okW^1cCd zXf{MUm>=GfZeJhRZcV@Av7P1SLw>l=q4K^I7-}m7cMn!$rS)TaX1Y8yfcn1^RhYSe z`rV1D?_*8IF~kJkX$uRWo-G|=h>#CHiC1G{@QEPlzfz4d)Z;_;6`iumN^7Bj4|>bS zItDx-XFz9}IFmG?7LFRyuQb(RUF9kXwVBgZI;l6WPEB88MP-ae21nk)SVY;SU|w@k z_1X1W9qg5nV#K0)AXAs7$(`8TT0Qxio;~vyWZuMj&*>`Jl1dtS$ZlBzLfQ1m+ON&Z zLulk#)LC|RF)uF?vc@%11hol3)a7fO;ohWSpBTt=Tn|ZbwY0s$Za((2J;>JG?rlG5 zxNC%DGDRY~4G*>{SM?li3wti;ef+^?XDcaCoW#cFjJ>L)u_< z@ee6N3pqjyi*?pa%T`MZh#br1`yfLUj_Tx?LkAIuZuwkKrodQ! zL&{^#yPeP)6!2;2KmG3jrdPk5(X6Fu`XLte_M(+d%q^Ykw<-p&&>?9Kpp}_BJZ}oH zhgzi@KP>r8&;Y}cKB0OY@rs@?xwnS87aT}_+6pSY{eV61a|Ay)R$i*7=ih0W_(cH? zzz7T&*!xlt&222Dh$LOo!GR8IaA(-S$ei9Q52^0<6ogn@L#N7sAoLLfgojpsXE?__ zEW)pM!@IZK*y;LXqm2GftD78|T<1C&^X|Y)FDgiSR*b6jcIC($QelgR*nbQTa4h{~ z)^XHLLQlLE9y8m_O#4pmn!i392qgJ_!yt+?WiL-061k2w!N|u3fq>vCDa}nvU@51Z zFshgQJYMTYIOhg{bUu~M00?lPB!;*15}QsZF()UlHWOP9ug^DrmNJNX>dYr11e|0> z)PDY|N{G2a!9=r6Sx|_|F9t4n&ZbZ|@S73UD4{|9$J3V*`H}B}U&K&+_>Rsrazr)T zOhk4o2}J5*qhwzt%)8%$%D_r&#pX}pE$FHwoA1IZIXm_o0U zbo&(Pj^ma${hI>bl$LX&r3{l+K16rZ_iS@QkC_@rKQzYu4T2p0O2?E@%`l>J786>7 zoXp2mi#!rvS|QMj6pqfshoDlhACJ+q1owtLp)WW( z{Q~g2=(gj1b?1*&aAZpIsa|2B%4@=YUx;OSUJVF`=iog#y*z(l0Rj}F(a=_@ZHNhcnMItO zJWfkMGhO6t?YIJds8)JaGl;w>%Vqo$a>M*mOpBk8+&-kca8Fe?Egm-biy9?|s;dkYaw$HJ54Sf@cu;qU_h^ImbmHL^t})lcfc8mwm}|A@?U#Rroa z)`g{dGsgC~X&lZ5I~K0| zCUa!hMVl&}p%9k$XAh&5qx9+s)xD*9LJjcjc3~rk5L|1E;CaoFW$Rb5RBDdjgW8iRc zpljZ`Y?%zT|8S?;Pg*$);^*6|clZX3+Io>gJA+K&Bmr7#QFfW$*(+!~WXwQCZ}kvj^$Ah={Hi&hONg8V~5 zpSb>Xu`AZhGh6m`B5qfEBZTtfw9+)op~r@*P7C{dJ0>%%|K$Yq%4l)x1-U~AQ~C9c z?bR#l#6uq@!#F)<>M2O+(C0h^&7gp9zoAzrqHu5lB2V=U>bIAGjR?$$t<@97Ir&sZiuLl7*&7`*VvfCAA9M4tBL>c$Cy~qTAz$K5`jT#j#48{IC20- zL(4ZV;X$|lxk)_G@e>KFc2tQv>2-fD#MWO-G$c^BqnCD!w|r6{(DE;hBzw>uuVHPM zF3-nHCuO%U<&@yaG(Oo>2eJMkyJHUWo8Qt-$?--6NH7H^pok_=zw+a4U=zcdUbIp$J2*^U z!(-qAyD=#BKI0--#(}v#Z_^=k`}oCtA|nh&)mgLFcdf2jMDik-o6Gx(!ByLYC%0%L zqP*!<32Kp;kjU+XiNd*6f+C09%4KN<66~nW*82GeuX<{DA{A0Se!tFm6iNg9e4u#g zfRXO=a)IK{ss+1!4h{(~EXo6NcF-AC9wDiiQ>{Lp2-jW9*dJpAqG9!9s3j>euZ{*i=giKc)_573ZUzV`XE~aG z&izVHFT4Z_mtCyRRSEg0O)de$>blZf*X{_Ob$vU-W;y8=3KPNX$aYe(8c*jW2Svhq0vDM8MI zqeq5e6O6_UtAbj)%=ldi zq2arih1T1#v$!Ag-CzOwa&2up9s5A9n8iqmc7bjf@^?E)*(@mZ)gKC z?}qvm(oc&X!ei4eWyh%#6-B0ijEOpG}h~_uF~pTKl>WBu#Y~NNL|89 zSQDD{R-STfpWh)+2?QJCZ~3_^BDCMiecgL`AHnJJOK~e^Ee-&hJpPO@sz$&_?Xl=%IoM|z# z!`K!c`{@Qk@zX^r?Wx_U<9O>Xgil?ai|i!z8gSu-OVpmmYI?|*50K%P97cOgR)=Nh zN4TJ85T18B-dDD>=1;b}L@G#2F;|0$j-=5(Mf`1m3P1Vq$)P!!HTw4lsvPIT|p%UeUGiYj#^Py?RyZhWhSn80!wrU8Kf8jNGAvv1UsHg&T zsdU+R+>YDMAY|8BuF32pquP}xK|Mp*`tQ1hy|9x=f<47d0+kPs4u2&r6{DeOk8ZSx z!Vqnr244@rgs3bB#GEqmx5qkdP92we#CNB9WIa-QeYJykrBqIr7RaK5rIB#~7>U2M zXgDtXzFTn1zanm2qjTL?b3~A?|TWiA7P$b ztGn#Z#3(tFi_0Zr#+eH0^cya&dRC4hO~n)zes2(f?$Z{&%cb%F<{8hy(P0qBiixbv z%47HMKiQRzBhh2{Junxi;spJe|D{+vuJ=PS7FNKvE{Gn($a5`y9CHR7*jhFXBQ_^ zLtB{Cu3ir4A7xJwn@u|P-im`0gpDEfw>1Yh9^3y7@cu_NWcx36@_%JTHs=3M^Zv{r zu(W1dbI2h54>Xdp6~&vw^9L%)@m~aaYqJjrq7Wzt`_F`~mJ0;}C&T|sg=G4HLdH-1 zqWXbCuG{@&P5UgX-`Jwk4jg?lF$AbyIPMAZ7_Us5xxw?g{7?e$HR(n#ML(YZM9^tk zohQVQa-_nebpC+7Fe)R4D}==$F4GN|PWp=6h@wo#rAu5)w6x5U4c4Gbq71}#GUF#T ztPIhZ=K^k0JZ0^@4Tvic!bXeYP8h+uFabMYWa&u(FQ5d)ks_Al$^S&K;p`W<>(b(0 zcoOIS6p%zqcZ*2ux%6ShiG!O3)!2HtRq+WB? z+-+yRslI@3o9bX^Aqx(v_2i05&n!zJ#6ut~{vR1C1k32s=2`YV2-8@w_M0$1N!8d# zz<3_ziH4D0;vv3qz!pXcN~i@Ftsop?IhY%$%pVmF7o)VMX*9@mK}2HKNW_eiJmF`K z5)&>u$o#VJ9qAXw0-qpmKa$o-_@kktUm4 zNJM9;wiL~>l+=gJ7#LMBt6^Fm<^vfbAo#1uN((D14{MIqQWNb!8^(&G70Ok@Hw~Hx z;eHQ?3WbFou|MHdARLE(JU};dfhkDVS`>(yNEvZmoq{MEYibW^5y_DegfEeR6$8eN zaZIGQAK(Ae<5&ys0OC-16JEhK-kgC!yfI8iyEGgVJltCpYle(Mzpw1)U=hP90EIw{ zWWSkY2a*)UY>X?V^A~JHKEq0}3I+cXW_Rgh3=Y8qp}r3BkFL;?V(NJEwswRdH6_J( z1W2@CTKahu9EJE2##PZ5Lw+Bh?DKbjwc09vf)sUX{*E{0;-i+2onP7K>b%`_!`NV` z!uR#ut18U^Qd}T(lmRtABNM$lAiruC&*d+lqZAV%gVMwVTy-+ouhff+y7OqWnu)sv zGwAcxhrIU#{ipkPUZ0ox;GT{+v5rwx2BQ7HpgsBR%wtaO&K%6cpdG@4e{oKd%mANf z|0a2vj0lM_MiPj&5TUvjJG&1tGgRd*ht9KhStR+*F%h4X?di=uQK^Ax01NX~e_omq zi^BJM!$c}-FLlg4Qq_mOn%v4|3v~lb`5)eOEvebLInwX#lj)O>9rE3Sxr**uNR>q! zV$b-U^ql-j*K$Yi`w_+|S^lVS`IfIB)JWlA59mY)#zKP1Gl0*V9U)VL)AwV^d8hzo zjt_4(CgvG99O6VC9@AAXz)hQRSBX^i{;~vg1Chp1ZF{kDP8eBB8{^e*>X04b)_lj_ zZbfbnolwKR4VO+D)XkXm3ObQ%LhLMT;*aADp|&%|VWl_3h~(zXjBDhO478?UVu}r7 zY%leg)rJqHz1tFi$DP2`$?0W%??gk-t5?SIZ}u7L$q#2w;Sw)*z%_h<1d4`omCE?1 zet6Fg^K;BZC3CI3BsICQ9bv=yle2FkGEvd#+}rEfx*yf`UCaHQU>C3d1Ok?2s`_3> zftw5OvR-731M^x-&6FY&FSgV%Wk%PyL)3oNYNqk^zk<_WhuP=LJ7!*s#0CQc)rMRC zZeP2I8tP6y+6Xnb03!)RYkZTQfRAmMqk|43;y-ZS+Hdpd(U+;ei8OvI3YBL%0mOf# z)EDhH$_;tOxqTf6{P?L}ctb+gCH*zXprV z%3Co|q4!{8E`{^e#!VMIa}GQV?#4(6*zB&61&B}HKW$R4hXC*BdglGK9C91sXHl?_ zi*-_@k+RwWa$Qk^Ygs4Tpd{VmL*(3fD%Ryrf23mrm{Hk)+3T;{bwvmDp#Cy%`(}45wpUU|M1iFbl>DsVn3Fd28AFt>91Lvym;~ zp&jAPi^#H|Dd2C>L^{)C;nfm{ZO@>=*pC(E!*emRI6ho34z&BQgE+Psd1zgdzcbw? zFTbkl=c5s^tiTsFZ9vl1 z8KjYTii-rQ&EZhEaOjRJQnmzEDRT>)BS)$^jU9jO*V@Tb-RsA8FF5=rvF*v_f3(+AXS?*hGi6h;$M9>M*?ko3 z%^bH7$@bra?HeG;SPC5NQrj*UP=Ie^hs@Z=45GQ@g*&Wyg>Wx&11P1TxGbZ}t#-Sk zC{G-qy#erhAxBZI#F+=|WABrx=Dm#9Nu$nm9!accP2$+3y%wsLUXou4eA{}mFva?q`J zKnw**_+12{&e1EdQXiVRQ&b{DsPH=Sld~@t0P~PLbl6G5Cv>iInV%wHWpWblX(GpK z(3O%GVcry%gc_`!on17PIPw&!-mq5e7>QEES(BQBx}r#6;^lvH2RPomr!QN#tgdiZ z8Qde%w>loUnYBFzMVLvayM>P;s#rIE_9*hdJT(u+Nj=0LZ z?GPOL9NXlgS1J0=wgzKazydTPo{n1V!NcR7uZgCPW4tH-Gs1x;B~83e`nlvU&x^0cO+qoVuN%g^m4@%C{u^!_pDe(RSssxka$chB?k z;?S7~U0hBAS#tSpwS69~M3o71OmUpdvHrmp^e@`FRXmgTtBgITvI+gAR0Y6q{lG8P z_4_IFN)y1cT4t=~zX3ETEahwH&yPb!13&;~;mUkOxQPOMhH??DZ#_M13QM4`R>qSN zJ{5CAjv&3?f6bdz_AldsRPC>s2EG5%dY`>xXg9`6WW3rTmsG5BuWN8s0y zi%8@JAy0U(*ZMo!o}XyGMa0mfdvqvN;f+&!I#Dz*A}|6boM^z&0)bcvR~3-n9)|kP zswZK(f|Z$T)EA+Y%s;mszi)j`9qf-^q+Pf5 z2|?Eu^GU#5=FO$-$7giVW?$69Zb#||4t!4QDelrxN zemVxhu=gx5c5Y&4@9DV5YIk!rUuvePJYXnK-%F@aGlB;WU`!9QovG%-=zZUQcl$B- zrG~?h)&T{A3i4OhGC2;_e^J&s&GQs*dj)>I-~8LW;s1jI**iP3_5|vV3cqJ~K#0I8 zv*zT!@jh^4KiUK5G3L7;)U+i!DeHKqPFBQf^Jiu!>+Ie>5d=+A&6GdH%Mb(y1Y|H% z$Z7yr4|f_Hpa_wL4poU;wXoyTBCUM|cqJ-#VxW<#Xa~7&;s~|FijPR0eqVIk4AgYq z=Bac<0Sh=*GeL0rrLfKt)@##kWas0`ir;C1^h>dyE*cWdSh^@Anh4Ptc90i)FeP4D zlmY^Wgu+`oyr}PIE(|OvG~TVYsB}7YxE8%jPIXp2pakSFY;5^NzNq4^?AkbBO7>$=o0i<8pkp+HMoik3tz?8hA??jIP?hp`#ipYSdW z1Hs&3Bib5#Ask|xLg198C>ci$ItKjA&YeIyEtoBl9ds?Z)CM~Sgp_n>|Jt9gm zM_s~rKmvKKO(jv&YP{)rs<4#Jgxp)z7Ax}Hr>;CfxdH)8csSCoTSoeJ!+BzU(rj`(};|n zT(E^{*gH*ueuP$jmO!uo7GCX8P*Roqr<9J5-L|j|Kw+NZ=XKxAX;|N-U|5#QbLo z0I}QJYQL(ymh@NGQy$w0z(mcJh?d1GMf;;ovp8V%F$+qqr(2~57qjM7n8{}Ss23pu z!?y*r^;?ZukLXe;GfGov;|h)P@5~}Il4%hLwwTKAL9layKc5ZhF`a!5bkPO73|Kus z3%~T+3RJ=Mp7F~xx~VYz%Oq$21yr9$11u`p-RHog%fCA&cCh>XxRVS;^6`6Dtn2^W z#eewvvQdiCLEyN-3`eJ-{VrK3Z+4<9<_V=r@@C6dT$HA9EaN0~Pk^nnF&91fLO-I$ zK)x~2{Om&?UUWx<)QWB>zY4*~iavV}xe$h1a)fa;6wl-Nw|C{qhlGpFfrH#=4)8qZ zXA~gz$!zj#!>@>zk{=Ey|5w1rtH~$h8(q#r#vI4I02#%2b|Z-n+T!{rLSaQ>w3p|w zL(F}hUzkqIb`u;DanLWG;?xWBHx~-sZ|@>3P%>o7&o=KvUbgSPjI>sz@!l03k#JvQ z0=$2GzzBnbR4jRVZ@Bb3Q|7JrHsDukCH)L5*JO}u95*YIh1^hU-t{~v;5*% z8&;iO#l){p=QKc$!0NG>zdpdroEtRuLM#m6b?=|@+Q{F3MOc_SqTR#hT(1b%eH>0L zpOqVxpH{P#12EJ!9~QCk0s)!A)UZZi*&9V0cBKA5L@IA4?VTrN_Gd+Tl7>Llgq*SW*M1``5p$oPP-A{7tI zu*i>2dv7W1a}8DzrbE&JFXY?sdKc8bkkuT3ZyJxs@LW~-CY>^J<0W;xKC6KPr9dPf zmbsBvI=s5_t8gS{)H!G!87iE08sh?6U13q3!N24W>;S>%pZ~M-_~!ZDKv1HCv9PBa z;W*I&G~^uixKO&^G_PsESqDm`n_IA^z&7R1+>~UHDvDM_?Px2d=HK6tc$4VMH*K-j zL3~&sq!$n@cd#*Hv&NY^~%Srox znm|x@K-W8|(HJ8XPFmN~kJ^bYKXv5x2-CL#HZ6VPU#)39ME1zsB3|Ibu*)4pPhZ36 zC3y;C+WyiPFR9@oiRLRgDr&h-4slRP7LwzB@{5V!Z?F zvY;*DPF=er_EI`hX4_B*RHP6KNu}CGZtG#)|E>wusb@L_b z=Vp`rgmgAxSS!ke6;%HKJ;6mIT02*hlu+%BpZMG*NcWI$V7(_C?4@dLg_&Cbz*&FT zo^0~(K^MGtNF-gWDGrDW<5}0EM&c1k&1W{TepkN#B0!05N!r{`;ytfdH%V`I8#)Le zodhNb5@%jB#;rVD#65}q)q|=uKQSkz4WTGEU*I{Lzj(}v2lMIa+dXJ zBY)X$h|R!|gDOH!RE%3nO{H`KyxHfJ>Q&OB#mq0zCdh)q+Mq`A_h~a|f1^=u0Z=Td z3o_g(4Sn5NjkIn@bKF!#A^ZG99oD}9`Lv00xgBs4Avy=6VY=f*&rrVE6oTynauM`J zmCU8!@<*RC$_Nl5RIzX^@6Hg#PP)l zv(RM^1wJw4h#FAzN2ut#rDQd;D@>MlmHutFJS0j338xapa3r08yDEHGJ)_M1UVja* zH3H@qzRJ?DJf}G}S}6Dc>T0lohfIYV&osziM>5A*sIj;lbH2j!fj1Ru6T60-a=htk z4_*OxOHDjOFO(ao2n_x+u|S4Nm@bDL#)_R&A?P}@k5o}HvEbE+j+sS3<$2rZjH_in zOtb+8RCTIrul*%W%kD3}q)^lr10UN zi0#AgQ~w(W!68*cGm%e30Ax_4IIiVMAosp^au& zNYS4CDIl9BFG4kYbZmO_cD{A7vll;(J9CX5X;u)f@s@r>T{Q~#?wnFeS5LJzxh3zM z%WUzD=#K?>PD9L?Hg1Tw8%EHP6~k#1c{S=u5$|Gm-2TPq|7v`nBF2VQl{LL=o#Q!- zzJYFYwCFauEN+%)?UBZuFDIe>Qd?RmIXm1wys3n$;651loGm<9<|ccZriAne;*lHl zB4PWZozWL8D84n>6u#akS~R`ab>ea1OX}Kr89ooNKmIj$H8BfTrC~Rprv9r5@sGy= zfhO^{@(t1Hk^}*MULL#M+OA4lD3iHferV>b@4l$+!o&60B!LpT-2(NW{X(=KPh=kE zYk$5TTQ&sn+kce4AJ_&oFLtMGTb zU325N>Dt^TysGl>uDH|Vs%=zVS&PeN7pg5tCc#I}IT+>$p2%YS=aBQhNeK^nI@PZr zFqOfnb$rq<>1QR|?l?ByVMb&pxy0uvc>mSeP`AYk`X@yKrM|syMpp43;*;KzCUG^t z)_UtF;M%+H!{rCj5#R3`OLCs1Xd@p{VaG#2rCMTHbrFe!`yyA!L~&oOP{^Q+gdXR7 zm`lM39v8yJ-`Q?XdUiskJ-^ZKKG(Y0??yt&6A$W>kg4iF&>l_j9@&_RBPT{;Qp6Rv z)m;0Nq2%SJdhx{jt=|=jZi@MMaiEy~pK){rRibDwGNV>R?M5!-X*ksuV3!qDFoiGR zA3oQx3qt8317ww{9tkf`dff)E3S`2}xqhQ}gL99*;cGO-s~8B3FGJKh7v5M)3p>pC zK5jt3UBqQPILW8=MY|5K4xb%~*ZCHh7iX0>F;Z5BvupQg(`)|HIlhk1f=&w?W?%S4 zNdfb8t~eH68)gtIsgkFhUk>D6LI4b4V#~GbW+s^9PW@*e_0?QT>jx9eYg*BqyC9?e zuWssH!}acq?Cufpi0%xoPFhS6AkqV*@$sa28NTQYN0PPd-^hdNMjJXK8>W8%S8a=D zc;{6MW&g>%4GZPKU%jDtSTa9$RdyKi!TD?2{#qPIQwmnzEf4iwN^SRI72HSzQZ+A> zp{Y8ZfM<`+lw+(vM3Q@o2j6po_7Q%Nh86_2>(AD>{0@6Y5 zLeE+xhD}45rj@G}nj9)FwkL=Hg7}b=xwEY#iVkLw6Mvrv8f#s*ZQ4OpvQK~mW+Sw) zjG{kEl=k;{3)Y&eE9)}7LY~@|(DsU4m2TXGD}|!oT8^+gM>Wy0ftsO7spy(ehulYu zV?QHsh>sYnA;dHZM`(rtEYcFhSXtDTyVIP|$`2u9vO@qNoHylk=6{5MbYVg%ghsP+ za;A+*47w|e$dBwllVPKe;Xf#HT8+4cBg9oDyNYlCil9A}%?jGFAAOqpmaeUr0ff)n zv*qo?^2f~TQg6`TpA4c}nR+w5lGo%Q2CP_;^2wnQoZiI2l%2^yb6GR{!oy?fAPQ$Y zK+C$XgQ(@VLOKFJaoXbjCH6zC%jS=Ngl0(gJJ{FQaGixBYlAAGGLKR-4TC?J5D967a=<*U?}X)y4Cy=Ju!uHI>cV z6W0fq@%a9)1rRETaZa)$^!#MUdg33(=+++27GHvTg#%A$em#9b=@}t1NzB~x{6IFg zW!~kj@mcb_Mcd)WSINNtT%=@=(NX@2yO-xzA9GASfu>@zo|)oItKnq%dk`tJWQub?y5dFoWO7rWrpZOZx8>Y! z4qB9Hr2}2@e4CLan(`kVjL`gFZgGhkQ?U0wC`Scl>++Hu<>HQ17i|!G ztfk#n-uaFZ_f_a%PfrWXo${V81jfOb(e43C;@;+C+k{hR%_(^F^WTLCnt$sn<6I?` z_}-e0_qJD2_M!L=7q3~N1*PThy(Gq(cMYuSx>(t{M(ajM;q5$JozqQ!y+rX|YwS)n z1Wf9rKBR0|1b9y9KcvnUDE|b1iL-wk-RgyknDh|aHhBw=%=S$+x1JF_l}==;0$u@i zsIgrNkt}>mA`GG9oaMK-0#V*xOgd{g1)bu>qTGj0!Zh@EDs)xt z7!a2|I4%XI{Q`)9nh{~I9>LWc9-LG78_-hACG0^Pk|E9ndfP4VgvDf0FP$yZO(NmV zG*6DHB12Mqt(BPx!y*Mh$0zxlaAaSGG?QJX+&T(~o9U{Y^q-{!7=uJ=$Xv??G`PS7+ zOgw*f*}+e6%Ys33f$O=5lE;q@!Ix1Mof$UIO1>3^^AfW7tv^CU}d>J+TRUe{-FAr$^H`aK&*}+tLs(Y zO$JBwp@ z)z#O(mqgPIAuxJS6W}xajs8LTBcqLfgR_mJWwG*0EUakaufy?j2w2A@GFWk4>QSIv z758ebg1grfSh|fdpv+eZ9yj?<KM_xR}3s`ypFiFcNT+XOUbGUdUWHKRzPMH4`QJ3sMTHG zK~-tv!moI2i|J9T-a-j0E(wVs(?BaPC*421?zcu0M2|+-MA+OkPgh8D>G|80_UjA4 zspg}O`)3}~Jhfrprz*fIyQI3a$Y);FCh8I}8)$PR!u~>!{2AB}cxMBEg}_Ql&o)tL z_#HpSxMdCWf~>f#cbqQe4!y;NxY%kfO_sY3ADXx;$LkDgBWdCsR;Ch=J)^5GR8T$H zo)W4gi`9ECr~fWyUXtjruA zkS>&sg40A2el)44=)!)S3v*YcVwAvc9kX>w;_x}xR*ep_lrYd+1p7TEMu^aygadXB zN@X41x5}-N<_i$ijP_{6m8$RHB0keI7cHr}D>VHHo_~(N&KAYCR3g~1i&;pmh2uah zdyXo!%x^Hjkz$}qr`%Equ`$JmfKh^)oz0L>YPQXrqv<-6*2|>iq#7+0E1spG z{CsHQJsJG5#IqD7=8=hqDvN}33gUV-2TGRQNSz!l4GDN4s5DZPab#iRtxrS0{wQ8J zjSyD0A~P*01>;|3(l~-04V#8j(sgTGNF>D^(HT9qq#WtK3HRGXpB9J=rp*$AgZd=z zPm0(?x5g5Xcfe2bQeHp8E92_6AvnSpf{daY3sG!X-Yt-Ij?W)?YE64z7}|rNe1MfT z(Ek#u#s#$TX@vX~wej+vkq%B!X2&n2LQsm*S%U{+dC%I3u@jdtQUAmNgAKDVf+F~P zSMycd`{2u_SbAcAitbX}#i6QykGqCmn^&MLJ!b71gOCq0T2EY>gE4n)tZy4Rea>Si zF@>2q{=};bNwZx;-B#WaGLZMrBp}6I{ro#laSjkmJi5vRGsF>gj^)2N=P+0=U~n%n zf<4pL#?uqIuLBchL?f&t*6$8cOS%t*kQq^(tauJ0LXIit|8km&pmvQ>7H5kbMU5Oi z`7OZ*ArouQV1i3G3Jp8p#FXi{$Z42A5=p00O>$BCyfjbqy1i>cl&zKX1Z~d zU=2u+o7SU3s&;>vb2Rt8`|IBPP48-lQ%_NM)Mt(&1i!6df<><|K6nm4aHMf`5$vgY zP^~3AK~ekAS%_)GP~n+2e~@4JEdI2{shgeZ4@}N|3Eg=fMq1X*7qL8I@3c;fS07;5 zz9h1roOHJ#va*J;Sf6defYNi1i){eM(F5qpQeVreUTs4A2Nok^TK)@Yy16umg+b*e zxp`ig)s-9+$#9z$#!&7k-k<)V?iwMyNQ5LsxhHM3)clX1XFw62H(&dW3)6&T4v7*m zI|~U~KTq;A=t`1?km0>cMCqnf_%Mo~wW9$3VPf?smD1xd^xh^L! zB1FykK2_TV;Q6YR>a%w`r3l_#;Csi6Tb#iXwgCD2^vn$73vFDe=NKqI*=m*qn=HQf zPe=L8QhX$94@{^Hou=XD;KLl`L(mnfz-uiyP18R9eI+zM3Q$KCY;`%F8V=Ax-;F*c zH@{-T48AdosO)ONvDcpe!U^h;-gsEhocnfMdw;iiJv3@fvDmCy2+tc%n*F~bC)gDw zmV7I1;SHZ-wqgL`eN3kM3%fdOZnYJzmM2mf5Bnd}i&IxaYPjL-Y?D|h_M$h;xaB#% z;6L7lA{04~{(lZI^|jprK6S^K2csoRh94IWob9`A_wCg{2WMwtO?4WErUhK+{2ys} zTeo~Q9113Y!Pd?F8x)+HVi|!RsU}^qb-#So27*B;SM9NOIXUf_0Mpxd zvAwO=4SwkB{$T($aVZZmAq?R#EpA#1)#EU2Ff~10Q-l?Wp+d!mJ9(K$M~1)NtAiS` z0MQ_E&6?!Ikxp0K4*(?DsbJ~@840`pycv@XJTm3VoACgxSzo*!Jyyi}kkI_MXO0YO zUI=Z|S4b4eZA@AUW6lW1khH znq>WNGFf3>+>Qbf>??VzAfR*s*;pHk+w?ed)3RMue*GGH^&$Nlp5nZ;9~f$;1G%-r2Ris%((*Go z+*NBiV!9V4@C=Mkoo7eZ6e-)+Id9#1_ntyTc7LNpEH{Jf-B&x3JKT`E^k+tR1cIf> zI~Di_sB#N!e;iE&#UE1}4L%W9Z=GRS-$Dj2B3%L;w%8l!I&E~STR&X2;sdVI`5fAF z#=I`f8RDNf_J2uDoG4Nahj?ipw3w+sO26>QG|fLOH?YrifPEw{LCIJb%BLH4|9C?S z*zJLpewz(o3s`vqO>hXxS4}7n&nsr-lPh))COV6ezzWnD5H!J^pwNQ0mI4M_Es(u_BaP1j#Z5ipE6wZvr&5iLCVEgK$ zl1l4)AYw829pQhP4?!dgx1@8CYe%uF*|!Sajt*FN<@;l#CXWZ-g4hh>G4wV3@Zt@i zq62$XNUNWZ$;U>gD9%qPawHi|GQ=sifAa^7BHtC#WM+JLzL48gv;X{irOP%3g?Ou} zwiN{Ji&ke9#py$M&M@7A{|L4HK3!S=ISF42jjN%y11IIf-+xl+J0F}*oCeNjnT#Yk z@?6VblDb9Gi5&Q*3vI7g9q&K#AG9yjO_k7MRs-lzR!4Y>xbRO9)PF~x892zTBubk4Tx zL5&E|fx51II*U={QS}sx%6Q|}Jca-)%fMnYE4}0iJ2?yalM~^vn-;PCjVVG2x<9TI zMVpL!#$8}$?V&H2{6>$j@&-vS^;63$AZFd`@OU}&$wTpq#qhoc`d3o1IFSXGtRXYh zeQ4MTXeiN8uyd!bdS^@|J(Txb^wZwas?9&rWCQPeuL3*o9jfpG^QR~8KG9Ad)WK)HJg!EIOj?I!nC z<=QJt83MCrrMZtIHwY1-ME_?G= zzhu$@N(jzyZ#IJ|cqm)f{#mb|Uayf4sf~Zrom?QrmO&d@)Al|}8}9BaL$usZ-|1a9T3*b>{QPN7cVXiYE(K+`mv9aFa7mp1DDSZEg&4}f zl$#1ZR==MOvq#P2o07;9!I8 zb!JuenJgKlA$dSE^~2yv(Jc;s55w{y*72gw-DmL3Akuh#>}j-VQ^&N{*V z6naNpokcOibsOwI5O}f5jPhwK-=s?wz<0G$jVQ&OmQO<*)$0fsGd+Vi^_tt)xrb}8|c?aTj!js ziwJlWMYf_K;eNYT-hKNgf;@Z6>Q%DNLFra(OX+AU;9vpc1A4VsNt9Je8A-8!V8Xqo z9-7mzDI0ljw#}A%QB9u-TSgya+e8Q}M`cIQ%^8w1IS7K$>@OQnLTnbmt~*@YZ_tua zY~2Szn`vaVqkpdS6V?vWgi^jyo%Vg}SOP61jJMji-jle>IHKftJ&jZ=Ugw665b7?~ z0)5dhh)e;}MFf$7dYlipkWaST?qiby=WYV<0Q$Az-;7rq6Y!_K6;>}U2CmVpC{*8n znFB63Vo@(#BXXpU9}Xv85;Q%9${5gji9~@vy&;T)jDs`l@ZI#IFBFIAkf~xU^~xUhLF; z&snCGl=?Q*Ca68b*)vZoSD7ut`DD+%kTL3kl2epfU<- zll_53tVlIf+Cd^YXvyVjR%HE|-J8>Ij3x)@8-Y%i#c>_6LQ#gG*`7^UU{`{O`p5)P zd2p!*di?AF9ba!&5rl1B^J$7|_@C@6o9*(j^wTR<+*}|;5MnH<=e`M2H*3ymylT!p zn7w<02)zBf3INt$vlGOl_EFYw+9Q;@T_?;XSQWmzk?#blEex5wuMe(MCwJP!vE}9Xs`7-N4oBTH~Oo-Tq@iI?bW@E)iP+ z@vvyKGWSa(6!R##f$-WTw-u%$>02L6t>uwx0rQ|h+>Q6F&&e|}XjV%^0JiiPVZ;Uy zy=HQ&YV+D2pT7n=1?8_?&(DW3_cc+n@v`_zQ;Yhuw@jB|%Wt@!_y7LlgWAauB@Us4 z@w?_XAq(0z7ZkLAK+BWZ{V8>C4OrN^fhKTV{`!^v#^twQY>H+!7n{wki|z7N1TK0U z^YcUK8a}ool;^h^8^obV`li&_Pyr+j#U*g;Uhz0Yd9f15 zV6XzRkkmW0=mwO1>P@=?#y5WW29@Ny{)<3Kol0QH&j|6otLI2nFy!YY`o8-=P#`PG z_X8Kn|4{?KAGrS;@4@)*ZJw4+Lw-&4?^_O*)ck2u+7@9~ejU)%)^UEsR780?f|da{ z{$jE3gdwPe6MBnp8-E8FfF|oyf=FpQV-U73E0O{uYA^p#B}~Y0v)v0fZgg;*=TVI{ z9p)z~i=9IZx2M(OQLzyf?1o{5`mS~tdY0ebS^nDQg7BPpQ>v{U_rg}Ug}|_t+-!)g%p%PV{o<;@{}e`+Q7J{6e^JRgi22Ar!mpz!$8D-$AT zu$CtFeJ8BT^UL#Ci*7Hbv!<;fTN>fF38G4Xmc1b68!#ffT$!ED_NX**UTCZH2d|(% z1L3e>9y%G-8kJfBfEDHBarU+OTXO3Z1y<7ZOKp{70x00=``vv|Z@XnrCE7H-9KkXm zrZPs9Vcn*ui1d)JfJ`Dxi}~Ftg(4p<>nt7ZbwS)jZYsiUZh#Rk2IA6lFgMGjwpkIu_Q z?^jxG@c`^6QUh}f&Yuidrkh2;e`?zi*;(>|HkKz42ivP+y=JEW`ct zAKN3yu@1ZiKV)qU!}Csu$k}M|LNX&ph47X>&en20j}u`Nh7zV04_guTNaOZupX_Nw zBs-l?|CkT4$JOkcs)1BmUFqD_YL6ZGN{Y~L?x$a!$}W_fDi^>)(%L1o3jDXtVb;4r zSB5?<><_!xE*hG})2BgXHqji9)NIFE1d}Yv>~$^)aKL{d+B*olVcp*0*`Nk}q7i2O z7vhxKl#nM@P=*#s6B>yB^ftmZ(>8W+v$H=y`<_d1{*rCgrc+F)k2^fsg26R@gzvzD zZwxFl(O9(XHvG4i z$^9?^;LC*sn#O(fZKD;n)=cRHlhRS5{e?X>nG!oiMgFsf3H~R*k-HefpqF)p>Q9DL z=jy?(8(|PMERg)X+K{wh;)b+(np&)~;N#}+xFy;TCV3J6BUFmC2UR`+U3071Va?d7 z-*Ugq^p7+rNTwB%{iY|}x+XS2Y!|fZ^f!3a0C``1hR#vP#zmmck1Bbqwr@W3(+iPK zsMZ!zTMhFEpM8D6d2VRnk&muDtmvQtOcSLza<&d6>WJWLB@5+ zc?ddz(MtRt)mJ*Uhn<;j}CvB&gHqOl=Zvl|`E0 zv47`1iv7=`mHHoY=D$RMS?YZ+KSgSMsue~HLmz)6EEp?q>UIG=P3mNwBO*8t8&9fH zKQs+sQ^!sfUkdHB`WNfJ>1z7e5}z&ABzh7AD%QG*Z64l80 zb;A^xa1coDYNf1xflwO}J7E>6lIs*n;8?9#OoMMvoqX1P4 zAfmI{Qe9kn;E`D0#JFTIIsSXy7#wF<5+)I-@xdrhV3qUvc$(_;Fxz3DWFjQoIOGw4 zFQCAgHKndduOuinnOkwV7gsM))*uEl!LJre7=olS(2#i5BV^)- zC>_@RYX~Gm*#oT>Z!1iLEqILCuVxv#xGK|?vRC7DD6zBW|ygzi!fZgxARSE;ospn_s0JoVYU!YZ<5Zu!Z`9 zc2Oh)0fDcu2$a@a+qDs*cMxGx>zxDi*v?*Y#b2HuJO38*4E&A;BjlWSZZ9%VOlvi# z7C;s2+KSuX7LU@~O(#E7=VfC&^xPcJZ>sQSrU(6!T|C+yDjUXLT$Qr{i!n9P7aCFn zpTqkNd@MKt>Q-Sb!PTcp$aTK}krIO=hj;)#fgHox;I#=jZEkmFnC#1~7ofJ6KPSQ0 z;)%U{iPU1_xe-zaa|i$C7AP$uA}3H32g!HacZtFJIGV{n07twxM&M);)$=Tr-lw8N za5b0axG%A<^pn=Kd`u94`8i21y-yE0rX3YDGKklG)XX-um%s|S%6&t5U?lQROUbdbC;h zvX0<}To{!nzj#O7m?5QobwLx_Qo$x0cvSG$zqS~hC_8hW%Ep(MD!z2}V5J$w*S)(>%Pn7`Z~a~n_hkZ& zg^Zm0W>DG9{hkL2Ofo1J7AL7bnovk@$T^l*eDgjQ8pjy`M;)&&-rg(4cFi*dveoSq zLthxA#C;TLng!7Nu1l&~uahv)GtsBtGKf#BLEC&OT^9g7f4*r{Q^xVG;KR5$9(=*6 zpJru$&IyDHM|6x(ecS(eqYttGvH{Wzst5iI1%rr!Pr@nOz{W|h+Q4=b`OPZ;i+h$r zH>{w6kQQa>M>f-%+)eWl$aueheWqhGQcD|b(6*kU^1LH8|LDCt&>lj_pVkN{Dz1iw-lfDDVk){K* z3M^#Ejjb54;!f8;mi6QFWj*ojJ~8oWFk&W+qNeQjVj%-dP zi!1t!m`fz*Wcs$F0RbGO2i;NMvxKsff5kmy!nhMGT1O6tL**GNxUHs~B~6_~bV~SE zJM}m<7%}$6u`Vm}2Sg>v3$FBCeW~q*C9+&+cL0;uO4_$DoR6~zp(rxN*b}riR{GR6 zXVX-pWA~P#MzL{N_;du$>{garGDBOJvKJ5rK{9+LNEvZ)#y*4s^+-vKH}n~G^SZS3 z%B;2UL%|K920BqJBY)%aRG)`4iu4Q`Z3py6>~zc~A`^yIK;?83b&Y*sv&$KqqiC$! z7y-?{kw96R2M@zJglPe2ShHelyqIOrn zu@5xqO~Yula{c4NwtOoe+dHdx{FRUsQ#o4>hE5D_+bg^#7IpM>oK*|{_qhFCt?r=n zV3AnvJ@>|uorN%?l#{|vn2k(_a0&R}JWqAWhIqOM^WwpEfE&oQ{iI0X7*&9Q?K%SB z-66jtcW#c&64GFIL|JZ|c&ICgUq;;+I&%;ZS6)t|4g%P-{Jb8~ z+6}T1D{fQ(0*SCq(YCDWFwTHmdx60!*`U<)mado0gBk{Q!koyYaS3bGH&HewtBVWU zaXIY>{@X^O)veWyi(CU#t+1!=tf`8`?3XPQB%x)u=V zzH0*>O!LHeS0-M}8go9isM`}zFtc#y!fl8<=iQAgIgG;k2EI0&e#}Esux!ykKnlpu zuy`Sf{1tqcvi(FTp)acNvcsjjTi)IS7wZ@&m>I;0tju%)a|6PLNr25X8_>L3g`Pv0 zlZPYIrN?_ zbBC^$jlLXV%c?Fg5JG^7{4exM^%fRFy) z8ag@ukEyqcimMH_c5!!iw-7wIySoH;CwOpc+$~t+9w4|k?i$?P-Q5G6{`UUQ`On4E zW4vqhMXzjTAds-u2IZ18vLqt-zPgO*W~N*6b`2a@^8i;FruHCz7U&WX@w{K-*I_ zOEhPwK7kBY!ix`x%gBW)KD&T`NBfS>Dz#j)O10ciR+ftu$+n5SL~;X+my&-sN-uj^ z#Qa<9(=UhNESx-U1+4|h6C1`hNEOpK-wSm4IKM_{0noP1ZJVhgz>kcDvPyy*nFnI- z@8b`iE1CX=-u)FBZ11KhG>#a>-|%rJ)%zjeBCzt-Z{5xoVkT0#gAbAc>^Of>5f^Eh zms&l4)xBF;H+j{;I`8LjOD&Ksq*g@S}(znus|eiC`J`-$yL_kg7DZr7T$$UMK5=k|++aZauXw z3JsqjtPVEoE;ekIOQ_awt5?O96U!BftB{|9y{b^$XT3{e6 zGZ8S{K$JRydqDt#YV8p>lfWi$V1%n~M zVW<JUz#Ov#15Vf( zvj$;~*?jGV=3r+BQZ`cKfJ%V2osd9PLhk>4fDwfmwqWeQ`~YJQ#sQ2Ys1WSahYrQg z@ju}W(41TxK(#+kUjRD)SMv9VFpCl)82I?*p-#y0XuqY7V|1L)Kc7~%FCCvWseTWz z)frTXBOteS6N|`<2Q*~rddIuMBfpxrpUabKm|)7>h&ASl>64WBmMJtzC-UL_NdMTI zm-$<_48?DklhcJ=f6_V>pghF#p!d<%u0W$A@^S zX|;LofyQ2VKtMq<=kvv=hsvaIUcU-9EYQIaI3dqp5EjSO;!__-eiL(X#HizOhm6~@ zvAqfiZ{BAP@Fca~PbpJvfwaNh46;oRszC9g(3sZk|Bk00ad*n3Gr1>-A(Cif`DS@U zc8E^_Do%k1rGJlz6O5ivk{}uIU^OX}P#-Ou5PTM57${}Pm#PDXn z+B7Gtg7s+Kr!Qdb!G;D=lOXIa21c-HS+5a5d@&ZYhrq5&RL*Gy-J897MOU)Xqs@JH z-oa;MiK+8ZcjYakTicW~5}wwbW9a+oh3Q*H+H4QYLW$J!2HY43I#1F%>M(^LZVXtg za-Vfm5=ohuef!Ap2;t6KM@SwrEpJ?I|4n*ka`4)FLs>w9_?+)P-57$fjbXQGwAKrd zzavOP_YPx=w)|xfMpPXvw+j~ku)1oos1Y(L-$pWhC?q)0iuIey<(!+_%hB810y*s*1o&1b3jIr2PpJK;`{)h5$`w>Wa`Z>@wlIT=TsDuC zUKaW#f>vhnRp*DK?^!w^VMLSm4Vqyl`VKDCE2tVRP&yiGt`M@L)t5&0GgURhOy%fE`T)|>K^VwZP3>isvrTe}-(=tXa$ z({o}t`#vh~yOVX``ONm;H!k=-)$^Q0jO5<>H}Hc7M>$Dc1I0k( zj_)udW){#Br&9@;s`-%S@Imc@@>Z7;mox1DuMZ(3;e=X73|;|hnV_eP7hYn z27^0r@!9mBdzN2&?+0|5ky!08$%JOE;C*o{hI?}mZ7;Vo|juVq>` ztS3&cEm6ntB(0?9Cv_q(r5wANB+cM)=YfV93~b)z0WC!4nd=E74}?Ra#jLF3Aa+R@ z-xpAFN(xL?^~O~R(+mucC#bs)1}#y}80mLZ%`#dFD_`+z>YB+hs<0ztUcBd9uaotI zG#4&4Hyptik+pYG;E!4-LV%cY>WERsh^wd5*X@J#lE3KZg}$7WG@M(z+N3`lJjRrb zX!KZ8+M3JlhbyKz*)dteXmOZ3XnS^6qf<5cu}by_tOg=w6UjHmjhbm2@LYU0IQkV1 z?3KNgPQ^HKf{QaWi^n$ve+SzV`3f4dh8ja5J*&au6#t^&=*_IA>jprLkg-=_6iEnD zAReSIO?{{2C8)c*ysJ6?B5VPuyaKdZuuCBy((-3fd9lVbzcfe|!c^kBhQ_*upqzI~ z8=BupiOtsA7cVeWp6h{!8rkD$`HJ+YBx??j7hM3{HjK(oXodsEyn1KSkF>2XE$Cca zf3R%c8=E^2-9sc-Cl-M0fep#jDil&)|2Et;{|Sj{9g}muG&C(ZcGgHfPNN(03B&A1 z0J|ouxW8NI+uHv%6!GuQ2DrspUKrP*X?_?|Sc^&=->BpXUkj>9Vwy*>E^M{rxsciI z#1qALg(&p>1BBTsk2DwbJMF-8X^Dih7PJT@ejm4cHzu?bT>sdT`E*@b?WWCxa$By8Y=UOKC zkeU|aZRhhp1|DvtQmY~Oovd#WH@TQ=iyU4lV+!KmazD^>f%V&~lx1TY&8%(lM!*uM zwFokFco!>i)Ir}|NDVBN{b47~p2`ns961$kpxBV}f73W{iHh@IMf%H@mJ#IH;M4^N zxCmik`x2R7;a1!S7bRCMz zRs?MhjxFSnp~!1+dG8!k&9yNbXd18s1Qc7`=|vX9qIX0^%R!U^2CKCr)VgZydnL zb|*ek_HW<*&r}gSVr2jJ|E832{CD8`KO;u=Z``2Ab|*(@C~(4AK6t zB7n-foxVXrvx6s(Vw_A2AcS70JyGza5o~FK2d?*T7O5FQ%uvp8kdS7;c4%jAz)mY{ zFu0vvXYFJ4)WyR`uXk*E-?e1X!E)CqhB$*|LW2XL=PE6k^h~%*kYct@S^?av$Wr=u+pU7IQ}4{p2lT{*CD z26F9*hui2FX(%yi1Qg&RZ6~fb54QbT^E1>Y^eAgFEIqi^Z`-Epx{Fh}=5V=$e{jfs zxol&+T93A$VzGq9!lOT^eS(Z}-(7#O;V^PbH zRWJPg(w*Q!uDUg{`CC--{;BC%!w_i9y#mo?u2Zbq4KRLomg*lP(UZ#tZjIZFMiH2N z-$Jy!lZwe0nsT8A%bD7A7&S{+!0X6?Ogifm;OG)3%-{J%}H%SxnVeU^|WJtY48 zbxpeGDgVt3`$4L2RJAn-z^p>lO@aZx5KL=@5#jTNm^};*#x`WPAC(Nt`cLa#RHAVc zEM+)@gJ;L8Ex-WTqKneI)#n#-9C2V(MOI4;Hxv#j(h&r4L3(3 zIOprc)=7|3pD6g%(-#M-9G$By<8Jw-s4BMHvg|0PnTy*cFEOS3h2V$-bw8*6!NI!E zu`6SS^UU?`fUOYWXYla$k-Odt__ghF0P?5~if`XIMq0Qac?z$;H$LAd{FUXYZBO)ik#7oPw%kzlI#tSdJ+qlSAXjuJcnLlt1n(s15 zki@~IYs$-}Ss+9C0&Zw5e0mfqP;!4lk_u)FBY`qaSXIgevYxWwQPBJ&=lf3a3w4N? zgf(vr+|tMlfUBn`#vwjSCtN8uXw_nq*>#^yscXnz=eaL{A^w0S-rx5yeQd^~6}V{w zb-=zHAW)BhE0FlgP4SCce~VO+fL)7S+Ih|c2rW+;!%&kSOtIzI`&H!mJ0?u{M74+F znv!iZ^$2ti<*lk?26@lF*(cQg2-xsQev;EZd2!q)fYp^|K9oTxNn^gC@>}($xEu5i z!v%Q)K=L2cp8HfHN0aurAXv$!XfJm{kcf!nbo0D@$#CL>>W@cg2k+evcL^X0< zV_t@M0!s$9p1EwbGZyORV6;iddcVbC% zvFMmPfMNTPK*4kParC7e2GSxi(zzrscWc1WhREI$Re5oa?@I-j?BfD)f&w`L!d75DM%Q` zHx07`M+h!=kDZrxYIYe4WSMYgx0qHR-6IM{vFzJf4zeMG7My~)q?~P?2 zy&}2u$;2J(Z0NJtNKVu8utL^CMY-!_)5-eBNjr;oPBFiOZG6w3VFI+$15{44d%h5b zibz^Nhdyv^lK!Zo;-k0#ok}xATd{4S}`S`gqpeBu~hLD{>Y#Eey)H0v2p+tv{>BRl$8VJF~ly z`}&*CARFBoR=Cd2)yajWhtM!np{}@82+CWX*h6|7b{gwX0>qU9Yrw|6W$U?Uhua?~;| zY}KnjFG8k5ol3f9k(}r*BmS6rSYPK~`hrnGe3UfbmFiX~EZyqZh9cV66-g5Y4@=t< z7dCXC<#=J=x&+sWXlFc{NLF2-LbDmLFLwB9;nKVVOy~3Ma@?%&MoT z2nI8JxF?V_#wqko5Jn;QS9lM0GuH3e@Q`qo7UUc(*&2J4I+#bc@R2)?e~Q3-^1y3$ zA&0+51iu`+^JJ@`q&E)4oQcwoclJHQPuocv{BPmF^*>5{7Q&5OzA;g2Zf=e|a+PYtLV8S})Ja(zZe zpC=I{4n5*SvWU*TZQnqWdC|TR);MTAP!F2(Gc;yoka=u(fsLo%XX>^S%I=E%|NLg4 z=*dr9pz4A%E07Y~`5saWgooqo3#9p9D zO7}c(ynMJp``ksNGm7hO?Ht3jt$Q9hd}v5T>aJU)Gis@wfapH@?>ELeMFD^}|AzJ# z;S13x*6Zb0sEr7F4%UR2Lz?}8TMOT+?68jYUlt!U0eTm}VN7=CNx0c$N;BMjgHecI z*mj>Tp81V88G9#<#-&Vhvq6sn~`3AiIBkfmLTUe7aRh#KYk8Kphg;bKqPN}RC#Q9K_ol3DL0;y_Uvnu^eq0E zesxKG9hGQp8i!1n?c*CSaX7&G0Us3$$T6DO1jn%HMMbj)_&mMei-;H~Z5fUq&vh6k z!F>;Ev9(@t^vjt8`3*u_t=Lz%mjUS9+^mnVk!7w{HhSgb=ON-y4!8l8QxWD(HRx^6 z8g&R-dfNlQ+2bU0zZNw2AI9qUVJWId=(Htj4(*-N#|t@{zNPtlQUUG8RpPKJgBMy7 z$xEniv+N)F)qy}Y3$5h@-3ED6UxH%)s%kG%%ypDlNp{FdRiyq|PM8m5CX!KtFwmb^ z)+r6*E1sW#`&o@R!!c z;CX-UqtnFkqBjumlC1OV2ic{c25E+8;Z*)_CRq`)!d(aeLg>u@z4_ZJf&b>?Fhi@kuu zUoGv$v6+ZbR?+^TuwD4i02R2JZm8^8#IbKx8N)+36Es}UhiaC;2GRM{e_+Gc6IAt5UGG(Hy^_+hI zYH25`h#7rLA`K!n4I8rEeebyKvDpmL9LD}xZR(=g2iMb(>n{iDk@qD(7Uvu{bkLvJ zXyE?MQu;gv(R}lJgC(&wOOok!trL?=Vr)HuG&^DuW`q{*YcP0N86wKRZLJ?Sz$XrO-yck<7NNa zMiu-0;8y4tj9g3!!F2wB&>&>pF7zQvxe~RHn{8qMB0?o_nnXbF~4-s1ztIZI{pnLbLDUFsMKy#ZT zy6Ho4SGlCZjNgp^-GAVAev*6F(U+aRRB<$xVyxe42YN<&S%W*t=`6^G`l#Emi-g>i zVCk&}SVqG*hO;K!!_yz!zSmaaAh80SzzoDck423D$>)S2e~; zUGU#{o(>E>6ER`mcn1(BTJR*K0RzdgCI@8H$)LTlt3Fw6p59S3_7Jv_M=w5-&0^PI za)QJzf9`UG*RMMM`9zW-AZ#T6Oe~hec#l2)^Wb>UPlIHLvtqz-_&(j5mPlcSL3dJu z03`dIV@v$W08#8@?{65;h`V3b$hw;6Zjp)E3{Ae7g#bP3lB$Tu>%B`|3`lEtYZ66+ zG!!vr`JQT+KO3R(rcVCTu-kTFo&~Sxq||-=DPKiTAHI0ccQ@gLzZ6eaf0;AMD4&ORog4xRw z>e!c`@95ul!iMm5iUG=JgMjkmziWTV5Mh1ehvNntO8lI6<{#ntS2lnZc<-4QGakOy5eEh4IcmUbT5Azd6 zxE^>b990u6t9q3FX6cc>R~b;g&3Q~MY1-m)dt0501V}-vKkz!_8~~%iSkZR^R*L?z z*-3bFs~o?(KYe{zGuQq>?$+n+N!-}m@n}i!UUDZm;<(e8T-xAn=?@zP9a~fR4^0v( z8KL@{CP_Ql-<&ti&F93xH&?jiK@P)w!ob>F_hew%iy?`Gi=9Y%;~ z{yONktVo*Q*f?n3xdBX%e}uM_F0knNcRZReP86^j$fw{b8E)xtMsaW@E;q6J&`RP- z3lJ<5aUTbgWH7Q6kXgyBy;yzlt>5fSND6p-TCjgpjQ?anKxLm$(zIOCdgSZ~)xsGb zSGjQg&Trf9-JT6cpOrf1ZQz5SOD033H*_H7V)Cwnd3HaxC*h6DtxvOFzJsu(}0;7cb^Gtn^lA>&MfS zg8pY5fEVhE6lofOP@T@#fP;#3+&+=xC&rf|n-uEB?jFw!MOHs1kD@LFe=<&&xbzRI zX#%JmS8WW&K(g`s{3oI=vTtHaaXP$Z$sp|^Dz~^D`svLa!Z*K0_cq# z#4MwvPi6qBmBHAr&nQLPfq{(^@%8> zyu5;cxr_()wP(oG)Yw#Wr~1&;*vE|&v&i^X#t8^lkCU_{os=^1o5{e=fZL#b7{ja{(2vXt)3idiomrd|3Z^uB_2D zano-Hl+T0d)MnS2|={(8MJ6!=I3Yr^ZWAS z)ivJpSKPNvDRvwk;+bci#$PDyfzr3q;vBtF)EU<~iuagXD+7}9x{6d{)D5F6?$gPr zd^5g?cj}8-U(5io#&1cK@lEJ%GbgWq2;?bGY4XQfP|yqq;i<{)f8VBH3(MAuPqwkO zP{z`gz7vioF$}}C`L4dbsf$VCK2h!)SCbeq7s+Pth@&6ub$ zI-EFbJClh`A+K?YF(_sr{Ni|?u#v1Wv={H=D+oiy&CUcYX*pNVV;WD(x^LR|R6D|8 zyQpp=!2caz_II{99zu+*g)u}L9(ibtEHuq-ZLY1)QdW;mlsqrUH9}ktQ7#szk%lgX z%8g@F=0+G8lWQF`!JO5tgN{;LLNN+cUhTu7ofjTgqcZ)VpyRL6hxk^96c$_#e=-wl zW>$H7V{Zni{uj)Pw?NcqO5?g3K}uKi4@SJ`I0!BoN`oGu=vS%&d(_!xYGeU?Wzxowk zIwtY4S5ZFt!IAwpjF508EUFe4-8j@l@3rPsz|<=uzJ?H%*)4Pd(KP4`vhX_ z5^A*9WVvr-L?WGj@9wuHJSQqd5DZc<4huDrU4b23e#kRicGM_kuamU(FSvd{i`E%lUvh-LD#!6>W36 z)RV-vn>y1etGuh}T+YosCpv{yCaE29WPxY-Y4Mly{UI0H#7%Hm6+x0PY`87TP4O_! zaq5*L8;942CQe1mJ;JLfT)mm!JE;L3H4_-YG&+{sO@0PO{x9y%K3tA$eM!ILZlQ(k zBBh^M?9?ESC{Ldp3U4)cgRmpIMJ1`t6#kf!Qa}$EV;5>aD-d(p zkIjUOIu!3Y6<8nsSf732x@! zg0%`j0CMfLz~70%r*C!PzbUlFz0`?-5JC7rJ&U^UxJEzySZR^w>yZk9LFKIkZM%YOli$kzDmNdYj`id+K&l zaXd7{UChL{32CTdkKql90z4#2_%yGd(EWcb*RXh~QLmg7`rC(xB=sIDF%$8GtmBmE zJNF&_uCVQ%mn~>pbn0mUa9oL~{CRL@Rl&m_GL8#LJpdHC*w=wUDpV;~?EJuw6LsSj zYo55^Ml;eKBr4)6k~GDwIB_qoqpI(_e}q=6rZ+W`^<*mP%MOme56D*J2Qf!!v37hy zBI%&VbNcC;7bYm{+YFgiJx>w2EJ%Wel>i(>S=MXaaWY3C6?aDfcX)d3VN`zKZuD1o z{G(raQ<0_y0zNiV9s!T>_1HiI@oezu{F3z}W#aMA?p8pPN0OSiHVV6 z`{JJ%+`$fCLrVceO!%QqIRr1w0S_(?dcH2)8vy2l*A3ByI0`k4w_TtYgwN+}XBOY3Uj$m@=k5z28|KTzgKv zmI5Kn2=W2Z{!nS8iEXm!KcHgg@p~HgLL~-zKj-$LBIrb2B8vbw&4k$>;X$Ep0?32P zo=&qO#3W9rDpN!Y#)i*YVNiD(nDC`#DuV&L=k~w!?9B194E;+g2+J*m`c8T_dUcDM z7(GBu7nmOi$ns1J^uBW8$vU!{eiO5KF-p$iDw*) zqY(vErOxhAA)|q)kxNAIbZgh;2bV$UB-%Emm|1M+lnMg7J<~4)fW&Mct=z9%r2>|| z612fxN7N2(C%tAst_93VbgVa5(NUKMLNX~QSg-sO#Y$Gv-qyFwNtoScCqYHr(nk1E z%T-ueej1NfW_QU|AaYYNp4GFzt-?j&0SQ1)9iDg74_f_GGSNOR^n&SV2d=in>n~D2DK!uq;*RJ zfiE&**SHo&3!SLEFYp!i@*pkl(ITzyRtZcGsLi?|hjA0%tXd_xP8gRN{6;s|P|~Hg9mP9lT4zJ3x6v%8ZW8&11e*U1YJk+v{D2OVEr@CkcjFd{}=ECw?NKXZH3S3 zD1K1Kpc<{_^tc_j7l^3x1uIe3r=b<#c?4hN*+8zGc)Ag1=|=W2ShU-~Gg&m5F7kFQ zcpCfCfUZLJup5rFtA;f|!`&LUt=1!j5~64%A%y`~#D28i?f))>7y4N;LiDvvp=ABe z$Kz%gmg}23t0rXXyoNXo=8jQYTaQPtW{>&7jHv2?)~avI^I(Zi%19SkG<*tRFgLhS zfx$8Lr5Oe`U1X`qh2Yz#6;4EeezDSbydw4kb%f96KdX(lUmb7+%P^qFXitAW#e4nq zdVqcw|F!JPNvcgu8U^$P<@hK*G{vMZ#9vb&86wHL{1p<=AsArG`FT{4Cu7pn-Q8dq z!4U<|4TI(9)SfoF&M#c&So1&*sA5rfARdE%%QjMGsj-iE!;JZ-5?77Rf@Xtv`{Bo# z21(cWE>}t|=N|`P)86PHk%dB3zo(UDxG7k4BBT`v3I8sBq~mtkLMUY-XURMx7Y)b6 zLom2d#-v)uyRiyoGlW@F-CdDzrsQuepD$j3LIow}HGZDBF?7=7&<`62fRaCmp9vks z9_;^R)Af+N8!Z>V6Od^=9?n4&KLWs?%;*O;* z8acA}Lnm?i`y^Twz+LzOFpH%{rM1upxXTI zCk>wp5kA^kT!_stbvTsZALz{5foUh!g|x5T1(qUkABH{^WOoFceCEez<_w!h z6hB6VBX@-nm8z|;j152C23+h4i7Rx1Fl@BF<^$*9KHQu5)cnJe;e9B+o}*06l5U=s z{9QEmk*`>KW{A=ItfG+Ww}u={mzy!Xf&wDqD`X6+JJt;>0dy)QfUv8 zn}+T8j3SpYdzgp>G=0h>nW%0Ow6DW*XN@Pq0zps)q2t+tK{L)|>66UqtTP#-nTh zZ84O@cnap7;b*aP;kjrS^n%qk$Dap^V~<0QNQ(Ik{j-wM=&+lt#E*wz_gtK)&aE?J zAFi?b#dw6p=hb5r)_SUmHNMDNR?0`#a*KsdC2aa(%Ur(p&V|3wN` z7t*o`55V0x#Kpn(xH8VBCrE}jH`Kg!L)v_jyEbqZD?6RI$NX+pc!IGXJAF>@OpsmQ zd_O-(i61`Io^bC%E%5=+)+tn7gt*{QXs-rQ>o8c&+x!S|uvukNLx)_NOL@)hRPT~G zKQY8d%rHWsK5H)O{0jkeqRo+~O)r8{qIqcl9?7DfD!Kw%r?tZJY8RX=0S> zvd=a~;v8}AS!Sw-uZqfc$rV#LnGNtfsTwmdOexTdW?cPi9kt-GT&H=gSB)2;%% zzO6eVGoX&i$*s^JJ{{an5JhJdkaonp5Ace5Fb&;iw|Eh(3vA3nS=o>epYD=jk`@N# z2eM$G6@R2}9IYxB#nc-z<(U1h!!_e0kqkGoRHH~0U~I1OjUkYLKUIRINYjVI4=Q$lHyK{Wa3s~n4#S3ZI-IpxV8+e=WE z+o%=BdsSlvk4z`!P4qTS+nr@%|Kmv)O422Pl?Vz}AhI~oXJr3VzU8T+h2M1?u6;6z zJ&p-C+poGCwEFn3A3$&LfMD(P8o?lx1%VV+!h7(4A$ZOrrm*TKJ z{vYe&>x=#WXKm*IJY#o30^&{nXLro`zv4Rp(WvX1^ka36=mH5`CFN=-tMWvz`gzK( z*SXFurR5vMFJ?qbM@Z4pkGGDc4GP5Qq!YPu7dwMWsy1>T@3+}wnWGxxy7O|r!WAv) zhP-$NwdLT?y!iO31TrD>B&%2~8SuIcYk^$U4&AqKEITa^;mOTI#UwGx(2=e2^5$MZ z$++?6^J~d1sQ>xULO3U_!3EoQI=U!#^LZukV56Aa|66&5l>UTu$kUMsG&hv9={0;e zx^B%IN#Y#cUK&9^w4=ed!t@YN$gfFhI@tHut}AQeD_0F4_e@VY#9P+>OD~@uHItZ5 zLV3C5b!@4YB>$?o80U_!ytp&T-U9{zvOZb4nCWyhJwPAMLA~2_8NJ}Ada)P*Dt>I;s+|P^c4_Ne5{=cI+`;y z+DEY9)ahBTZ|f2kCu^j?-S64-I0VF%gjH_83Tjo?yOopTV}kWytPu_jU>)a zD~Wwq;<|+rF#&RNIP-uzla*-YysD%aaPFg8-DC`c0ioSnz%E;IdXm^QxFBDvn zhagzLjWvbQiB8dUO$(`8cGC*a>={;rkN9@Xfv5%g+TRy50GT3Amr7#deXAu`=Z7aArbXREqRPd(mDi0t=^v-XQ#$PXGk3CoZ z(^B(CVeH4^pWNpa-1O(I7@N1p_kK-%grSNl4E(a4(ce4p5#GiBnydA?=YrNl^6J z6(r{NRat!TuWslT6;AZ>vOafIhyXV(+O1GKCVSB6cCj>|r6)oe4-)Uzw%RZNQ5X4p zA5$I_`wnf%GWw8~iK)DoGcN=08d60WAki)PUGaC!8Ym;1-|#H zk^_dB#D*&IM|bDNBuVCuRNiyW@n+@6hwJX84_dce!$lw5z2v6;k|-p-drh@z3ieD+ zN1tzlwboAn5vlG~GQT7SY`j_+FBaZ@g&MKGIjTv6nfbCDQ{wgILWnv8N{iGrabj5V zB{d>{*_#8vVOvbRG^hQLaQ-sfV^fbIE2^hksqrlf+9|)7fjd0sy!!@AF6N7l5W1Wl z*W-p({S3l5!v>XXIcHwjdf3t3*nMFIeaQmC_Z}F4H7ELtgZ|V_|B6VK$OK#m(@qx) zIo=n<8oZ@07J8*f4h{hO6=Uw@qa^Vl(#)b5+eZCo&a+I&CcJM~n1Af!n8ieq>*C;N z;a3{T06t?6o{z^byWb(<1x$|CX2J)cu$p)Yxd;$UoDhC~K^PwSYEvqJfrV|ynQwJ_ zPjXdlPp2M%na(5cb+=vRp zU^xv~s_NA)Yl1s4*rp(?#Cbv>WY&J;kX4PyKL1i4e|>g(N>m?#kPr#QNyE=nO3oYy zR{dzvYi?T1T&<#Tp zjvN;U;8g8XZ4`yo>L}3(Fxjn0zC%wA6I#ywbcY~l8skt>f;zXhAuLsGl+ECioiHrd zUC%*(13;9w#AW@j#)UWSmi_-YUm@{0bAbJ9d4M83&U~aCY`p(hl+MA%_kVq_IM~6V z2w>kU3=Z&$<$sr1rNO#&4t5SuE041hG!zF|eK*PT1;j4k{2lVY6Xpar1E@|A+;REu zWZKWj0J;`(?hu6L0LLqUCF@^6%RbIrtYG1P4>TtZ3_C9u-~TjZfU4!r-H@Xoj0$H5 zXh2(5jHu?Sh?yQgyuaA)xREexeR%+;@K1)()H^B@D`&V%;wD$R_dkk|X|!cgrc z|F#;tq1DOpciEx~^ES1%ko+|L-2flC6plxXJKfowr%;Wr6r3K8#tI`Twkcum|nBCOG$mLAYJ5n`->b(q`>OD)> zUNxh|(Rk$`MMqXKRiR~}oua_GLjF@*69`cyw=zmHerfNwYR?`{eQ1d$o-q^lB(k== zFb}INGOMkBL{3{sXF1hP!tdwEvT_ty(ytfN^oSl4S`(pN6Vcizxe8M(b^ufp*sgDY zT|_*H-JiC3B+#2Mc(zPok9b!CaBPGz8`^nwvFEC{FAFX5Q9uYeq_G#DTtipeVk31l zU5wTmTk`J?xFvrhzFcB)G=(aY7H9{ATBh|3o@pwgNX%Cl3_@}FXu&qNE_?8@lng<* zXd_qjB6f~w!+qcO;%e3SN&|pEq?b|ozSAPt#LCWKK|LJyoek}U<6foS%)nSbU9mBJ z6;0PF8#P6R#zH|+A92`6`nKmNi7Pc87!D=l)7-p~TU9ks-<0~S2osE}&wAI}<{=Ar zdGFNZnXzsSV$rV<(if?~KDsM8I||^$-0B~-*s~VtWABUIcq6U9LnZK-fPj6OkCgp7 z;Qb8W7x_?=_X&9!QYa7HVRykvuB!$6&~bvx_W#yB0B25XyjY*P|8+8TU~6@6lvtd5 z4i?C&YaDhjAEz(irL4>h-^(qA*FHbOSruH9q&o)@-wI^ylc-%eyEAsiRc{+c4_J9y zs}kz>xkr=mWe9hh;kQ`hmFZ=sa?R6dc_sMgcr(^Tc_flXB>$pVM$;$T-m(v(kS2Wj zeez}rX#L{={Oxq5=&qf)F=EW8&y4rts`rL@|F1GepaE=CXW-^|TFAJR<=Q0p!TEwO zD?}?vN%gOFnGyuJcf;eL}waTz48V z^;z>29mLy6aLjaTgK9)(s2Jb1Ja!$C)uQ+fAo>3QpFm*0R8lOC9328T*9qoGv!pV~ z&C$C(V;9{afI{%5Ob{2`2|G2x3-DH-uYP3bkcvx)=KEI z(Yjh46PbVBl~WV)P+mY%Doh=Qo0V@@OA|Ub;leBs7G+Vv5|~F+T0r)KW>c-^b5s3P z|6gIO-7QU3!tJ4t)0wIAISgt$fV|9@NUv<)9NW+1jFUrO5=x;=aBq$)Q@FJ3G$s-5 z7&pjrv#R{{#i}yCS1y6L$m3E_?KKE2)75s6B)wo4hb?Ux090t0)xsp-zO5 zNHOe1dcCSQeHBH&U$@{Gd6h2=h!o2f=O)KoqBDV}AO}&PVALP^jZ0n7g z`jLP0WJyx6NMXUu0(ll^uh;XkaKbnlVd`@4JJaY=9A-}9lC{5EgyxB5?OVH#a_5#o zUBJ+79=JBG%DZxT8!fHmAvgvtBOcu4)tD)66{KNf<;%J-<=wH@6nYhLiX8HWa_uO_OF^~cwO7F?# zqrrnqjbPI`#5M{+vYOgGB(l`GDTjY>aI-P7Ya8Y!{^8UBv`x7%K9IxU#zJ*TaN(zV zf#AwKufv=wXi}z;#kC!L-548HFb^&Wi+5GI3Cr^$WMXdPN|10~es*kjWoh+hb4$b+F|0Eli9n%9F7c_z8x~m^ZVY1Wq+aM3Mo4 zQ>_uD4*{nS13!NSi=ToaPceZHg%9h2OJS&M2gRj0l1e^+i#=jcYoXDZMJ27(;6!V4 z=7CAi0R0*6%zGNVfD{eUZLp9{B|(HLkG(PwlLm{}Sr2t^h6G{Xp^gW5FsMZ(AJU(o zcEsJFf0}Qnz6V8=@x7P_uGg&;U~Z8gXc0W_X(bVfIJAGm`3%Ky(D3-K0od4K8Z6Oc zPO?6}1CX^8L)slHlrh}R_BkBzzxw{>>)JGye)IOt#mEoeo}Y)_#jh7{dRiS?M&y82 zhnDG3wXQv^p=@q-^|VTe5=hA*D|w=U#%RalZ(5bRJ%-x0-mKPPv%4P->~jj@!SFb? zdkX322Sk5TM2Q~G4~Ue&J%l$4Z0s=oh3b65H5%3p;0USMLBzL@mYok&)Am8`)zxXF z`}TDt+>}+l>1lK(AZrh3a}Em8q1<ogbE~?=$QRCFt_jR z%Bg)U9_=niYNVUua2#uZV!oZacO!PVZe@$>=s*`&Qh&5qD92zS{X-v0B=nN<3Hr zUQU0mLho$fd;b2_tDfE<7}Asv=nW!3p6$jK;5cIYYZZi;V?>qsQ^!Y4B~pVvTf=Z* z)miuP@C&mv&B?JgRT&=?7xPu|x$&ugk9wXTFky(O0Ur)yq7dZ)mXmrMeljqa)AY~^ zwkwCM9qsQERMtI0oHaIGujZ~M2oIvNQP&u>kNxV50C(lyKtiz|Dikda@&6YwF|rev z;W2R(0W_Dv12hwtX~u9le^vq_hSwq4VjsM=l1+=cT}f$CBtbBiXnRm;MxBdO|NEXp za%7Dx$Mz=BF1kiw4TnRX`#Iksk(aZ{Pb|5tk7#n4(B$&J20!rcx1{&_ z{n0;XM=xKcI^l+B%~>+LNJf@$nP^Q3H7c1cl6M0#{4o3O2~?AJeRFv6@(=BuN3A84|GZ{MMO)+C?&$`!5_L`j=0lj+gF zK|&aUfGMhwCKXECf18=Sj=hrDmK~ZTB`ok$gr__xFkhF;zN7>czWoWbw`YJh?EA;g zCf%76fBdH2OxQ$woA%m*X_89wBwKKWn$z&(S@&i-TX1go8G|#TSv1Dqh9kw*;AC0O ze+$F)RaU!i!kE5b56e#_q1GJEm(;)-(fRT!`ycXUYN^-rfAO}sOG4|zg+gi=p{eSH z!fRK!Dr;PLn@puqVR%~Q*RBfVagm)b%{#HT!*A*RE>9 zxXD-UHofUcFrVKK>O(C^BM;|dpe2~yxHzaV^3os5%jQ9=D65F3>Tq6G))KAvI(fcy zS@lIeR)!M>-Z_+yl}QQb8y9;8{+k|VNUv+Kw_d)#e`76%)>4$vfbV`D)Kj*5R4%zd z`$Wp!#UEqv6$&NTz*qoluz_NPYq9CZ4dGs<`(=SvpD{`YZ>PtT8MN!E9*nPD(bS*y zua_7~gf+aASaNVX^zF>o(kQW)ltD;uyGsxT(Wqc51u|aLlM+~SaNBT{T0VF+wCSKN z07J@Re>h@7NjaD{RXcCmD!6m6K$H{B?{u4*+D*W9Ledy8nv7!fhy7WTg`?A_A=gl3 z!%OAnF25dfGjNMAgC@aKRdeOS1eCHIn=Bl!e}^MjT2>9&d*A{c`nkif-4YI{I@d&6>slBzqHp23l0XvhE9v`UgTYMCZL|@_eoY5ze-_3j=XE%q>*1Y@B zQ+n6n+z^p&mftn(IokcEarM0sCQ~?@em3CmPqe$l1Sf*CFU)u;z@%OC;xk@6J>yTx zf7L2077ypVMBL`;Kh1e?BQaKe#he#L607;w$$61Ny)^oPoTs7-pna`)`g4LgVnBNHJZRE-V%^5Qboe~jE$6>Q;dia82#Rh32A)-S^6^R@}!qXcp= z50T;7zJa&Rt9Kv73sb#5<6-1ar*1o$-Z3bMV(J24(SSin^e+1l_X?H zNF^Cl$v-iWr7&BmB)3v2ylnz}n-6g3P!F^afzc;4Ko)XQhKNQ3Y*08dsOx+K(9uSa zL+hn$+@s?xC5*`gQVZ|S{y*YOe>^tM_M!lXkb~7<3z<0sWTtW#nH6evA23TIWV#K^ z9H!C^>+oXyk+8hEI0sz5b8)^8XjCVBN;Hb84IG+ciJOJ!RDtRcW5~a@MII}Cjx2BUG%b61@d<=H>E5_`WLZf5>MJ%mGV0 zwhxx@a??Q{@?G=c?6>Z%KmANZ+WWmU65&T3VzAla%qtj8nk z>6Dp2IK@+I#LiiVusxx}R33M_Ki11EFFFCbE6Kfh1J1)WQ&i4@a)OF6Xdz@vXvtZd|$nD_AAHvHN4!?7pxC-yt^0I^! zx>|+T<|^w*c1)tBZLl=VK!udW;&V*q!Ey^1X_ObceM09_%yAVJgP6j`&)f!&r*WOn zJst0gqHy!Z3xt}M@p%;&pSLyoQJ^1##r<@KVRfq}2#P_M6=A$lZUpo?{_ehZ! z;aL{0hYp=i*lg1X4pm!x8w%B`?DFB37-E1I!yhuxWDzqi$~IV7#<~}#0Yp%(HnweB zATu2wPZ01<{`K+X$Ftd+v$x|7#$cb;hnR!|kZ~yyo1w;rQe^dRm;WcVp47{i;W2R( z0X3KLg(DLJF*lcB7;!6qSXpn|Mi742uOQGD5~wzNFE>Dey0VhEX`ED6;0MPDXpymr zNTfniGW_p5dr%f-$w#h-Mi3zGy>osuv(Lt(cO7z^JQc~hGv58p0-vuc@V*T1`&K{=Zx zMOwQawA`xUGAkkywwn&KdQ#={CNGQVeEc_1!+;h7fAISpbh@vBIH>{}Bc-UNjY@(9 z(J*JJLjH(bhVDNuIO~gezw4WK>Af`eyY@E!wRg2Z?7z=m0NSntFv8RxK>`A}s{_RZ zqLK#V8DQRFw#+AgnL$$?he?&0h7OZ7t+Kk#Qa8Sc`XsFL;?i}St1PeF!cj!y@a-Gd znUqnVsxZxJgW-aQiz5HB@JCACzsMVRzy~aF+`oum@lBIKkGSzXH5X-o?}eFb@(-Bt ztg77!%~jGE?tyO|w;VxqfjAHVpd&>*PuGU1vic0$unLoZ+J8MyHH03{X?S`Ck;KkG z?0{>haP5s5fW1ZLW>PC1*UtSpld>qXNt309Ny3aY+{7{xGEAlm-^K1TOCqY>!797} z0qM{$SUCBbql>!965lbQ&U2~R8k;tV;usOh9GmW92!fuo+_G`$#;)_})V0i=vAvUt zu>PFS=WZZ>wQ^A5Q5#EdbqNctE@KdYn=@R*mW$03s|=PxcWM5z$|x^&GYfAqu)~uo z!qM>H@Z-VZ;mEQ`HrSJzBT2YpZ{iXF7yrwuy}BiX-SS^&Ni{JOS3X&oUY1qfT+N)b zz%7WkPPNu~-5ZX3UwU_lkgx)Xn9O>10`wqs`>C>j;8XA4m9N=^2XYERU{+A;Zj>U) z1sp?wmsPr>5qW?Z@NWV%grt9(TR)TvlAyx4z~!P!Zc`k8_Z{Ljm4T#i5%@b0e|C<8 z6ef)&F>sh`yL4ujGbFeUroo9}1z~KE#QZ_4x(qf(MxIT|Hu5@-08awR7?`ATjGmFx zqtWm?d3pV(I)4PQL?9v9lZd6FB~5r@J0mM%soqK~(V&#r5&K)z7gU6YNt3wNJb#RY zF$JmcClL)zpiUZ>jL~ozeR#v8em=@)`gsb@9ba%u2wshj~)qu2}p$4GR?`?LBC|V&!M4`M9&`iC`%>MKOH8 z5iAAgkfqQyw4`5!mcC@XSH+fWzyZZbOE#wp)C-gW@T-<=SImMPe{?h^k%A$C8A#ou z`v5{Eqc&7ZO~V(FmXP^OEMWHu64V&HFxK6rCK+R0kByA*jiD24I6yRbh11=!8 zYT?tMaw8o8*GFAPSY^g!!vR6&<|zQ=inWglqe#Hb_1t{QqRyWCgqG8A7=Jx**t#K4x^>1dA$nfhb zs=~;0k$o@D`{0o5hx5LW{D18HUgjCZ4^7+kYn6=W-WM}P!2r&>MrtW$dw>v|M>nnw-Z z9*>WX-wz)VF$Sd-c`JFBEI7+{ABb$ryj;{%|71}cuUoxMbi&I%oa`;(C~k75HOPa{R1S% z)PpACEkr6vrh#NIEWxBtrgzk35X4ty+3DzLyd4lh%(5$j(w?EH8__+F%A=#P}OiP ze_L9L!SG04w>f{XKz_@)t=DgDxt~WPAE}0g1!mvbVgejI}QW8T&vf7^Dy5t!VHcJ?hEK8aqf7zV; z!&h@g)mX#~B;+>9pu)>ccsWmN5P+v^kV9JzqFSByyH!`#yTy_TC1rZvHT}woiUQ6G z#K9;E<$3pkCTsZg1DGkJHo0|#+d)Q}RLOZ1k7pC)VON?K3*f3JlRX;XH=?79DG&@pWn)==?u9lzwmsNFA?+@$rc zEy%2|Ku#^j5-_U?&p@b=1ZN8cfq;J;&le%GGA%P_RWO3EJZUh{ju+1+yp$aCQZN!z zW#4l~A9*}*CK+e|IS~QJMbA z3N!r(g%7b(6}zkM`m3m_zK*7sbzOa4RYQax$ZycMMN@9>yuvF)xa(qt%fGh$_D@q? zaw4ew%3e0_K0HGA30PdB;&%WiEN#CG6PD5tPBTzR5}nC0C;-5~58})J6)HT|d@)Oo zZI=K-2&hcpZqA4R+;kf_e|@M1C^_Wb+c!V`^zqdKD%qQ}k8G|QFhY5#T8tW^xJi_O z#A0BUt*;C`&7le}m7wXSZg9Y`Lg3uh7!dd0e%f|<*SF7LVp!U@#o8}>jhrqMb{6i> zGEo^PPy!vd@6MU|#IZrJAoI^Pu$54Lb4;L{g_0mim0wncUoLn4e|MiZd0TenDzc#2 zF%Jb*lzSfA+SRKyRI8fO>awpDX9N8J^$tO^yT@vU(PpO(Rd!m=SxKl zgok60SC#kVy0|GCoo-e=<&23 z^>{kF-{T#JD2z;0NRtdimm1uuj5qhib%E|v>E^(MgLzlT1bY_OVtSGudAU)!-B-o7 z*kNRKtzWzJVSv8JX*W7}fqe-ch9lPrtzdBHzi*4Y1$E+Se{r$94v`j)FFx(7yxc)n zj6*2;NFgSLq=UeYjWrTAzPrXDpbpp-!|1#S-6#f^A#7qoIO7FIw@lY%1B9`KTYGX? zD))`=Jyv-|j#JYB&Ty4T0S&ccBQpyrf(?b_>2==vrM9@i6fc^*@?UD7QLunA#?@`? zc3Kopo3vb4f5kKWrjJGP=dM6Mn0KZ7>1&5A4^m(DP1`+lx{O06*cY*r1_+2o;U@Du zjOSq@4{ptbC>-t9H!h}%7*qyM=;IMrfyP-HH@2`=1-gA-wGMQIPQS$iJ&Ci5byp3X zmaV78ejDfYZn=(uw~bAB#5r-KB+Vc{M8LV@sKgKmf5-+SbP)rY7g$c8bHrai|}<<2hMH34=~$wQ%0F*6cY<==;~nK%_WMk5*me| zqf1fOTIOA7KIFXOt30I2r3;!OCPJ*b`Qe)5ij|vm<+eE3t?mPZJi>eBRJg;-6Zr!) zoP&9xe*o8|ImTuG^AIpI_^QUhEEJS&DaZF~_Jz>=PlV>Y>Wcu&_3oxeU-PLMd=ZIMD+wCOoz&TK7|OI)*JupT?m6skz-M4{p^6x5OUZ^xW!%EQwFjK zMmD`g%DS%tDb|9r2}i%DLe)9ur=Ra#4AdT0f5QG8uD{m++M_Pw*j+3mnuV&<;R6Bm zD2PIE$W7_vpU05oF$_a|LHDAgsbT6g8B+r&mIE8(Zc(D(Z|_<9np60`cQX2jQ(g}_^X|mTm_kQ&yPz1 z7fl)7H7^3;?FO=~` zWa4@bRRbbFVHt?c+41YCfW|Jqf1Wb1t-q9yUQees7*5l`nFUvGf@A{pvl$I90z$H6 z33nV3Otq^0(=HI|5g}Zgs2)`K%gj-n2sK#Y_4mIA5;KvD!evS%BX;irwB{@ZH$kO_ z=W{y4&l4xYrHmufb1X5XG(^LJ?2B0L<~*$l6ZXp;JqaFnA)0%a=1|onfAy&%X?W2a zYQ*SvhcO=$qQ30>ooe)U5{f0(ox7nj3;^GB1G<&neBxLEK%-G2_f9kknu(V9*rFzx zbMGuh6dT@SV*1&U`!ZtSMoU!G7`!s)WlfYB&S8ImITY?~8TgtV{?EYL$sWkL{{WF> zd}9h_Ze(+Ga%Ev{3T19&m+vug1_3sgfguPJmj?wGEVr@VaEb>3H zGi+39n2qP;yyTf=#cxq0P4o7DFA2VeNFfBOdx zij& zDfy?VM!&ROR{GS&!^27e{Y{&Rw8NFni!924M}f1-$yLH*!E2%(!gk z0Ne}s-3AX~PkZxA}gwap5}m6ylqG>P0)oep-~THA8B11>dMx9_hM zHnh6`**u*(&uHL+tIdpdQ2`_6%>sMFuWtHtlq}pRPQwgDMq3FZmmD)CoQxn0ms#Mp zOw7BSSG6iHf=@|Z#^Y%kBso4TiMfv@*T*ETlXw;1v%9Nnf8xRB^d77l3{`@Hp#oF= z2uSrIPTlb7t;LOxH8=MudH&zrxicRydVmiW%OtPt4d=_$ZX#Aq434#?WzAzsxzok2 znkO}_Z+SW#4Ek@+Z@~KcNfcB`9Hf&~VW^p4@ww7;r8KXUhSjSh^=IRJw*Zy&grBs? z4gqLykR7?lf5N{WJRO}62N$E4Z(f`YF5aD=jRsp+9h)`{VS-@6s=n20mzO~IAsPdB zfISNF;x6%Q6Vod>kDaa}!4B=F#vaQkp%4;5Sj1OdYP{-%B)YPZ5+%6BQZyC-@W5_B zud1!}XIDcE#zo$sDeKKhY>AhfiYC)&Z>l0Og;XlLe_!UmD=FgGrny&0n?&if`0PUI zkX^UhW7oY#-~QPEp!fTzJB)%!3v|OZ5Pt`B@sFbJ10_?3EqxYU#q(v}s+Dn2W$_qDMt6ToO z%;vM!f7#*Og-7gKlfBjMvn^GCPeJ`sYUv+plm{?Dy!xSpaStUZFo+givy9#sUVQ2P z&6c(>q$%L?zA;&8!+iVjO(3da|6P@!WB2^0f#p_TxQ);czD0bHH>!?s%W%~yO>2Bw zl_o;=z^5Cd6J)6I?-ZTdJu6}Wd~O{b zf0G05avfo++9%{Sy|P8`e+1Z||FCd}3dFgxJ7ZY&`+7H#0c9S9p5!rQ1|*y5 zyNmNLFVA0%1l)Euf++A2f{+fUmv_@=AouuCkU9?p7@hLyyivIFF@?KS zl&DlXD?;9t1}rKv`Sxu>P&B=t4yeTiP!OKIoB->oaqk!p2cjsJd!&Qx0tNCHNkW33 zVhN#AkRR62AVsjocJZvle@V4K+Z+G}J5tmFdOg*a-GDh0!5bvOp{RO-v<>5I=L8u1 zC9Ad{JhIigu4Wim22?7-++z!F zg#?PD;mQd<)*Y5hdH6d-y9lmOnnZ$Y#2J3g3O>g!e1rrhSO?hGn(Aa%%Ulr*?G?we82BV95+D{I1zB-Ux`@3$xTV7^M$+teMBT%6 zk{L)ODRYg-;&AAy#e&NOoP)!WAEFn!CRb)6q%lU@a;=G*e<0-!#S#x#n%D;AUebh3 z5I@%*sdM=r6%0`n&EEI;NNY!pK7Q_{S%^T00t3(^H$!$qliBnG(eJ`Y4h%W^H(*9J zGl7PL6tS&fReVcq5HLY>BsOk;1o76>H>Hm_ToqR?bkJ-o7n~G(VXRniRVMsfub_?@ z&5x#Ei=NWXf1!qOiSFsNY%_Dt=@#y@i8PL~gqefZWX#vWG4O$} z8!QZICdm_&xWmmHcvuz#ZKTkJ=bg{+dS{E0cedc?OOKXAgTugE$x+zxuV|Zd6j*bv zZ+imH+ZwjQrW=$GYfv8Zs7xfYY-{&;kl92#%${I}e|YrKc8Hrfo~y(QMMBKPu!bNM z519*q`y1Ef7-(`zrjfTX$(#$F5gTX3d~g8CuE;)My6weL^(ytJNu(xpS6m%7WnNB6 z5*a8oot$guY!}7@?;()84n}&! z!m`e#xHQ{^JDd=&D-<8=t)m5Jn5j?A@BsxnA#94eUGV)rTpS&EoFT#H$6Fn9i3C<_ z@Uo)5&oN}4LmSSL>MO?^RJcWBDMDrvHK@g50*5qkW=xV9`>s;VJ${gRLaElc zB2H~95!_E!R5XpPF$b93a5V^PtKvJ7;Dk(@_son9{ys)BX!0~ivAx~dkIy$IBwG}PWFX-N+3!Q+B*^eR_$ij67CRj z8G>6|9=oFH=l(+PvfA@tT`mW0O<{?VFRR7!zJw!n7Nwel3>Pofy5Byf;>RQWASNnH zd4`--Dk`QW1cGHt?EnIAr3-*@{Bi<7e|s#h$RrSVNl1x*Dxd-EL?C^zk<=OQ+CZei zb;)kc$;q>r9`mR)^i{;7PoXF!Eubd`31u9!Nnshkt!7T-t_g9ox}}t#-L4w}wiln# zb$p;owiZH@f>JOFi!UqYBsaWo$-DOQJj`6IgwcLq7EnUjGV(pH&lm|djs_T&e;TR> z*WrE(APBtJqIPR6%@ zH39`T*Lkcx5FiP-5vVmtq3abo*$1ge!(M2p-BAx6dvJXS-b3JT8E>!IQsY6heY(dx z8gC!IR!~|fz#)@JKo0^~?)r&~xA|S}k)kO1X}I8bn5tNG#p>85kI%vl zA9fUYCbm3$2>4GE@V-BMhj9W^pg1KXZH}K|XgGR@*&g*CGv0HV?IVqVf1~=~kw#<+ zZrXpPiJtsUGuef%-M<;R?}yV|rh(7`8lCj`XG(D8?a!34e@CTXDM9I|dF|BCHOT?w zf}f&f5+Casf65eE1Dk@_`~;LgFUr~9v(Jy9H8HMlc?zvkd&)G^dH~tdD)T#ORiGxQ zJRGfm8I85n;ki${IGOEIf3BP2KkA{+-r}<)M&Li@ODfl^{E2+w?~r=Omw>Dn#ykoh zC65V@ibu_3%ACJk7vx|8S{9?Jf1O+XPU>9N5ee7U<5A&111cZFvbaEoG``-Cu5v=sZD#^^aT4? zXXp3)LUQzbK`fwM!pLMIA96)3kEQ-!5!vp7mqEsG6aqLfm(f~uCk{6^3NK7$ZfA68 zATl;Mm%$7ODSzEsZBrXL68`RAp>LPeRWxd;^|nQ&ir|p26%s0DH@lbOg9EdyZ^5RF zxk~>1J+1K&8|-8PUMkrXfgVk3)M`EbCdpDHWt63u6gjV4aEfBaUsl%2vsV@@wlq={ zqT#ZXjS9B3Sd|wxMdFw@B%*1;q6O-NnqPu87K&1{k^HG+;TJ(%j zn$R&(#0(&cPeJAfB?oHQNCjsKWmRC&FJ7zQlgQ}sHj>N~LWWd^$H*a<*<+O>+48-l zpkNupWfdJJ>9G3Iap~YeWl=gFqt7xMwkk$JiGOAAC0RPlQ6&RW9PpA%StU-OazK+; ziI^||XHZF+f=VJFn!scum=O%5Hf>wMNL>vGTv~5ZjdapM(Gxd&Y)#7ndD=Qm9Y#8Z4rQ!ds|z* z>hRM=t*Y(ucrxo%gR2j-^89Kv{;O9#n@msY>3ajCH%HaWYOmUPPfA0_=BQV_t&eBw zJxod`fCzZh>;>yd3qUCb4W)o@TWxKrYJZ@r7n8$@s`~2D>DlC`SI_&8)zhav{D>HR z^&W;3Fw$F9{r3BRpbafZjmc_gYJ7EecC?lkS}M$*vljhyJD%;o_m zOqN?l>x?Y$^&`9q9({Qfp$?{#<3SB{V21r&RUOtJXX;vO4df1nr*#i18PDqR>{9$; z8CY<2Ik}o1*O!gIE^Yhu$!Pd&@_$hkF#7DZSaf32DFb2$!zn%je)bK2!Sf}?F9Aoy zDgkFs@SI505-m?^dD1nHZ;8+njf!tf=k6AS@TB4G;6Ss6-Mbt#Jgs<94ZqdZfbMU{ zz_$&GEom+h)o3Td$^praA4&`isTom%VL%>8Wg9gR`M^@7s58PVi&Kr72!F|h&pOz= zR)E35-bU5nNoSawh=8cAkqkYw2y8JN?B7|VGtvuAPDj{>b5?stE5*1(&(UP8qqdtA z1%Xb-fXIoU5G3!*tX(Qe7<{r@tC81(!A8X(uSrr|rzO8czJfJ^G>LUGX{{9ddxJL{ z#fF165Yk=N28Qb3HVAndvVRpF)|kj2qtmNtt%*0gE)*tp{CZ!ElQtd{h@6El3dHa8;w-yNNo;_*_X-avHzJK`~_sax?_ z%8dKQ;~eL46v1UqG}9<`(43&<5W6$2hqK94J=*zmIyoO*oj)#fI)Ax3uF*L7YcwqL zIuHDK&I~Wqmz8?d{|R41y?_!51(;3$Hs)XW0pI@Ql&#tA~ zmiFfDs~u(V`{(leowV$~QVgrwR}*?9-E%Fp~Q6xE`Mj$1~|r)=0`)=pPGtIQeBfLa$mH z8$3L~=zi7cVcqERTRt-#T?j@>0bW42QjBX^clP@7Qodw+@^mT|IIBSKg2Fg1h! z!ATg+CLAeAD^PQL@pe)z!!zMMoL|l`3UEgYe;Q(rM<{LJycS-fyo-x{VSS>yIltJX zF-HnfD)|MjBwSu9)EISgvI+9}rJ47F;`{F!slTr3^kb+Rk>UNqq)Sv&Ns>wvOBE1r zyt~%)dUbqOM1P~0*TeIAY5u!s!_!NYO>ln#@)-s(+EDhc#XVdkvaF048Zi&d{Z}PL z0HQ(2(8%!OWj#9m6CDW|Rq_;i)!uA)HagxOpPtp?EM(wk#SyC~Su%ixG*La_grv)O6e(oz-POE3dY#f6ff8xqm&w`z3-ww%EKwf+E|8sF@hg z!E40AhfKk&#|JSq3Ir&gOSW#ahfHS0CC$9pcc2b3&|u>}4X=lC4OjI*Zsy00 zXX>^$wtvLi?np%s=IlFiJ0RHr;T^dx^y^YbZURJO;O}H_Y%$+n%A0Sb*vnb^mojrg zk{swd_x|n8hyMy5M2@|4U(Pww{W5bKZfE9}zbP}9iebScmc_EZa|yQ0+f-GNgO&q> zPRt&qJFkSy3T8&domQf81v8P9$fR>8En5j+~}5? z$y&|`TS1t!#;QKJ91=g|>@G!ZpKfCTHdP}_5Cwjnz?aFH<8~5L1UeVR- z-`Rijij08y-u|0g-^^~aE?Fw2a-qVq_J49mAY+}qa;~Hu4~2Aw4os#J5G1K$NC8iT z#X(tQ(^niJ-$FZoLjuJsav~`WGIwOQNy{yOPRu3Hua1_{eV}>Pa@6&gZZ7pw# zFPqte=2PC@wnuO7ouPZ`?oJnZTNfE33SwtFa(g*&Ty>_hnj2w)IRD7*w|kX$cYk1{ z6~GAhcGTW))<4IjQ?iP^)pBKtoNOMzK_VQp{i0t?%L!rwm)PAeF7dXmj&$(38?%G& z4z3$7+TcUuKj$0}B^$ZcICp`Q+$Ku9sIKDm$I%Ktv+{0_D|glI3G=Yx>@e7S`@irF zn_ywZ)>A;BHzsxD_NWOcbmhjJ^?%BEyo#B!AdkL7XSocTWjrlGsPl!eFSyt{<13mK z_)6b~ujXRd@>1n4j_Lw_EIG2GT2onS{GBPlip%HN_x2pJXDF%Obm?#()3BM8T1#NU`a9UP?O-GdbLM3Q21i@@tQ&ge6D-%N9`o4fg7 z(TU*|>t@8^P%aAHzdi6Z6kAo)b5QO#Vi$}IYA78TmhL-oY#(KqY3%kdM`nWq0>8y{ zUW8sia2V%iS%rUv+$(R4DT6Fx`H%&kHs#vC;+KjP5Mf?SZz1hB5FMPSA(j8{h_3f; z4l=)`%10@kK|9yqP^x65NauE2ni9P5uKInK`;l}yT38?}I zKqJHsHgO*%IIMo-o{(EGYkWK&1Kc@8m@~n@!>3>d3qF4>h=q{t1LPs55sC5FVEi>9 z{^FERWYC81KGQIW5fgfN$%kzm26exBFcSeq0#$-%UQ`hc;CWYA2{Hf(AReeSa6f4* zdLHB%lo}q5GqH$(BxbD{{bSh_Tf;wt+!}ei4e!@w70qw9tl)GoyX$8LdrAFg$p7b9 z|Kh&Sci(??{B-kx=L!Y@D_Vu(PxsuDf9?kO(Vn4%a4rJ;clET)0k?ZVrXwDNVk=;> zG@yBS;C&a`EHoa|byZuYtE_3m5=83R>Oq7Lr4W%aBK+R(z~Vi4l!)5#xD4$eAa|IA zgTh1@L=YKXFBYrT!~8HTXAd3>rG<47>H~vKWD$SE!8&y7WoQ@6@KE=j4s&gMwl3-@ z3(V97nG?*uKE6Cq1u!llM8zuL*8K4^#x!&Sn3T6T6eqj7_`C|9kOLyFzJ%!w_EIG6DRB@+TUIG0f)bSt;10dX4wf0DHXhqJQ&8kC3AH0-t`NNmb$ zd&w82`5{<^l3cmv5yvd0QDKc_v$Nk?Oz`Gnpnc3DICUg=SnDk%_`vDGNZMOqC}pcK zV@c$jcdfl|o)zQBw!-^X{o1Ra$2T1z<7~DxAuz&kF%=xA!l^!?3Qz%%OBg{KhaHez z_yHqsf8poi^!$AAqW5f1{D2WM8%Q4MHYo0b+EOc! zVFD8|5wu2kfm*KvdI##`?#s*L^RtVA<@N5_;9?uPw*XEs@Fv`2pl>n|l`6ndHmvgE zPk%GaX4Ch859cXd2b^D(Ei623hT;ZTfN#SXOOcks&u@*U_Urbo!aH}{Y#cB+Osk#K zf8*DCy&QjA?mH<55Q5C-r+W-IjIj0BLD=`=8(OIhr^_@(8boZt=Qj^I#v%Z+!hVU> zfD~caf)E7!d0^9i?|BVx+8m=GU8S{Hms@6)i1AfS@YC5~2i8~Oaz+tPMO)k(i-mkv z0c(4@dy55bc_ACJH8IT~l+cW{L4E6{esxD)IW5jRn#I4>8UbqaQ?>9rS{BpA#E;pA9N-THESM0apg$1ZN5l(B z!B>I0*2~RJRzfg5H=gMp?{FcO2KM-m&AENN1AAg-er?&@Mlvo7a7Iv?Md_jne}C93 zli7K&(-1RUd;d2L+H;eUHAe1OntSrGtjQ|oE7P}lSl#qV-4CgIxB8eztM?0mciKB8 zz!@C6aJ@%~w=qjA%{hKtRZE}}2tvMmUDGFBe{Np6fXOong>42XH5*7-XPeKV| zsxFT0DCeZja?VL_aM?TS{`j&7e+kTs?%7%I<;8wkIg+GU12EuGA*ns!q5!k{Q?;h|PpRIt{B@mEz=mR+THn6IpI__j%DUpikObRf9ErN3NywfMf4k_OzIxfS zbwkLF2feM?Ld&OyG7qcEJUkvQ3t%x~B~&$Kt!sqLl~2|TRukQezYal$&nFvVd19+@3AC{)9YGAB2%e`hFi^G{jLdj22EIOd_nfXHB)VOfZgyP#BbOk#|5p6Rq z-O03#a@0j{@cPx|p#Rg!e@U;uUulRcinNxV?2hxrTg>>x`*JM7GAzy3H^p=^F~M`d z{VZ%{=5KvL5i3^=D?GE_VRR}|#8}|gFkvSVipSSkk<&GoCKfjcaFa!jZ{}sgh}cGr&NdfF5Q_wV7U^e+dthNE@8FdQoFk% z0^d7c=g-%+B!c!&7zq_obEW@FoN(Xah6-KnAcRO3dGHQyEsEAI>L6o8ol|#a(bjHb zRcza~ZQC{~w)4ieZQDu3wr$&~IH@FG_TKHZbFtdGSU+IQHRkj5Zmhmi|8$9NJi7DU zEq6&$5L1r7S*I;ojCPQ{Y=+qLmNUC+i>p_h=J@H1nznyHf(FXS_`gF2zt5<;;Km4S)#Rwdmyq7^(d=DlaC6moZooWDv(E!HiH>4~n#sD=svm_+;y219NX&j+j3zhhC=jHi$h~CWMf|l!J zqY$qkG)|mawOEVY99u)gr?ityN&ILs(3=~|xH(`#MjRRvBrJ&rxRlSx0R1?~@n8ov z=O%@47i^jA8yhiU|13$*TdCNdPPa-vt(c`LrSQMWI5c zKoMJQiR)W>M$TP;EgQi5^AK|Q%#OM@jW1Ve9F8-A-}y%XL=5x8(>)DWDH{tmNoR#@ zYS@Uk45?i5eNh6xpvxz~<$kZ`Y=7*id8q<(uLLY9RryobXqfdl{2p8Eb=YKeU!L_K zcGRm@wPGHfQS0DTM2Q1I@}fIOX@2~BJ^hx|`7r;nZl81yC=Qdr^Ex2@`9EKVliQl5 zOS-sqVVYV57_V3B>g0U=k&F66pt!`i#5IVp2b@eRCEJL`paxKK3`6DV2_st z$o4o3mbHw^&+wz;ZQWZOh1#SI_6Jo$h{ioJI3i`wP}AXUMLGV3)Pt7I=jb_x_klb{ zxWjc^gUa^@fsRBJaUzH4*U_k3Om!d1uc3-;1R*sNfQ8_4xr_qFT`a^Kv5~~BotOuR zL21*thl?*qPJsXx-+|hDM+Q4IH{wtNr>5Y(&zh+<;Or6tyX(U_d>Qzlmf+5RS_Npg z3jkJTXOxN)*Lb&K&8G`_6y#B;au;pkzId6HwSHOUUUx$ei95yKDF54yg-a~S%Gp6` z>2d)Z&^1&vat?p(%WOX}kz$`=Zs!QJNtO+@pNdM?t~2tlhTMA|M0aAIU(-?}aR{uz z$c~679Vn}LHI$b;8U|Jt$1N0?+3(;@9a|V(s$=8TfPaVAXW-sVJa%qO0=AkG$7y7( ze;=JUe#FCRq%6_d<@4+M)sDH*!u#JXWdwygAg;-&=X;Vc@iC(3pEuKUlFysIkvbsb zMXauu-`HSWzW(-g`;2Vu`QOvocIW-(dBjUME0QSHS5IE4C-(Jn^E3b>m3GgSBrW=@2?jig~CoBAV;*&GMRu++-A2IYUrTaJ{HcqDrCS zoO|8H1U3dD_Bps7OZMDrf%q-`CCD&ORcZ_~LnHHiLT~rNJ zXa^6AE83{`?!HLU*e{C>!kv@lcBkV+fHkcfEDRQ>JhonT9&7rS=It{-KC}${dBqm^ zpuiPNu&4TTo7RJU;o)sxk$9X_dKf#tmHljv(eX^ZgT)SW!E_JfK%r(B6T)JtrBUkCXLL80$D9cg|HDQW7=#KBRuJ8js#BBml0|oKpZ{* zxEs^|BZM(&T_R`B=cC-2l*YUU1Lz2%4+eT)=Y!rbrvnVoGnT5Abi|NIJTBvX*tBvi zTqmb9d7*O6&2QncS6^gx%8}mKZX}Ynr2Xx9pcDk&fuK&IpiQ=Xz#=b89cOY_iza!k zVU=>^;Z7x9*M;kxhFH)3=K^mTurlC45cSMuqEi<2^|VbWN>Ofo=lDTf1K@{iSI*SW zrY0p<-ZXEr^>gZ6$H@4U0rA@c9rRuA;h|du5gmPDd#UutwKR(+TtVDRHZe4$?ZowO zA<%YWIGmZJ&g>uV-7yr2W$NQ@nWc&8WklC9JN%{5y7ZUn7$fTd#QtIm5I0;+{^^}W zp|zh}qqvSxHCiba@bF?Et{LD>cV-aAPqn^nqmNQ(Z-akMsH~5az9`OA0}N@Y!z8B^ zUaWai8;jIX;<+fb><|jKyWdv9%(JnK%XELZ1g#4ja(5BxXHP-HqT0D{yrhf<^C1Y` zu#SU(F-r34FDniP@^4-qKu%)W{mB^&I`1<~U50~5Tb1*i@80Ry`HUg0*7(82>V2%4Nsy)E0o_K5$3(>S2yHJ%N!17rtQg( zC!V?!;fN=6SDh10XK$kF(tJpF)-gh-5#bKw5iEMvhTd4DRSv|YrDv#{V+%eD+ zQaMcMfElmhHFi%QfKS#*Tlb^gC}5oc9wO(;>1sFsSc1)Nk(S7j>zokJ{b8s446f-& z<^wd}$UmeH^y@1UU0(o%vx2xXL3zojD3MSI*2lSAQPY@w?&7#`v16{TsmD9jb9v;l zS|e}Qr7kgikRiZNzn1CcZ^Me^YR|^(orHPU)K5iGd|PN5;0ttu{=-3EJga>EaIqS_ z6)Xtu(-6YzR*59VsE-!aEvM>6i3|_wz=ekr1^P*+G2-5mMGt)lUMK@USy-gsUrOy{ zAbI;cC_spq6*G#2;?NA9W8mryj3TJ}ic7fLo%O+6NcN@sEj1z&Mb~^?foi|Gs-9ax zSW#7Fn-gLK_UntpG5vDd%OJf!I@5A)7=nF0ajRMLgD8Yb3c<)hmO^r=RDa_KQo~8; zh6cTq71Ni8<7k~0=IOtu-N;c)E_|)^<`@Nx-{9}w*SWYW2x4f7(32&gA4w$&p@_z> zOqZnD6qF^)HbR0g&OsJs8*bw`<#Jb`#}5Rm%N!2^?3s2!b^5OIXp&U1JfHW;=^kID z0JQ}u?DGW#SvbFAU@ulRN_ekZ4BfZ8dg1_sD19J zO?29+L37HpUE9RIN^UI_0ed=2P$`l^&-_nM7-dK{i2cS{x$sqLxZP}6C7$+-*s(G_ zv!UGp$Gd+Ao0K{8U-S1$CZ|W+A8saP+djSSF0VR+O1(IH)QlXT#1ceywZc>F*mhnX zOVE0^Lx|#pz^yx(qfjRC%_Rm{BKl~XPFPjDY|VvKq=dhtwC9nH)Rv{Y^L9!y{ptCW^LBcJv@vI_F!3iB_E5OD$x)etcZbwI3CL z&Zba$xmpgkKd%N4YuWuoT!us(hFR;K$Gh?yqoddEr+EUlxGSCrARimWM$e>5Od`fv`PfXflJuJ&x|nzR*QsXR(v7AnF1Em(w7M_@3i%Hr4w*SBQON zsbTeh7Y@v^kRwP%k@qT0{NFIt7B56%+c(TJvAUpte&BffAMux z?R$BkskMU`c`5vHXbQWl1r3^E^J@J1bYVm-?$wt4U$nLDQa-?eJ)sJi&&Kpw zI?czR2q{VV&h;GnKc$_pyAN#Z47Wz+G@is%^9%fCpB!@DPdUk^??Q9|6P689%QG`> zQfMV<$uyI=K`>DOMj#>^ho5dNOkD21j{>I0gWu!LqmKcuKbc@+JROCg0U^`HZ*ZMd zQ}z=A=kwyesXOSP>qWTr{y2UTliSqQeQGsAcg-sg%QDm{A?|U)VVvZBG=BN#j2mN0 zGKD&He`3a=hZq5%f@m|wh;McV^9Mz0jgT-T=wf5fZpsUQIkF&_&Cz44qACi#Dj2e; z2oWl3<{n>4C^?)ew)9)C1%Hbr$0*wY zeQ{Scn(4O@?k3Y6P5p)T#AaxUb_mZ90dDpxHG^DW4h*J%2q$k_#n&RP*%fqy20Icv z8>#nWVfbx%2Q;%63@K5QE04>wtOnfBI;KtT_$*x)M z_|yspc2AYeEsFqeo`)v~CPKa4QuDa)rH3FSG66E(rx(fkaL3|dzx9X$DI65S3Py!s zw@2Xs+|K*?!$Z*yG=8{34WiIke$2FBWVZxK-pHR(>#IS2X-@Y>by>!$#LNe0=4F(8-o&tf$6;n6?x zFauE~WPC5~*6aJ~6YnXE1j9EWj{CD=h-FhRc1|S%jB|fVTpwl=5XSf~ zJ#Bp%WY$vizK#Aa7u9+f#)&H3g7syU@veZ%*M~Bzl}>C9&fSwhAjz%Pibu;04%H?b zK$H+|vNA2M@UI7+h29XR*kMO?ySqnC(P1rtL@N_@lI(6NeCmOB)8<)?fAANnCY_&6 zT_U#zJMFcy;VzZ%O6R_snymR*Vi=1zhN)w|^URuUG<02E0BlfQn-EHG z>M5XM$Vh4nxnsI{k!;&>aOv9k40{7*01RQsH5r+$efa<$85ycOS_lGmQtPS{zS>ct z`>u6<7!Y0y5I3T07f{1)*Z14&yZIZ#hyWiwt$2q=V!dulVFjg4of!$OduOoP+_sqc zGlDF1O3!$iR#UkM88I?CkB7h7P?fvEjb)#RHZa~1@Ncl*V-5lK^?D-nt|Q9P*n(L#wFpZHfd4Atx*PxJQ#UvqpnDy znnv)a>d?Vqe^*)#Zcnu7q1&GQYmc9AB-h<*x=yuM9BaIFF+#=b!<^{|e+hI;tWJCv zSoeB~DPT3g0H`2P&Y#^Mz4u-)8sd&q|GUNfAE1_rk@>$@rGOve%75DIg!K%lhH|I; zrU4+Eu1V;09rCu|QDVrnm`L4 zkz^d7SaNpAng2Y*BL?t!0lXihS65kS|G}0eE@qQ8ak)@2ZIO;B3bUk4RUXMrkY>n+ zAf8{g>c6Z3QqGTau5W&O18y|vQ=`P@)w>CVW^cV5EjFQL4rK(^daf>(JM>$V+?(^YY=5*8n()@K)X1@G%#V+b<5?9GJv~oXZGY<)Uf)+V zvc4BOjS1oDf;_Qi*RcLMJsZ0{^Ux2**&a4323zL>lA~DKfD&|$8_sQ*1wL$@o1<_@GKf^IkdjUSoa{d^FrufgBEwj~^I+rRH8lY2C zJRcv1n}3ap!`49Xw4)^0&+6T>J)5Rp=B&Z(op3jB8P}GUL zQ~mMAfC`IbBC+Qx%Jbx1yGsWZ`f?iyj(X@OXQ7Op$Ndvu_TFe`Yc7{s}fNF}_H&_PRFEGM#J2Xo{+CqED4JLDCRfRc1RdU(NE=P zxGBm>rIw`)da)T=1^-&Ha)JBJyVop@Js^8?Yn9smu+y|F=xTOLzE#{x#1zg2FfXMA zE#BiomT-*8c>JL8nTeH>x-8sOkT&rv(s4Vw=dGrMK)okr^}tQ?@yTUHW_D_giz9p; zlh{un6}~{?O@tVmdqc+`C+SBTi!Ylj=z2mt-)QZA`Y{9Lu{xav)Y<$2_9R#S4bqvt zVWB@pt~dBbkNawb;J$PnLjdamh`Oxdwjg(RHS-owX)d6X*?hULp4-`gQx-$!M$l;J zM8Wr$+2ZZ&;q-HcT33A9-Ke#k`Sg8`Q&U;0srgrLm&eJ7>tYK!GAJZ|B$( zCf#nC875V#tcr$a1q?Gq*DAxm4o2WGkZ~!{9=~47Vp_O(LS{l9^^W1WUn@3&f*~ccYtmt>$4g}^doAn;@%OKJfdfS}zE}8QYu&c|VXyhVu^H1UwxY65=qKjxzglG1OFKvJ z0~=QQWVx_@?rgQN?fw<`2CzR#iX?n8Iy7{FI1V`n(UX32?wp7lfSrA*Ggu;8!L~cO za~gJ8fp|wB!F{i)4Trg{HdmcxM1F`RhFFam?S?i%A~@f6i$VJ?-{d}G26B=}NPS|n z0j;#jgM`Mi!K;2$V$xurv4>gO2qH+J=Uu9Z{o8e=Z({{(h13bIV(WnT)w9nIRsWBX zj^vSz>9mq$#b9k100C=o-u#rBVOCT(Lw6rxl7oqOxoPe#N7LjghJbIBpYw}=yzG$&0x4vu zC%m(vOYHvg^il&WxLu#X=rRt_jp@hT%hgP~O{QPlOJW2;?cF}oznf@)RoxUnEfxu3 z%MnF0c&s2?{&p=L*o!kZL!}|OzpTCPTz>+>1`bL(vv2WR^XpkxB=YIa%j7(u|pWv{3=C@@BUe{^doV zb5w=_&hOh!`~owv=@4MlOXpYN!}ch?0^7_E)F_1#lA=t+%)Zi3G-AcZkW0N%A9Jwl)L5s03bkdNU&+a7V8fnkb|2|D%$X>URY=(lYexDbZft1=Zx^9 zB0BUog=(p!b!_jHUXCI(4J zRwqNkNkB2HUSYGySy!=|X&*4Fq4Fl_MQ@%n1}J%av0RXNCS`)J&!0)Ho~G?V{TG%9 zaWPPdA}EP6xai=LJu52+)BwnTpD4@x0_?HgF@tT&VyYx1lo4L5N|S^WBqfXk+M?Y? zE?7k;Z98FkYXG(m8|crDi}k9hDt3L1ZsRYtu(~RJrtjh=_+8{!lE8%i5i@6VsKmel z;d3Dck<7ig%P1up3=2 z2r3b$2R!_&Q@s+%wK7f?P2=HpIL7PCSz-ins=@@!eZgV_=)Sfzi>dWC!*o<}?xSX` zqgpFNC`tc(LAPw}f;b1qd{Ip+8$E@84muj(RItiate0w63w=&iJ;#~LC?XLsOgQCw zda)Cf0&=lSKi`OPg6rxu_q2c&4tkSp&LVhoN~Ms@V*sdz)y?UsRceccx2b%ka#r;y z&IW`@p+5|@RngulZJB_V5XMLXjmV9>RVg{dRO6C(HtQQ1vLk5f1XxE8fx$~s4np4T zpO5|V35_SNIYZ*+GIWuwoQsrs40eGe^pVT}PBkZ-Et*&TgekkyB%7mNh;cdQ^yCMh zK_;oF5a>hF$pxzbd=0v!yPYz9PN2~8OnrHfPN8hxv0OTHUmz2*Enq%F$bXIzlw#OT z76ITQgS4;sDIi%)#=oVxTKb?)?7`7+TkZoW&Xuyj67gEB2;Y>l_$mpFz?3zw=*FM` zCh;&31PL@iw+|+h>VH_pz&JOubhU}4HJoA$Bxx%WhMWL~sFB1KW4??Fa*Th`Fo$8H zoxsJP+FgVRX`j>XgBinVYs3u@W3v4#pT58jL7<1#1G`aiD}=?<`34e{f~o5IkqW%b zNz_9t>$E>!Jruw|h#jE(H?&6JOehop9>-QLww~}f8fg{5eRc8V0d%(crp)yb!kXhx z_|e?CZVw=M_(Yf@Y37#8B~mR{3;tJIrCM6NmY@_s9_0&#iT*)Sn2*1Q$W{mg4zmT* z4OS^ZuRt$_g}KmJy_my_tZa0Epl!Nsv9Uy?bmeWl1=II%atn^C$isgPbnwygavm z|K3Lwj{+&YVxe6`kVCoV!$AbPv99KDI@vRZKBZER1bFq5rLaOzSD#}Jjrpr$;@hj$ zJ2I=y6xv4TkFEUCr%B-D@&bDR^EvEP6m4>q#+u^|k$O?Tl4EblkJsX!L;&Uz7KTLW ze6Wj)wC6uT+v+KWnIR4lm}YTiD{qCUh3n84D;+&`1n& zH~!js6X?gd4|@>%_5B=Rrd&L9!T|0%T9GvRUra%c&{1OKXYQOl8!Cdf0AI5^&ou`R z@w<6=No0_i@YRpKb1v)(G2bzpgD6xBvgen8e?hju$1F~pf97i|6=(ZDV!D3_H^SC- z`#z_EZap+3D$thjrwWbxra%EhHwF9Aw{`l=VlJC6^=3EK|)|Xym@mK!b0*~Z5d)K zhgw0W6vYT_Zx2Pt8m7GcHELJyV0auMdam>brHrDI1^}Mu0Z_DdpzHzU*nz16Wg}nQ zdHUHF#G2o?h&I;-9)k=*lW34jFlWbs{Act_zl|$ncDj14ED7>lYd0)@JU=t13VK=6 zUKpE*u*o~k4SRDZ_iR8arK=eayUyRO9J;D!{$%c_=VfKN9#FElJO^r4e-|9jJqZ}enC3wO zfL3OS?kf$AjzbLvJ~2zi8L@I=+$vHYweBw-4NqDhb-0=e*svZ*??s}+g zGE#1NKc|nrXV2TFfX8#2RzGqIu~~|CZTj^l@N!~N%2DuOn6Q7%vm0-y_1Jy(0^9v| zrTpKtW>0HKBtZlDnbk@a_CK>)$9|I=*>CoTX$L!0?J;k=yK&IG*=^`7ubT}n|02|o zo?cGtDfZ^l)az%#Nus5`HNqJMgv5oLIQVvQ!I5QfWBPbxpLj+kT^P5hAs)eq9V+$6 zmMQn;^7mBm5O$eZ{_ zk(q7&go#=@!PG3-tc21P-}d6H?e(*k8@AQp)A}K;uNFDMj9Tou8i*a0oN)rx(~t1x zZ!6oa!KEy!_PuLN({(+FHvX=A+@SR2>!vh?V@T0XD9;E**IWMIyNTjt58~(vA64k` zLfN8bFi#=2EI!+)Vl>R4D0PRBAfdaK@Gc1+Ft zNd|e#XQJieMrLU(*&k7CyIUb%{I9?F)U>eg5f{A~iPZuUB+*qA8A?r|>4VvBUz(tAXW64n76 zi*Vg!l@CL3g{neSo;JWkcRRx-EOZOW1eakwP6|A{_Wo)28H9kCAEokQxn% z9!S1K0t~8@H41>^rl8I%?Dko7U9Ej;4Qv9G5Q2FIC|~iLk0k;PC?cdmP`DKRs0VCVl6M%vAFE;WFHk;HoV$KBo{c-P_$}R(>xEE5mxTD3zdd4b8X6 z2SZGQEA>)l(7KbI8)NMC6TLiq^0XsGBl;>}I5b`B%@NP_`85Zo1e7kjMfG3~yhfF4 z)l(&llLGSjO_=jjj$pF%Jerd4UrY9&gKFXK70Ep^qH*dUetQfVt=_J-n4#ERCh<$` z?8$->JhXGVFL@-y);|An{nirjkF-9xoAs;rPK6A7l1{n5tv&fvySsgG$1)=>VoTTO z>fq#*TVB2Cbt#X%{c`+R$`(dPBKNjEafh&=>$4QUEvc8Xg$oo7c3PBbzRLp=pn!* zA7rW*z~$0;)pNJGXu4+AIsop9me9QJ1U*VOf>AQ;)Td?P_G*oEQ3{V%+0vP^0>kyyyu;#%AEe z4jkpoCb|2<(`59{s3aW0Ue;G_)WMq8tMGMjjlA?c_zi#>tA<$Io>C2H#nv$|S}=9` zJMu$Zcb2kBu+yMLi@3V{7Nkn6NP*EIOpqinHGz+JMo=!@yOT!2!xJ zOdxvc34}zCW!U|(NOMjRXao!Eo>LbVROkD#HC)mj6eVq&M8Uu-8LjxqiM^HZ9(!qTdE-9RDvgsbQZ~(MgMo);@AI=T-kI6EHevljd<#|Mxte=^fN z#}iRR8MU@0{u}-2mfm%R9MqRh=`eLY7~&B3T#!FJ6Jzv|{-P#Sj4Dlb6*ot7=3LG= zb4Ymx(o-DeqMjV`Rpu`oOD$sRblu9evXW=3WN4>`mnhR75y&Ig^JKS|;(!*FM|-7H zGAAsEL2x9HVyIG7Yf>zjXlP&8Xy)Wwi!+>rV=|?Q@Uc<&%)73CHK|J-B4*;G}+Rzy4x3^XLJ+lSF|WP+1Qi z(c*a(CUCg!w+W}KKW6+k*39Cd*3^xq!*hb*9FK7JZhqAl5CFNh`lGI^bMN)YLX z{(@Y1=uiH+-cED*bVdT<_<`(#ai9Wor6pLAQK!u^P{6gxi#ghY0QS(5WcayMhLwjL zxdmL$W=y~%&)i>aIs?k5NQRRob0aCIPeda(9%p_$Pi=?oO+!XXplu`f+bC~baXZG` zVGW$Qi~5^9{oqT7*NpO1;26J&SElljq&D=TU{<)6yFUO zkfn6KL=%jsxS3;Pk7U$9rpyd&PHvJC_vdRNrN=F}wOrj-0j*WCzP`JtWz8gm5x-Ss zr~GOFVQw1pB>p~^`OE95tK#W zcGW>QR+9xfy0|f@m7_4}wZRhn`EXi_80);QDO12q(uv6Sc_UMk5*h>Xuv15H#cPQ!Tg@U*R7*bj7u(+>%Z+_(v3`$y*OB|0VI&l2X0whjrGyU~4Y?6$m9Y4c-MnEun zqb3MMbSnx<4EYAcY7d@(q9Uo<|uvfP* zn86=|me1<{q-nLV18MTSA;{6&%Kc>5=Ux?)^9PNfGT~CO8ndT%D@#|d^!B)jIu0xAtzAc%G0V$!TIZ>Qb<2Grrs+enoSSbJCQT#g# z1UD8$wVpaN|Ft-Uo5)4M@%tF@-IXkAQ~n#*GAhe{%nhD0}SrEGjQm6ppC061u*+gksd_ z0MCKDW?O6>SXAQ1{444}T0CEj*M3 ziNF^%fjir25I$!ieWO>PNJhby+84edk|{e@;d$zpd1@S*V3lKeumHA1T$|@^Egg-Y zqR=Rz`Jytgci~+B22zpS9|oJZB`<|<05}C{G59c$2cPh-+AWyHsd_Ts#}y4X0rh71 z+RCS$Fv)Q2zmm&60t29kz2d`U31coW$4I)~Ifjq#PUNPkj5e8F9aI^?1s~E6OL09O zZ6WP19U(w3n?XHM0+#0r+VZh~9T_3*!Bww}1yTu+{Mq`5xImATxHEXp{jeF90Y-<- zU9x-f$B@WpziQJ8{&K#?xthmmWeTGQ5bXTIxl*nACd!#!wy_3}8Cgcd$YlXWN5m<^5}}4ssOCc)%~QEZgVC zdY(W|}=1ymPWr7>d#iHbL*=F(Z;#L{ED|}>%;z(=*SIi)aA}33+Q|>zW~eszQ?mRpoBf50Q7dw|jVNH= zI!F$Zn_M*wVLE5W=qoH{xO0Yg_{lA54X zf~QNmb$($Z!%$MU=e_%IG3K^rc9Y{#Kk=&6e?P#ja~Kg!85KVznygpg z-s+72T>y`^?*OU6OVKeKyc}ovsx|Bz%7p?L3F3qH2=%XvI#EUTP)B@xe5IRM%;Yo$ zupOy#4f^DGqlSXOtNE=gdl>Dnj8fuhV}Asyqe;%hcWd&!&kTkq+XH-z;ABnBw2qab8!IHBvFhG?gQ5YJ1(u45| z!EfR9kGZcjQPXv@vEh%*FW#cok}t{CRcq=U0@L-g_Svafzp-tWt1OHl}_hq!W`ihcImTS9Nf^=6S`eeuXNUY${o<#IG0*N60C-PC&@v8R^TgMdl z_X$N3Ln9LEY3flYHK5}yT#WK;`JK4@n#6(8{%?w)>|s+V!RUbH(77R$Y%VKoc8Zw3 zYhL?)T#3t$Zm&!oe-|KjXwP7;x2)ZO&)tQDSkbc>f@|>^A%)p3WeWPKNT*?Wz;VFE z2H((VL$ktmM5eg21JdB}8r0T2jE0%Sfp@(K;k#6us9|K z6%kz1id}Gi13n`NnY+k31W|Jw=KQ+VBvLxBeuad%%>a~sRiQsPI$5yNT%*5cH-@b`4I3ql$5Su zW#6^<8Jd(i&dC+MPv}fj(}O<<{b4yM_FfNx!sM?rgacvy5Q~i=$CTx+w$u7S2dQd8 z_+{!HE@_Fb!)Ck{2D!RJa1;|li?uoEA2ax@d|5{+4G8ZvbZ+Cnk-a5X+UrJW-17@{ ztC}K3q^$->%+x6;5D=`?#&7{8^d=|OM7o!OADpuiSd+Uv+FJTm4ak8-#zWrwfZ|Yu zlNL|S0yG71=rZJ%7KbH^Oh#P0Si~njGZRy-O5lsAO43`$HBd;&=vK@q41AjNjw~K zwSO)|%A=`N^x{N~2J(wDy-hjj*Nw9F&zCgFw9@cR$4d!D4R4vP*%o0yIcweS>zvw- z1ZskNQKm;bio>!LqQ! zJ<2Ja2_fc?Z^s#bd_5K2UTJ0JxiLrtn_IKRgZXQQ#V zQ2WH9Opir8ewMu@(tRztqs$IkKKLiPf06|8&=T)`JW;Ob8rZ|~yC-SBQrA_UH`OrJ zYo;Q8-~}jho%v~v;4ndTJIUemLby`RaE{N?bq^uokpqmYvO8vyj1bp7onh)~Hikwuv9aVp5FIH^- zu%aH);saMO>Z2EIVcpBqsl`}rfYx@J3UM%bEF^WeGbV0Nb(T>=@9K~INc$PiQK#*V zCDBfVxi-D8Er(KT(_-v2+drul2kGA6^Pol|i)U`A;YEunI-v}M3vBY)4?n@?9x6`N znl~@P5N4rMmNV+^dX{>Q60Jt!1q!YUhYE@UO$43jM)WKRx_Z-R_ynR1@K#v60soK3 z-b$N;w|Vrq=5{H~MQnG8W;c3l?5>ZNuBpdmc{qHG%u7+;N3v^aSHULUABqKIooqmy)f5&=9`PA zg0dF(z8h%Ou%`;_>|=NlK;=lTPYRSR0WZ)lN&WiTC5)0*2S(91R~}l7v=T<8gOy6` zH@0)d;9+y=W!TGD`*%A@U1_eL&_YWsQ~`njudEIMYvsN-Vw~fJ`IB+NqIN4qubs?e z;xBZXk9JXpKO-bVM6;LPCvPm$xAu`y5y<77;pjMOlV7L|g-?&QfND3>Yg?ovZuv9^ zdnFD(bsc|eEL;%l<~lWH#u9r9>+@#%|H`|1w~nSPm{sz zXzXa)q=kyKugcVsOuV7?g?dXG5?wOFUa2>gD6lk$oZhmp3`)0M=-*&b2aP=E;13Ur z66@{)8#*AvD$*ugPakA?oT<1zgT;h9Xa^ zW2Ku3)}Y(Oog!F7*Ghy}3gnB%h2>ddjH|H-8geIM1Jf1JB$9Oi2E%2xoWdAoN5o-! zlENs14#ey4i6p}xXChVM+5}vc;3N(}$c4|f5t0q5-RdO#(SE>QL|vrmNPdG`%B*kU zMotZ>pV~#A((6XN4F>B>Dcs1Hky9&VmPQG4FtMKZ2?w0V&q&Qq&HEMH^cy>pvDR#? z^Maz@X$|E{aJu~fZirzhv=~wHkmaM_D6DfErI976x^TFHlD0*S?WjwJPL2`v@gS1W z&Mmc(>J9XPew;C+;;gbF9!^q)z=8CMCo6&P%&g?|kCyKyn1a+;nf=KsB`YBuRT~_n zoNxtCW@kFGzm#*Ff}*~uINA}_$*jq`l*UE|Xj|Cj%Fdhsj5W+B2@RGjqx4PeT#sZb zH5p>+>d^^H$JtrBZkk9sQ>wbq)6(@jAse)bH1nxA-_kZoW3{Y!O%Tl+q>K-VnT0B@ zWWP*+hO@}BAk>UnZGrMDNFhX{svPgFU6-Tr4T!?ln{UN5TGlWbC1hIy!d$LZ|$mu%~dE*#Fp>oad|$9YEiOcNk>4TD_RA zjBne&N;SJN^mhvV3~S0sd=+n2OmB>)q@eLFJR|u|`>j`pzh*afE9ZBYZ$soCR?Gv$ zvZqOa^M4C#%|h5QFBEA_RZ&Uf$ALyVyPe~8WutW#23tyk5|!gPN*F{27m(5JJ^^u< z-V8_fG{tuuAwO(qygIgMvh^)fu^|l)M}hr({X{#}d6~)|j(_5W-Q}65_?^x4ijzT; zT3*Te{j&@AphO&c|B7LYrgaPtW9E^ikHZtdaVHnRo%7|*>c`jl>gqHP@rz&tfYpn4 zi<|IZ_-?;sIPVX3}aRK}f^*L+2)AX2S}_oQa;0k=ByLoUekFao!$5FV=vF&Ez>? z^CkYJdx|U`aoZVsa$Wxl`Pa8y#-S^T8yx=!5fzR1<+Sst&z*CkAIhV`E)k*AQd0)5{H!~eZ%Ln>>?r} zL-#fJlO+;g0)Hpba|qTz=!nt@B4?_s_X*|M91`Pw4vFEV9KzQ#YgOW~VKqlzPyn#m zrRDXNycNYr?0urRAuovvGlz;g6cNE)P#ivrV)x*lb42PLRhMMDIGMneD!He$aP}2e z`G0*x)rV?;ySe9W=UH->WhcAsSkL#0y22~+le~f#_?Eq*bY4-f8?lSEQ3v)D@O3D* z;tX3!q~5i0~VWY1c3$s}E$GSnR=QQZj6|Yzl>Wr)3oiPf0MN3TJf;CrfF4 zj9+@;;jU1Q)P6<OU*VA!NH3p&ybj7CyekcVJ= z%Q$7w$Q}vqDew}wscnG6PiwF(90H`?E7JU_OVs*A_S>?L*2}A^9 zPPuE8fkQnZkb$8RQg1tE)MySKd|K?-80 z@|OP82kMmf2d@W(5u(rV0NahsD3c^26DoF2>?Ldr1svT6q@@fsv=eq@$A8G199M)i z-WUuUzD9O2!z#f?mL*3c(;9pUvPsVsZJZGU$^=sn(nGPE8f4pGd6S--!5K*3D1xI= zYIUAVtiGu9RZWYP`h@&S?jHTFAxiA-n9^Z`eAoS2q}Qe(>Dw$f>SI8KM3M&9QNQ3gOk|(uZT(}A}KFK7ZwU0|Q(S-8_im zAE%$LE+(KWPs1KVM$h2M8-8aXDf%eC54<3Rz?N*=n%LW|G6IYMrlu&Mp48V&8^J%} zav86@Tn1rEwXbx5W%GA)&a<|_TPnow2AQQR62JWX@n5DC8|v-nb{{c^hhq-p5N38B zjXh<>aZh>TanIr+(SK6x$z8pdyLvBoL8x>ArgT+e8Tx7k__f&83JJ&p?GADDfozKx zNiD5DdO10rPki|E=i~X=@yGM8!!j-C9nsWodXOD_DC4hf3jt<`o4V-{HTYmRl;MG9 zcYx$8+=Pv9@M?~zg$ov?No!y=7o%?5H|Ok)e4ACKM*j^2FWD)4x~k|5#UU ze<`RFkQTRUiYJTw)dZ}-tmnX z!+1~Ib|%Rfd)k`9M6f`pZ)gc&62cxSf9d@GFj~D%=h>mOYK6zS7C``Z$MOHi9RwN0 zOhtMRL0Tb&a-)o5!L)QTdfZt)rMF-;=p0KTHxm~s_&pSHV33KUZRobP56PoVFVY6| zKSiGAOh(~>$kUoB#g}1z196aEkTRnF#AVK?%c}UWX5AYBvj)pq+xIKEAzk$8e|jYC ztRZ@sb{6Kg72#8ol@W^p`X$LC6pD!`K9F`q%%qY;{6(i5Qh>q$(mkvKdLy6!EOpR1 zgP@-%0oOJma6Fw!4#WUgEJ_>$ADVB&flk0aqC;^Ia3+*m7$j><`)89*XNCE*PFsVX zG0)3Z3T%C7)`H*;;s0Umj~5~Mf4i=jYudaVuN6sUlaI@;bOI90*wjylUL8xQEe<0b z9Y-uo+zDQmwrMYXptOeRtcCinFQtpVkT#QP4+r^y=#20LFnom0teRgJhUzDl)^+Nh zT^;qzXct1}PWbN8ZnQDh=?CS^ZlUf3**t(TLbA~yxxPJ?^Xcr~DH$cze>co&+7{_G zwMSJA-5sn_hmgZxR;OzPA_U<%mV3I2KD_q0smhmL5f~E3ivxKX^a1;IA&3N zpuL$&1dBEAs)N-y_o3NGP2X>d`1>GuP<_9(W!yIolurECPhOO*f3_(w(oD#gZ2?ut zSr|tLGJ+7wIxXCBTv6H5V{~%46vJjdR1AgbfwrMa3nl`&dWgY>Mb((@Fr}~CWqBHT zkg7u<2M^{r)br9im5^by%ib5c+tNP-ohF6KT^2F-gK?q=mB z0=E$Pa8HMCpm?TN>14x_mmC#JP zsRU@o^$Nb*yq!?X+$~Ll`{(pCgpCDE%1nRt+Wa-oYm+hJWrYz?sV8;BA~0U38)qw{ zc~LCxesDrfe-}X5{Pb}pN?7Q#%b#}zRih;* zHnpXMu9on{tTv4^O6yKAl$Tr9=m=SuA26WTGY4uT=Kd$EC!2z8OyKL{lmQF56X!G^ zPpo6FI%(yc-MQ$z?R+$KL+v7%tf(?t#EN-YnxSc$w9borhvd4QH>ha?26aOCQZl`$ zhq#LtfA)K-`=Uy-hM_3*iGxeV#{+aBAKw4{k2v^a7Bdl%7PXM_A!=~`i&-9 zEHk>(hU#>n{R&#O8%w{s%m%Avv=rG$I(BOiV|p1sE*GE0Ex6SFyLnz@bP(vR8>Wac zv&O{Syv>W;eqQIo&oxAV9Ko1sr7jPzn$bmobABKG%?Bzi@8f- ze`-U_1MAEw2|~+dXa&l$xV{3jbPva|gzN6`S318wtamJD8Y7rJVX!YiwL0m3YU7RY zF3veJRXPz~J|-GVE2wmYV4>20Mzijgoofa-pCAUp1_v7aE34^wZBDP##xf5T&E>Jg zC@6!4e!|c{U$eq()kYlm$_-(!19&f`e?`v7R+pCH!YyLod9>B`e@#QL&sV3qv9@x> z#t>$Y`{gZSzUoMPtij~G8}*h zbmP6?h_li8RuE_RWjf7=k0n%LZw*{wSeSBboeBJBMhO(ZZ;&ySqcA!|2bAS$#qE87D;}ZvgT++< zmNjv7VTVFnonsU|?L?!3$etuS4PqDL3Bnf?-J$wLYAj&ep*1wHkXlABP***Gl~e#s zC=sG`5TcU?0#U^4<~^cVZf_D?RavC}O?qYlUl3lS!FjZk^NNyPb4;F9|O=ONI$%Q(E956heusR~9wo z6zdBN$B^mtvVtzsF8NB_m(a|Mqj1GU5M zI)?qSJ9LGlv3~LLKit5Z;Fs~bZxaDDm#~r}6$3OmIG0gCGAn0mGi3)?^!giPiQobXmoi(iE=f#y1IZGgA4roIa+D{@#OW@$@!aDN8AvtIg75Y zqccmmjI^eN8Wn$CjiOII(*Nn|+dZ^KpU#vyj(YScdyK|$)DiQ?7#>F*G5>!Z!}B*x z(uh%Fsr9E6DHJi%!XSS_VTwr7KNCXqE?gmd@3KLkn_iXp>pg00&qr(JH&-WrJz?Gi z7R8zf#Ud>@i8YIc)00m>(P#wszIE1G6W#irQ`cJ%1xA15(f22R279%H9@4;t(x`H_ zuSh;z;(3d6+jRv_T1r@$FedPa{`@*ChWUJyu9{7_a`B*e@e`~_U;+qWY;Es%bv7=N zoJ{_(qG79}%o4`=6FSNTVMZUw(WI`^s(Fy3R1u}c6FN#QiG?|gqo?Eaj!aH>dKp_z zC>-D)?&W``3T=qgYOg@W79-;A_kOHM7J(9UOQ2%Iedz;rQ)Z*JAbBltVT)B*k$iCc z5R0y2c%F$NmjXLXldM=#39=ulqJd_1+rDA=s{Rd=H_YL#+IEMjneV=J{bETh-zWI^ z3@5h5H8#YCu>SqaGb%=ej`v#b-LT!37gi7nsS|%IU~9?HuJiN$nc}MVw#b?+$+N$u z*uMPcFIY*65muT>xb>D_U}X+tur`5SdAd6}geHdT#|5|bLNa13M=vhZyNf(aizYxy zxHhPK_b8;(N-)#`q5>~V<9@2*9U{>W!iiUD22eh*aN9v#c9Te zUj*sHwE&}>?gvw4HDUTdi$odWQvP?r6c&GZM+A(3J@7H-4CUW3vZvd4l$M0acy;5s zD2wZCJg?HVU1pjzRd$EDa=ipG3y_D12l{}Lv(tkwF}wzS+kzt@cN-Mk4a16#?~1K;WijtPHx z7c*Z_+AWybHrFp2xd8yyJzGA03zmB_n*>*lI1GrTCR83l{A@rx!Xkb4Am)}xaUc*2 zD6Khy_?dv1Szk!{>_N;FG3HUPaunr62=#N9Sw|=;3;M&TfB&n^uGiz295momOpuBv*nM<|ed6P`1s!e<`S{ z>#vXEt5?2I>fxOGAlLkGT*=seWGQ1HYjc=adoqTgDt@Gm=RzCl)6<4DpvgQT zZ75DS75_%sc=M(k(+9Qw2WUe`qKtjI1CkVm#A@w`^l=79qwwBol-095x!-?{K+fRV z`Y?RQ6=75z`R=*!-SAMSrT_2~j-(nMt=VIqa0Fo3N_LPufI$Glc$o)Zr*D@(PW-Yf z!njHKL4LOtMiQyQYqNiB{dC2DwQGrud5ml>WOOFrVNZqMd*5YslNICsnN+5ye(RI~ z(7I!QK6vnfaS&^m7&aN|UUh#=LBp#822;~Z?~>^(PqA@<#jGmFRWfxA>ofcEyN{uR zwLWcpV^|h_u6s>Y<~bSzLjTLItIX?#%)fPamArHVZqM@c##PdMIR-rF+6?D*<4lGe zX6`pWXL6nms-(Jq*@sZTw3DpH+OX`OK`~0PIZ5iaN08LXG}O``sStnZu+Mr83O4$_ zzi%es7mgcN*(`LdCun=P)qZk7lsCA-Pnld5)5Z0{MS{7;I7V#YPQgv@Hgon&u+uDY z)70LI+gX+4>VXR+NXf{#t3LU%~Fk)dnil=E^C*w3s5Eh;GLcYP&n6mYY&>=4YEnS$! zF+3dEh(j4Oi=N$P9-R(6XrJsjD|&U>I5G;Ds++O`3$UA~2q1tI3$h5LUBiTo0Vna2 znw)V9YH}Xjvn} z9Dp@uy&w99_kzLWEX3Tmvami0HV58;CT&|_^Bkekeo%1$wTlpf;HIx)fWtiX&|mkB)hFLj2i4x!1|_FW&vRp zO8s}C_gD{T)x9bkPkb+w`w?@WR0FT19gHArl{Bf(UBv=Rd5u{$LY*_`R#GK>mvl`> zgWrqS9)y2_M#CyLkf~Ri&^?j*z0V<^>ANs=XNk zQiZJ*XP01lRaRvEq!Jj6X-2pR47RzMY17%vpy8c`G8w|=JWGl!4ZRllh5A-CD6HzV za&}u>AdokB3MzAKj!Mj4-KK%|XFb19Xa|%TcCR6yHhhB2Qx<$u+P`)4KK(GsJQrK* zc}0IxicUbgD1)F#(~)(C25&C;M3QvfP~ zu!5@6Z+xxUS<$LVv+da+sDB^gJ)Wcq!cl~6!_nQ!zUIineY$i8l(YD_GNJbBciZv|aEDe-5%&YIA^jZ zr8yip?@fE(9yk0kKdNp=o5yc>W4+Vb2oi>+0NF^;UDK@Ku%s~{lZt7l(8<%&e^aQ_ z+W~d}jy_ER28tP-hU>5KKI>*ZFG`k`4^ue*$#|kIp8u@L@!7z+hqhHHoaXGVd00fa z=^uksnmLhf5dWPU{#evSgXmF8CFK%Jop3W1aw@s-FhsBzi?Dq-cTkIo@Bl^CLRR)5 z3#`EJ+{?7hjWn^`tC5>*15e##$qW7x%RU?{(3KdT}pc)Y#TeOIogb-u{6W?INBz`tGxGbI-WFakrfU=coe-zXy%bDcyfgtF>St*C+7>j9CkU7Q&7K&vNRRM_6aJU;P zCjq?D8ZbjHfY$^BAYRw2RarIDhjN}T*t)(B$i#aYHql_#fcnTcf>We`4QG4c)I;FZ zsRozk!_g6yC>w$&SIPO^tj^zs=P%1_5T3$oCX|k4cJL_!We^cQf3fj(_>?I!zTTFH z0SXq*_V)A&fJ(zW+;cSTB!ns;d8W61OBozN)Op03BgDZo;nNWwq1NDStneN>jf7E} z5HP7c(^AIb^CdG6OR@J&s51m=gA_cm$K{bdNEZmyDrD8Gslw z1-x*Bot7;;tQI-he@Qr!ysAU%=dssG2?q4thErq*dBo1S!`m6%Uuao8f>q&*)=)A#IC~kW8(GJ>2C783O<$%?!xP>qWU~!HvJD+7GaC`6?Si2uKkj^sc zWGs|GZma@YgQZSpq58|Zxwy*#h0Y{H=1R7w9|;~bjnXS-(O!3Fy}~wf3ARzh(@wID zz!`<_o$)4|e^QphDJU?GQX!mzS_r4$fra8ae=e7E2z!Bl)3~-B5Vx5gtfauSgNgwP z5L~XC8jkt6i?-lH)LShgB`kUd(Y?fV-Rq6p#Ccr4T)W#D5ykL=zgLf+cCIVuj`NI|z89%o^o^}dyg_jUIxL8nY zK?NrZip4Z4(Bpy+(|8J$t-Xar7<ujqmT)8$ww>p}{HG$GcalHxmU+=TlQu1p zyhnv0twAb-x`r$S3r3VhYza#WtjPBif2GTz^P6Is&#y8%xHE z6m!Z&lV0&vBL&+J5q5XhDQIo4#ez@o=52xd(DjsXmIL_${$^-N4-rM$GjKxe$rkj$ zq%CtM4ZHsI=|9&H2jGGx`GlIZK=an&$qLEN!vk8r^%6V`l+R)KHkEKVfv`(MLDVEo zympIZO8JWx$;xs>G8R?%Gyq*2es8xHq9?n?hc#7mLuhZa@1~5Qx-GS%q4;488M#916rr!oD?vmGCB9_j4#>@H-%W zytAEzFp@8`pNL>bmx$27V?<;NEy)xh=H*g~8;EU*hQQMWoZRL!95oO{dDnKXT0NC7 zQ+zbwSKyV8MgBDORn6iDe`gVIhJ}}bHa@5*;n)OFW$s?BCIZ4TiT|+Bopw@GAqDeR z$ASWXaVe^a=aj5Y+h5WYXk%0(HUnj-5vSRX-_e)Nbm$84$W|JR36uu=u0m5h;%nTC zS4!6j&sUr19HKHEeWkfrv7aEbut}O?X=sskd+&KvZ|*~(Djkh zMy*ak{lj{3KlydJcn;Oev2(#4&XzT)TUj-S)X8*Mm2thzu<$k_P1D!v6WEAU`@gJD z-D#)llLq4u2@3k8S;_5aavs}X(kD%s%-{;B3^mfH?Z~b^?HWm2x?@^>0+CnyMo@mM zuCL*ys2&Ch-Y&b*C~bZ38`F@Az`2Mw=DYC94d8GBF-&S~5GACFMt!#{mBGD@#A-(XhdcUk2h&a%5~QLdI*vGx_qG+*S! zwQsq|>!$iwZg`!qv$ofKQ%u*dn*mAu^aiA2VdmT1QVJB z8(aZGx{~{m-W^XmSU7M2kBnz*^aIK(V5B)nPXW9lLZStv^BBu@T@t~{8=&KZ)2yCW z`3j85YcB(lzw2omJ$i(IDIgjKG|#$b8Yd-c%MAJ%nM7$Z^M#+Wwr*-amMD6b4N@Z| z!Efqt0ERNiXAk=a34n-U4+8-QP_jgS0E01Mw`chW*dWJy<2U{xvE&~TVo`WE@pqs= z{+{`JPhfWU#LhbHcS;skgNn5ko|eH{XxF1<_cx#&tCUnUPiLf^({h$wR`52f+5Aau zBgRbD1}bH7a6UL7Bc#Ou$~Qj zkytPXo;;9!c4UnYDl)p9+9X01IWo9uoHoI2tE z3rf9(UUC5Rq+*GT1L#TBLQm>L0DAD?FzA8zvOU=sHz-hZ#9;g=1{(~SM>kN#z(IN< zf0fb>%|#jX?7i2dap#$zMB!NxOiqD8Pm4`D1WRbhbSy)+HXC#z1b;*W5-pR?DYo%r0;;%*07J3iC4+u!6&S5 zJY7T3HFUiAhjQ}+PJg*2cva-hv>+eeqrC;n{TVF?fbkwLX_XxSL!jSrPh1~{S{qi)f4WS;BIeUuUy0vb0IS5PciTosv)figbAS2`{;+>8h@{i6`WdNz zJvlpu{kh!LAHdyZ{5x1qX>`YOwjXE6_>n`yK5SLYglnkcoAL@rv(el1I;-{{1a8k^ z4HMv70~r{A$1++sk+r|0x4>G8_GAB*hC)PJWG46sPsbOTFV}ma+!>wDG=vRDXMX;$ zPWEvqcLi9W-G5>CJwWbuN+2!-UK4bCo*`9C0)PWSbcl^QJwfOqa1oBtI=#!OFfHkC zbKAnwYS={^!g6&}UX?YP&0+Zc0Uu>-(f8Pqb=-#^*T6IF12T+*He}d6O@_Ntr4~%V zG6YgXs1fzUGv{|%k&zE4j6%gHFba2hcJEWP7_d-nF@HYI>sw!WhC)cy_o1YniPjEy zlMH9V{Ciy-IUQwFJdeL=s;X?&lz^M@y~uT@w|tnOmfQ`2ch^r_0GkT?Ju9nW6mz^M zsLg8B>vTS6_rSXH;(84mm(4blS-yt&e|j@n=Jgc8d7TxoF@rg`?({L;EMgsw+5@eN zJPCUc<9`V}O*Boe`ag*6ws=0^NLYZIv)g$|m{)O{PID-V;Sb#$Ml7L>518*LeX^gE zzbR5m^;w`6t8Km=M^L)+`Or{s2RCxb6t(wu%BcYJcx-sxc6`_P(@ix#(hE{I@=Iilh{4;B6Dtfx2dRU>d`nu16ZTBARm$l*Cn193l=dB$9 zT2`WmaG`)F3}@%;7|mw4*R!0yj_Kq1Ku~Kouk-cI=Bf#blt6x)JV-T6j?X_Xud>Xq$j*U1J*#5r?@JogL9Sd)YjmhjgJLotY?gt5vBvW5Xx z*p%Nm;emyL7r{HmF~BuE@Ios}c$at->A;c}T4QQU27{2%NoZq#G)5XPtxF73LVLWx z2(UmImV}NWFdkkgZ5f6~K$YVjB=gTiV6nP)6`< z)h>Hj%myBTK;Z7+$&-WXxp)f(BK-WdsQ&(se?pB?L$7dLsbCTF)ok|e;M;F+MK;Ds zHo}jQMfI$mwadfBcv1^mfAVVF_w{lv%=+>B5B(2+hyA#(h5iKa z*;Apv#h-)fMLX}slP98j;aS1!&t7N?lLTP>WZ;FG!_ek&9ewonQSn>9dGv4-pUOMS zK`fc_2xXPeG!Ua+f5n8nTDFtJx)*Q3)$c)+U2xf=H=D9>d)1W)w8!+NEnrR z$23pCEhH@?AP8yFvDtbfIWds;5>^1br&&FJhobtSebWk<%vYzg_M4}#e*Bu{HV_%c zTWMgO1o0?DH4~FGFidhr`rv<)jGw-LJ|r24GEyU+7Bb*kQgeE|g^XF#_09ZLx*-Wc z(vg7!iVKn>Aw`m$R-6bAc$ zVVj_#OS31oW`~IB4-GZf!^_8k=k`!@Yizk5hOR=*y@QK3H-n2-4-GC{hHXNLE`u~| zOhEx8xqu^hli@lCHcRZ=04sB=?b4t@#W{E_3Nk~|BUBSoAO}z)&)&V0kjdG20Xst! z7}T9jk}lUva3qKuMFf7i5J1$WaH~jv!VPyWIV>k^pA+_Py7M*Mb#mY3&5tO#-;&J- z@TsuFr`)}K>Y9}O{sH!5+--m*Exk#5Vk00)ugzl#nYU@|6XiZ!fky98V0y3ujoRO( zuHkN6irkHq_eZ+a5Q6nL1-qXAVS;u0c*gH7s{!OgYKMH{o#ew?2RG!C?qGO->89aX zF4OgX$jE^p$bHUMN;|BS?1QyHUy7C59ac&Yw^F);l~Vc=!=v5N>05fRV6FW^4A0X| z`FMRV`Fz~0*s$qQeAxi8u|UeerUEGgS6ZA<2Cnovp$wcUkTP&oAZ6fefs}!B1yTmC z5atT0VGAh~hVsf43PZf5<=Sh1r$SqqATSr5On74dyKS42yOioFTLC{k zo%BsRuMVrfzW$N_es$LOi|(7My1cxUU0v7Hu3t@?R!-XU>bq4pdetmpM57BkT8x5K z@~l6fef=Aq(LRJHD-|NP3r6xTI|2myA?AW|Yxqd1Mjs_T48);fBUs*lR@{hi#;5xc zK5sI;^?Y=CTyFB{XWgd6&jmuw>VCqkM5QCVf|p$II!(c=>(= z!-3sY1IQaO7Y@s3naHY&%|%J)!sDgeB9b60AVo-TXe)1|C+Up6mz}^EWV<}A;C%J0 zUDV62nzoa!0^F#hJRCyOXhHbQibx@^Yj6-{3hpsdOo-w-!l+Gul!{Mai;xi%lcoC^ z$8r5@JD<+l(`J%s2d(o}-<{R7A>sz-d7F&TunUDA-6CUBvX6>XK0D(5-Q=ac3d|M} z4_W5Ec5ptP)?IVHn6b~v)%zh#mAJI#3kfh)v?&Biqc)F%LLD_%{p3(*kl6~6JRuyo zyQ`ahMV>d4W!trXCw<8ms}8{nsklXnbOGJ(|qMT{nP&Ofu5*RRki5_KOr~c8`}@RJIC#-M>!6PHy<9<*pSvs9iKu zm=HYtL2hk=Vv!jG+m2UVnnCXy;yt^xy1qC@49^CB(k@rIAo-={f@HdEE}Hr2s6VSm z`n?-*Ik;HOW~0?&v_!D#`gS>L=cDNm(bQ8edM~91g(wsDtG0%GR7eu0qroKG7K(}^e zMmixzX(boalK~W{VVn`QF_D%Lk9@~Kg)fRz)@r_1h2-K)xY8=}tTVqOtGPbty5rUC z{n2m=GwTCwtqDyTY?Y#PA^fk{$UB(c?7ua94fnr)9boK0-fV8|iHuG=Y1$JRH3(YX zJ&}W=5$!$)J!VALs3d45tZpPh`HSrTw0gGcJI^ zJ#jVfKfa5o=fyMsCtnJ z&d1G+Bmbydr)r+Xjt=WZU!NbNHA0sZU`h|&V$>!`50wRF5pL*80NTqy?*B&sK4p!g z)I^`9_>w&ev1Gn{0T9vw|3?9j9!+VpwE)kDTAJ=$*ZeY`k3ZD2uAS#Tf-9G!4F^Ym zC*yxHy@Tk>A(gRyUVtOo`<6+ z%er3nZI_uDBVeX$r_lcaa*d&vu@o~C12Q!;m$4`^69Y3fGM6Fp2PwCDBXko1f3X@r zb!1H>;+DC0OT(k0Yv@w{#+B~6vbtKXw27k=YCLqc@6#3?HQVU2pnBFFFi1p&Z!D{> z_NA=yqwDR)H#jq{@8(ceeXq7?%53XAd z1cezaCKk$ye$b)W-u0~UC?OP!f4L3EJ@0o6e=J!vSBs(ej<$WW0fQmQnUoVl*Il=7 zpRd>ZZT9)9sSnkLWsO@Vmgnm?Mb=cFyGQIQkjubJPasegzqfBZ_)?`>1;-AEH*v-sVt82bbrGtJY`#yzwc4~q?pbsP?R z5-Y4^I-VGEtXQrRSX`yino4Ubef;>5%8bf++ZPkKsNz%BQlqODo5OL~f7^10^&bqV z)O1w&gDQ10XpU76R_=8$)F z)6T{<$t_C}4+3Maf91>7`vW#qYZ0}37s3K=@}8TnLk413L~Dv!8d0yM9iThAtU6?K zbWmxGg`B(r^OM1f=~)_Tk_2fI8knb{HgFuugu|XfLmdm2n#uEf8Uj_`CWK3Dnc*Tt z%z6!8vg*^G<@Ij8sq=PSRBKwn6;>dQt`6m8rT~!s zGmluru2`Dzc|TU0qG`LV+BgNK5*~HekXOp4gl6CJ0+61;VxpN%bZDFTx4fXYq`4#% zOwg1L@d7uof0kw*s8%tnY-s%vKux8iXfW(4K<)P_iJ2M&i{~DLUFjgWExUDAt=sK~ z%yK>pdX;Ur6w(xSD)5H$x=3=k;xrEJoqR8a zb}~msKa%fjJ;qSY`qq-tnI*$ zIk`jnJ(b}b-qto6y8)oV#aY!O2KbdXVyMS27`G?a{RpjNnSjO!Og={IKq5h%1;d`E zbujPHOz&cqB&h?8tzFswcWMW1%++?;r*elZt3c-IAu0Pifc$7|Q5{XFDBJH{4UX6* zQB`+Ee>=Kc!r^=x8QOi=_lCUYNtm{Q?Sl*FJnA`N>VP0pX zV#3%7eITG8N1vlL$qlncPVAkFR5golMugcD+ z6(+j4E}C7vEAHpY@pULQ&|)&ZX=;$%n;ICNe?pffqtsxIBUty;Oa?xJBMP}<8jgAr zMUalTz&JKE=nxYVOX#wui}9B@+GJhUUKd5zcVhl>i!q~njx!?@#G0dFSZssm9JhaD zVOClj2_TEVUtAy6OgyJ%G#JcfJfQ?Id87m(Ifwszh#6y*oxpnrR!_bcu_V`pWaBlK zf4aHMavxccj`Zq9x%K-+@-=Dp>IZSDdjYA z@M@&}wVB2%YI+O_DV^X*$^(;+5LwV~e-cS~FziX$0Gtg4=D^UvLdhKqaaji!7p|zl z`dmRGx+A3s2r%KKlWRy85T|^u;6l{e1tx}Yv_`}Mz#f)uq<8HXMja(2i*-7sn4M6J zPS!$-DX=^>euaKsCMK$z?WP64?&j0TfY2$k$i71p=Q0uU#S)YkgI`-hSQXuUf9I3~ zJGEdkPC{#doCNeP=)G=KKQ-Np!m&wkPC`fqCLcI49l(pFw01JDr=U<_FNB>;iDdpq zT4RqFx6%ZnSM|s0O`UB{%Wcu?7YP(2uMPnyc=9ls@KWMSHH?OG5@M=hk~>m>iNofC zDad4hlv!SsyTV8MmvsYahJC9We|Knce->~I$Z5im%LEqTtU18lpLU*--0%G-eB!?k zMU{W{x6b?tf7_q%5BlFk-yYyck;8=Nhc+*Jf11(h&3(y0aF`G9 z1aEp@GN2O6Y;5jK2DIgmQ#jyVY*lFMFQle#U<>2X@V4@FnBI&<)yd_{| z2a@boMlWiresBjs74vQMe<|*Dl{Z+4Uh~Ks&C`G#MO$y3#_EVG?%i>xzVuz2A}{w% z?Rf3Zj;ZnTX8oy}*N2Lp31!uP<8vlnSI_6azhdZGA& zmzq~j$}l47c+fnz;kTGVt{V({Qpk!WGt-qExUGcawokvQw)Qp8Z6zF$QNJwS*Fl44 zwj1CBTWpz5;xMr7%RQ3HjC`+gJ^(q2_YtTPxw(w01Eom)ZGgM$vizDD+`8_*RdQ}2 zW+_*3fk7FzTaQ>2X~w)Xg(+I zWJ;&qJ$24Vxq_=HB|0Rfjv97W=q0e0+Rz;N-h@*qmg?5YFPhFz-uc4GtCy|ors>$A zZ2H!vAr}K>m|tTxT)|~S(&ba=0b{=KvflXxy*`ZTzXxb~$;ry9O0G@b+D1}TwY7$s~gec`HAP|mvnkGex z1-J%=jvw(imu=B}VACXLkfR*=kO^5k*jGoKqy+F6o;%!r5o~6v2ES z3Zy67t?!n-2ON^9K6JjmDG?|;-*Iz1MMI_i>_g*q(U!;Hh;|B&ZbZFflw`ruw%xXE z+qTVV+s3r*YE9d=ZTGZo+cu_cetph)*8BabReNPd?8qNgnR`dXeH}u3Zk;L^0DE4c zB%=tag@1F$931)e@cYHG2O@yhShJ2~00=TZ+Y5IbW3sG2v zOX7(j268~O)159e5a5w5rSClZ(!G?pbma(>lxrCr$0}@e48Y|D=(gb2&@)+JZQ4}W zHC*9@D1+CeMcmjf$*6SUqVo0>|5C7kt$|}V(@OZNI(6cbR{Yyu_}bjWho8!*nQToe z#(TF21~i$RTy}}BpWYJK6^0Nv8^;asWdS>!GIPo55AxZ@87EK z=Iu989&!8j#Tgc?xDH!u79o8o) zrVdJ$>^I!3&=BsU*!^obcjF5DNPq?*w-7=60E`~{@O+S4lJ^^-$tnx)u>7;3L)Io* z%f(8IB_|0XRZ!!)lukFq3rgi+V|&Y5bGx!Md2xgRj|jm)ht>Yr1Ekh%UvfEd*{E-Z zV$)_N!8h;eEst3`ocLx4mK9mKQ9G9+?dnEgdm+iBUB0({<1}ekVTKNqg6hM{{b!jVIh} zrX7OWqaseJalP9o(zmW5Tgg^i>Z*QdM0YFF6iQM|t~y9cY~+`ipDfLT+ka`7S-|oK zx;B(Fg-taZZs5v2kdz zdE+s*rXHs}D;NpBwfxwQ6O#oN|H5ncxn26&8m)l*?ae=R{O_Ymv)Ovb?97+;ck!O; zc)59h5%rRl*Fjowqx$=XSMx(S;Ke{w&F2HZlmp9A^zTs+GOCy~X49hk-17WYgCl(M zV@hJ7I$+aGO}+HKPCgIP@4F0#RUkyZv5c8a{UbgBhy#|tQ09hSKoyd(PBhj{op+G> z_d$@S4-uD~AlEtzGT!bZvk3|})TFZINfahqZso!ZLRJ{n9<74l zFd&&Ma9xwAtmY7{8e|m{iyaH%03I|Y<*Z#g-|0~stPc)YNaF4tJ`f3KvLrk++XN{% z2{79g2A(erghykKs5(JVcKG$REg1e?eU6``W&)$CQZH9&tTH{XzQJa{4e1cnmb5r{ ziqLW3e*9a1@yw&U0Ik5y2wiK&J_k&oF{<}FS4^NZ1}KVmbR{9G2x4Y*f3UEtuVcCnef0 z*$OP(xF zNb2y?6n;oo~*BY*m?yjmAuW?vrQW`_`+c zqkG|61#Nqy~w;|@en(>O41MOgkK{^j(D;k_`1D3m>qP6xGm z!b4xF=oLWhr(~zT!`^(i<~V4>yFZNldon+UO?JD~UHD`n4$zwQRtA%xaiNHCyG-|< z4YSrCQak&%Vnl?Y!O2ySUQ~KuE^Ad@7Zp^7hMqFQZlrP84x@X_<);jp7h-K})`Nn~ z1e=Twrc{-HT-zIZ8rj4IcqDwe20Ij9y=mbJFU)9i*xyP#P+RV|ie6%YZq&({UOU}# z9>{?wb0w4D0R7v!^EG3)5Bv|kWhGEA#swQrrPe*JpY#*$#4yIX$7s~n_(-EE*E}EI zb+#k-<9WfUeb5eG)(`ObVHD0aVguC#FJE*1V4e}$Un#_>zSeoQc*kt7R0E>(TkI>4 zB=U%F%9}g0sE&$-VC%!2b$wAQ7d)jOWq^m3)CjE3t9|80HVC;i8vH@W?~F{-8l96@ zk`di(u)k7DvF=kDJRCGF8pt*>*_LmZJW!jb&y}RFJ1AVUhzy``al3#gzUTXxyC?#I zmYKmIsdNd(ZyEy?rtgL)-r%cwi5PhcbmTJ57|vEh`*^+*FGy*OR^Ed){yd061+!)l z(odnKWnVI(cyo24K>7UZ$j0vvXN`V zjgiU$(PBLUG7jMP4=_?~pun{Yy$fPPyk*J478k82Zi?O^XY#ABF$5y74leM%ohn7G zQd>>q`QNiz*B$Q4`5+;TVEF=b89dJW)21=KyO(>!nikR?S1<`YO0ERZu;;KVaPK=X zQ50EL`RvHd>xX-d)f=J9G0SWBrceqX^NCiVnMoN#HWOg_IxsZ*5~KsAs6p+7nK!a< zqiMdfC;sXW-cF2ubL^E!e-bck;%dK1jQlHZoZ{^d#J$zlX#1L*68md)84W&uWcZ&F zBt?{W&($IjOW`Vxy#Bteo}k zGK{jU!&r*aQ(U||^Eq9*0y5pnYlK<>u)i{F(JL3nSMhy=N%^6U!0$uwJ1G91@%Ul^ z#Htat#V4noPc(~c!A`?vxCbkmC%N>PQ$Wz#f{DrSa7;AloQ%i2 z*47*7W78F{evsv#F-@vI0kxJ)&x|Tr9$(QRlab@qn1XR=&6Iv4L+Z! z!cYsGG$Q!-Khjha#&0^sw5#r z*s5=m<6j}IQ!H&DsNFVpg*#j37mDNIA1|K((KAy^m%n8>$7;JsIW6xIse2F`28MlQ zIO!J-UojT+kZlp7kDL0P>*tnc~&rqkewQ!TFla+TU?{yG~I5)+-a zh>)i>A^oMy@sMwfp_s3_QEmaOckDFQWsrjBPZpBBbtH2Jv-CDS z42xr>oTvH}g593DchM9g=Xd$t{63CLR|C1*YrhC3r@p$=i^ZrhIC%kf&(Hs|K;3Nj z-7L-smcU5HG~+1kqwlH>kFFhu#-0}VZd5k~misC+?LOpRu`=jNSA^k-&2e8gC1S z_uq#NP&FWo8(Xc;|dvgHbh}xFl!SN zPQji)6%w-$%Bqo_A1Ier`78V`7y)ylKQw@9ZW9DEz20)IpN2>ROhEgnjzKHR`fSFZ zQbL%_VMH~BS%Ofa&`BjSV^1JR{ps7-T0A_lh`dEMBL}B;MI!mcg zajW`W8BOO3TqwY2FyVATJnx@RJrvEcfC`w2Cp!|pu3@>b3o(m5{R@ip(ULB5b3H(F zpo~O2d*w#_0o%fXUSh%_B)yC*G5on#A<~-WFdTc-^2#+QGr$`klqq9yQWOK7w7Zq~G6_ znMRt=J=7B&9lZ6Y%I6_1n6~bE>Z`d*5t&BKACK-k*?ZSYVKkzFOGxH&Vm6LtY5op% zv_WAMIY4u%|7;p%rWB7b830TV$Nyqe7dWlr+ZQM2AJmp?Dvlmz$iTl_a~N|(W=B2K zcmqCxQE|kB`AtBIn`UB({&meJO*MwC5)=kU{rpo=QbO_SOM{ZU5xcrN^W)piKakQ0 zuWfiGmjGn+5>pcI&j>5bw-x+sO6)mBHHvrN`i#@<=>rk1b z(NrKlJ+XoJha5@^>XA z$d{O*?%wX}e?|YT+*!F`tF4S;7fjx1t#n;l^4*Zz7;;f!TxjYE?Nt8+2uXaJciDC9 zp-rw3Yy-_97AWrR^#S(e!Lwc(^Ff(on4($(o*aC*#s@^o3*LQrj{j9*R(dTr`*vUr zR_O&ajg>I2=(SgG$P2c~>&3czE3Qs%baYpRj0tL)EcZS?^;=k= z#BQ~}n0wx1q9tuNgObf|>%_)3rwm*#g*b5K(E+Wh-UzHci?^6%dEe zo}h$O$HMMmFokbP+Aky;65iTAkALbXK(5qZ8JIezG4cF5UOK0lnn*pVeLYI7OOj%e zWN#1z&x*f#?N>Vc@3Y`O((M(2**)CMaNGTLWO^iQqAVW zEiQ*S+$^G~bckY?@#QM4isr`;%X(6+uZ_HT5V3itBVr>4OQZ;WrSeh770_>7Iq6L) z=eJ&W)`S4J0rFd7zaM`ps>z*BRc8fVZuEWbGXOWNl`u3tf{drUAKbKz>*iGYomAtn zEDa9R_6D5}lQE$jqz_Dc;M@Yg-4CF0eQ>dsLQ`FgbT^|NiFb`e^v_*arWsHtp>F&< zm6GfiLi0VIWlbL1+kaUQHDN2WKKMXoQ=5SEif+_XM)BKSh9kn0kL2Idi<^i>iymCa z#PWBnjEGNh#o}PHcX$r}e9OmKVL1pk&ytM(o$g4$USrmB-^shZtbzuW3!^}=oE`hN z`)<3}4CWro^mJk73fOASG-s%=>n_h!2TYnDA(o%xfH54snp+Yduysm{t}vXJ;-`C{ zAhq9*O5meIWc1NF_4U7G986cn#oC2DeR;ceh<% zXfB5Vtg?vQ@}Oxw}37^z-#D_ zurp0Lu^c%e47>#zy%~+^%XoYX)UZvkJBP4T8dJ*SL!EffGy&Df1}1{;CNVR*jzZNiOhLupFfY7fQYD>h-Vf7nk6inM&X`dl}JhYMx`? z*Sm!NVlSt*87lG@H{jIwB3ItcwoS@d`cXfE=dp5BOGM17x_8(b1Clh8NPzS_Kj;U0 z0WwS+(8-A3^#)vm&nve${_sc5zm$9FTy>qu`-ZOHewLNoxp~QC1Jo~aRhK>|AY(Ku zcB)8E&ej|TSn_14QWq{YPaWx@Fz8ohXt~L zjUsb5LC@q0zlEcU9Wo8-RDf&Z+gQOr3c;sFuePd?mJ5Z$JT~K8pWBFQ9|t3n-fhWG zc%(9;NG{R6{sfXPOk%z)9ybNXd9}IyF{ma#;0)(3K@X=}Fu)5l_wZaEp{ zbf4LF?bTQb1#+ID(kY+Pz>8d-NJZ|?DCh;KTeKZqIH=J_eNzYeIsk%X7~G3P+eKo@ z-?4S{2@p6&&d3_5p4Ih|# z@zi$;kNz2oB^O1Qka`J@z4) ziz}rpJ~Hu8Po($@+5oVFZpQ*MB%D2X!uIs4vbyLe9r6r3II$78bj4w@YW4)6Zc@@of@oMZ zi$kDubvLapR8`Bb=I6q_KFxG|>cU=VSmXZ)Y47-8qQJr)0$Ao^E|{K#3|k)0g$%m^ z!gF{~bW;avRe%xyF_J;3x9?`-d&lVG)TK-l8V<=+%dFQElxP(N`nj7E$PML?jbyQ5 zr_ld>;)Y&cRXdKx6r=O1tA0S4j6_82^hN#m>hfApPTMt?ER{vlHl~gaPtRO zeqpJ)c@;9k#(>IbsnUTSFvC=t!zBINV&@JZtg<4K^YV zROKcknJ{oQlQ{BFBL1x(3``)?`y3k{iL$1g=x;LN);oLrbq0PbB*&-PSFcfy-9m%7 z%$&V!zDEvyWq0mzX)30my!7mtK!PxUr{*PrtR*mBPEqI-x7!bdu1Z13k{u&d;H%=I zu~JkwUB`wZGhaWV`io9T3Pm1ge8DHM_>X8@3CLndq2{3@)Lb_UnOTjDFnH2#tuH*E z>VpCd%-@_gwGdqmtaZ0FQmdGIlZjWJ z-4(U=A@3`;&)q&coX?ng%`%98zX-=32j2cKVZ@oLG{uG5x+mxI0SwB{$?+eA0~I{m z|9hNcVf~*12VhInRsmNUxNv#JLFli}s+VXIZx@uP+;Ylk9pZLA;|9dR z7MU(EDG(HAtqO{o3V0lA6?lfK&xl54b!?a9tXJZDl$iilCQhhU7<|NI;76-#z@(8Q z;7$&^0kDL&3cn-l0-Vm_L2#3N5DIIa;P;yUSY=%irz)IeM4@T=2lS|4rziy4 z9vHOz2gwIxj;HUhoCo*MUq%IMJ|r*GKPM333=oVB+(LXp2V#?qkOcNvSCX~_oXj$b zBh8a!mNFQ0mj@>e40pk%6{8zKY6U5kSXqFPO2Agw=bzgyY7HTkJjWe+XhS^>xDLxg%j9MNUm8>$IT5K`C6khCNFap>j zEnwUp7NZtK;IMinDG8&XlR*mCdM?pf$VyQd24$L8(tD2raZb!r-9hv>kd}kN<>kMB zhZfHZ5l8Qd(py)D_UCJ6?C+yWmmkhwI$sQeFI1W#83(!UcMBCZYm!PYbccm;=@L}Q zM_R!4)a9&w{4Wsqkr>MN&xKFS4O65tcb7O%QAp9Sd=}xvhEEi{D>& zcXut7ttK_k?Bqvzf!vsjJu^_&@mG0vtIQ7v2Z0w+DGT?lnjQM-Z_jRklhYSsB0!$8 z)^89gY_g1`_yqe-$2)gSav(V2TQ)_Cv@uY-h$UU3jUQaxIyL{+FPAztMJ~@>wLeFR zsU*W^Y{F~49|6mSlX$@?Tx5E#)F$2_dRR?>n?L1^ACvNgvhmGHzvU#zN-d^F;wTO+ zJJR`UA&^2mM7;=qMkG;mOSM^_KsT{V<~Gw<3K1b~wkWsX8n zIjmIfPYp@NcsgCu$KolzDW!f>Jat2^ai*%SI`-7UGhZ(3Tw&g#<8Z5hMwOKB+gd;) z2o9M%_M+07sQ>{$eUEOGkzsk3aL2`ie zkrQJb-cFo~d=q51YPL@ygcS<7$8Q&KWfs*kH?eFUXh(J7CDMOE;K8fipNk)NLM#>3 z2sO&V$w&}&w7{sb09Z?fePZsPM6BFU(#r1ky-(+NI08-Ssh+X!H13fLZR9|$JzZkV z{n2Z3&gD9}KD`b9O&}pIP+Moqg&K$r0<(NND| z?kEkfvLo^h0bnR?z36SN=!-5h<=n0PPXvKHR>kUG1$u8JURKF$ zE>=kV-W?^j^&7TzP9?82YiIZ{A9JTXteH|owtQD;0O5SeybD|Mkwjbhtu0+k(IA3y zT4Kz-aWuKD?l1KkjjTr=wpZ9lM-5Z;Wq}$P5_VOdLXAjLH_wx+&!|sS-1e=Z|aS+=|6;N6+vvPR_7wwA~e?5t+&E1872BARg4j zn4uSDGXROTs$33URq0_6R#3+~z-MD^6_u}LS1HaJEzh$T49c~W`@xxH zZ$CLcMb%6@hoGe2O?|(cLDXNaQ>!*3ro*>YUR%rAlJ}z;p13cm9uTcvY8b5w8=7}`*%B)yHSeA-ux&(uoKcrMpRn*~!sSrED)g10ltZE&=U)D4tM%`a5 zRM+v7$`;P8$I&2!Nszh%KFgP^t&oQumzj*RjFoqHaB#u{%^IK$@DhiEc5zE^f!ge@O`5U8=ybub!|0ZdMm2V655Qf=a*i>qO<>Z0Y9pxo z<}x^ls2@aiN|K;i`J{v!1HhL8FiF!`D`AYvx(3=1f@tbs3E>czcY^|$ zfN_yhB!bmkbFi_QL=6L>vo64;5V(71+3-w8f5)L|gBrspfF^)aYX+UN5s3B%&_Eez zB0|nV$CuV4?q|RTB$y*XV35P2++7mI`MYMphWP&uLb1Tuf|O>73=&p`J9bWjlS26G zlm{4xMt{AzAs`#`ub3?rp3g^Y!oqyRb502rnB8aB4l;Blc-p-` zxm?qEx_sQ<3gqWCjnznud~{*{LDYUgd*ANQ9kAAc11_~dbs#hOZMZlrqOEVPeQmUD z)K}%|+fETZ{!F1{LbFq@b`BhC*la(I5CRMvd(D5f_ZR)PSvIxe?Jmv-P?&SpXRs4r zKGfzEvDr*}%c(lVH{3CpABkp@D_KZC(1TyunF!W~-A&KzJ_&-+N)D-;X(l?`e39Jo zemU92A&Mg^Z~pFg7G@Kt5 z#^ep0ff5JXgey+f2mO)sa#2*LoS`RB|xN)*&`c_I^^q(TC zkvY`T#Yw$L{odjo(OQg~AM7}Ve z(|psh&fvpRM_jnIO#^IW3;%}yI^Oi;n9!A4-`eK(jp)CALFgH)RlYiE;nfh`nYsaa z-K=?d?edVLb;^7NClPnU*>OvOUU9ec-YRr{mg1)t#w0%_k%rB8dJRHYH`(_!L_Y2i zuy!9cg-*xocz3%#-8LW$#x+%9q9-dnlWwtnvU3}r43^t!7wN6IG8xMmr-mst|-uDIQLBu3Uu zHwN^s_l)jqyZGSyTM*{8ptkxW9TdC# zr)wg1hj(yM=<7AyN8sB18$(darkm@I5hA9mAI8ki)H(I3-5vpyi}gqM^XEN6s-U_!Ic**U&N6AiQp&tj zRFsw0v58}{eDxsB*#{AB#;2EHKuhr@3R%v3DR-1dRO) zhbuVlKouYySj_hV#UvXB1#(CU%D;A@ z6pP@Ap90GMqU?f-odx#`UVD z7G6?g_^<1=j2nlKaWbhn8mCHg=2QrEdH`Mh=7CeTJS*o$uqO}3PR$v5*RXQ|%7Sh^MC7{FJpKh?2KIsd_F{^kWI&FWvYCJy_pq!=S1IgAh%Ws7f+ z(j+TCymGm3r&O!c;PC8_+&$th#youa>|(t_vguf+r3i&!RaXM!nDH1&GUZjT+?@T= z`AyyC+j+^=|4h-!ZJ86O)3Uq}iFKX9p%$yBapb<0$d{Ral3h4i3HV5Tc(NF&tf23A z0y5%8Ih&aDY@{|%eK-*`sW~kl-wbZdU^YuW-ux!Op z+xv4+a$UK5|DaYkH@V#R*y8G5w+SPwWm4ggq}E(`Nfj5WN~xQ#e*H4Pqm;f=vZugN z3jGg3Lr0pXLP$M`62M!bCp!_p6I#Hj_E_TO@lGl~(?Ag~pna|QX@oNoJ8&J9W4_%@ z`|6XxoyN{tpF1D)Qi0{5M_zO7C`g?&%3#>;CC>Jshm}eA+rYU6LpQf07qk3YoM3Oc z`i+ghO%J|my3F?2X$7hxC{)?I z!o1YK3mVw1c71$L>7frU_ixp%X|Gg$mBa33gB?BXvJ#$x*Au`|oUmn30m@2PxZ;m9PBSEB37t$#&kawq6eca_A4(QDkf5 z{}n}XbN%RA|7Yu?hjoDgVdhE&263PR$lK#e!galCOfpl?PWd==`;!P|G>Q9TKqWNs z|FBY8GO-+tSDe-lcb@rcc;~fguVo@OWDyI>(zWj5eFn6u4}U`s;~2=Y5a00Qo{%J4 z>mEuSu^B97?!qP;in);CjI~pwV|l94Q!G0G6=&d8K`-+ps^ss>Q}d9NvxofcppW^$zSa)h_BOqjWSZ}|ev9bq*^yc@;P*<283U&RN4@Iv6!JLj@ z?L+^?qx!s^-Q*3NnX6WfsGSHH_7uPmmPzcNy*ul)?f6ki7tnYNA+F z2C0XG?@?C9gDM&`%@#;AoNI=Z)CZ(Vr%5v*;Pi(c!|9?~_Z4041G8z49R`S!C<8

iG+mA)VRVJMXQByH(PKGv5RhghBjhag^?ApWo zbTLx=RZy(qzCh8FZc+f15>m@Kw7}pLIq6SLj^YUZmt)-f-9Mf;1FsX#_q*Y3tz6vg zJpA9Y+-LK?_cwceT^hucop-d6_%g(3_~Hc~;M;ef;6tntrIiDMDn5B!#eds-uI>&p z)oQadPg;MYqCyd7Dlv?@pTc!WYC-cQLs}#I{gb|G1hJA;#rgl&?HCy3J|;Xgs(8} zuq^+0F_YTAgiE0t&@3Higx^?WN`a@-vU3CP`70dRtef3he4Y8(cycRP3c^-G3?EWIkE*%?w{I1vhxwO0qS~}Mi4X7 z5i_b}yBe=f563Awkrr(tZ2i!+dv&z4?R9M3yvyFfXxf2!s7cO}t^=;lY32>feX1}` z(-~vZAA{$*YDqA%BOmY}rxd={mQIJ1RqyJy(HCq%z1UiB3^d!(z69uyJ4Z4ci0=^I zZQY3;--!Z-U+V!r-gsOr&%N=tpeM2z7MZ>d4_7z)=Z6i>j-VfZj}NNQpCnQs6lZWn zU9M^&N_$K?%p`}S`C<@_gyUVR%(7I#{9e{IYk7%}4J zwc!95_-#!{2mf+)cH$K7FFchU5iZPRjtt$jjV>+j)t`m_@Oi9aLl8YM62Z-g3|#FF zg-;8Y*%?A4`y5%lnxbsC?)HX;#zGGk6t)WCTOeJvuf`jRk8!*93+6!7Ug@!a=VU_e z&yHJmn7Ji#4j^HLQVE74*{-59J_ul}_pCj+ds$ zD`XF4<2k5{1+5upqqibO{eV`}eMFJT{msYrL4d-XGlcpkU9W`E#~h2faIUDHl3-M6 ze|LJ;lYHzO$Le>A_x@N;x(T{^abx&Hr(dGK zRD$JYMy+Y`+GMLLqRt$$YLn6o@Ju5KGsiM8v)DQu1F0msE-_-9+Lmydr|%8t!|%_W zAC!a-daC1q8(J%^g9}jrC^I`-Y5=<%daKE;%ahQ51W_SUK?I^#JDnpASl1FY5ph?*EIUWXtQ(0fhVi;%NBgts(d`@y7>RD?yC+ zkRd1w)Bibnz4DG=17T)K-7BD_Mr8f}B01n^pf-jM|!<}ztn|g;GKag%0Ocr zN+LoexHN{OKK?3_pPlMgB*b87>#L1v;qA#MgBU&aX1bt2gYhK6K}f!XO&yZRp`tO> zf*8Y4Az#FQ`jdo*XE4cS7S%%!G--^Q1RL={h+!B3(wH&cC9uo`MI}h!Si?Ocm?o`* z8UrSUs0hlz562~9M^WIMQ%tiA(|+{SrI{vW5#{NP(wV7_15h(GH()&C z!hn+?*lpyLXF^7h~(U~qtoa{OHlfkVW_ z5`?q?=!(?|Pq#$Gm}AznKZOy%@dZq5a0tAZdI+YT7!sh#*xc)q0BnDr6!RNG9v~u4QPKyt(7%cqX*q3V1o~ zz0G0&L-x9wXmFK#LlYdT+hoc9=u{@@LG`#>qQLqfW}-b5Zm=vOYiuQW)ZA zmzZdShrz~x1Ldd(R!9;8{S6_4nw@j54 z1y&}4>rplEhatsm<_(erL3aH{*auK-d*5{%0WyHwDT`6@E{i#!FaV?yr5u92X9Ur(X^!{{gvTN1fp}Y(%aRa_ z2Tj-dRD_`0DNnHH5-%IlmgO*@jdFC`^~yQ3Irp6mg-iHq!P9z<G z7;xG#!YZC7g#+S)ro3D5Q`pQbfeCuCB;Ddn9-hmjTl7;HX)i8^hlegV=>!>|41)KW z6v}9vv|>Mn@~{}oDYH7^%_SI(Y22H|(+0d{Y~LzhhA0o&T{mIr>F@+23+6AIrI{Li zE)(eHw6CLi9RpO{UpO78=K|(Ih75ErakR+iQOaTOXpWhgH`_2OuGrr%5Z2I`qHZ?3 z@we1}8JWc=<@GO@DN5*dV6lb3iZkF2Gsgy z=vaj>@kgo2aP`Rh_jcCjrCp|!z7I`TZfom=^P7&4jTaBykY1w`c|L3srts}QT&%fq zd;4d>WwnzlB-P5!iL!oW-^amjf-QF2}&^j_ddlGC#s~`yq;HZ%6nl{AmW5H=b_QTmHbN!xEM(_E^VPH%r zxoP1^|InO*HvMy7XMyo5t>-4LkFR&6l*in^Wd{Av@K8f-vs{plO?@@sjEn9S|7Qrt z1p4+czRC)FPa7{wHedcQ@Un?D|Fz zHx~%QU-)oG2g!$g5pYHZc3)pkP4<}O8Qdl4nL{Hcf=G}C>!M?pn>&XYVzX8j=*yx> z9;tIpF-u~mo8I?lA#n6zvu&xv0TXJa{8E{FFQo*HgOFHMCMkFq3Y3}yanZd*a#+QG z5qc;Wpc=4{!6=rxO1vT#j+%U^-tqO@mdT{9X1Fj=s~HV%hnT~(Tetf1(7xC&LXy@AhO$`=^S-NuzlITx=RH-sHqAUoJm!J0F3DDLC^;&X1qFswcy`4OcaDSYWtg-}Qi zJNKGew!)@FZpNnH9#ac8fZIdUO5xqS8BrXKpg4AHNY`@KzH-onJ2%Nv1(Oj;@o@zO zVe9$ij6-7bH&>a2+#DkTk(<_0dYfzARNM@!;7D+h%cu^3Y{Y9KMv$g&iMGMA*73p+}wFG-uKx&a7g6Uu?k&)z( zuuRidG?X9Y8)P1RrwBZSiRJxHo`jd8RBj1z#`s4i?myamn&hd*KMzyswPySXHdF9& z+rrVpSS6ZPN&TCUtc0O0j!OgYQ-N@+>{Ih3(&4O6EU~Q#NpaSz zk94vz+wxY|DDwJKfQ%2fWTSCbz$+?*LU{@n0!jH#RPg2(fkOIRRwL{2l!T4qb)u_X zLz#&sCCRbEdpO2RGcaN0hTD z&bOI{9)nc&-$jw&$UA=qtL_#vt*Hs%?VT%;`EuMzB!=+G{LJv-?uxg{O>yeqN|+f7 zLk{|h`zK3g1nsyVA4{thxo1jgo`+O1M!J+TxQc&{6z+9Br3Q#>u(GsfseU{WowSEH z=t34))eO$z16Yq|<^w2xD9kYm&(jDzu;aci=gpittwQ23vdT{1=uH$l&HnLia{{c1~u-ZfFu_v>j6LmC+7gJB2d>WVd^dMNlO=%|k$0lk~= zK^{A3d?WTooD*rj+v-807pbw^=s~s_`Rzu&1B+-NZnyB6+z*Y+k#=gJwFELB{o&q+ z{gbvU9ALV(NBHzBA51MjOK(BZA6&ZH>RMD`!R!u?XhBzDAyBY(q^JV%`?e)f@5NKv zP2cjJ%xCL#<@r>{CsSv7uzGjt%&QAqUv5x#VzA=_F@AEmJL(1ENGE)7NmDm!P_TNS zu_Y&Gss3fmhDN^X_ImHwf&Y;iq}x@= zR7F*|;%`aGDhK0^wDlHfQ*eNsVld2ZY?$HX&wXCMKUtF4?97}7`*T!Qr~3NXedp%+ z26!bB$iLK!#?(&_%}is*_4plcH;R=~KJBK-o~i1Aj79KuS%f&ZWkRq2mM845GfTN- zlousqwPEtt;jrO$whVul(TVHjdT-6Wn%5~jb1=^q6THMz!3f4n5y5=-{Pfld!YIvc z<=zR4BiGmC-|mCbju~fWeZoo>L+4hJD9~#n8+6!V*!s^f$`1dc->VKAv1Lso^SJ*Zm;)#{c=Z)<2+%;-B%LLgH!<}yvX)M=+7ygUXiao*efhn*a9r> z3MaF;bGhp+6;|Q>;_C~umJ4#lk=K6LWp(J80ivE16%V~Sw{cY-eex_Pz{jiP)uo`_ zz%M(LI9qi48()tMu8Us+JV_(=-9@h}&#^Mzx|p3b?wbuZd-9GC@8jlixdJvAuct1op_4sO z8*{03GZV>9&5IZOf0k01?8?e2otI(+6qdr~fjG8HuXTckdKG-%kDZ&@C@M|f8>j<= z!EfEK`PbTs-pa8+AJ|$`NPUY+kH^d5N4%qz2{h_&ZsG|(NWR>G_6LG_t z(Y0iibBE;?d!_3PHRbnvqi?=Q?CTFI?myCLf47L8AfM0 zf8K~OT!=#X)g!2LtmzNfKGV6svpe2r zgE)}|-;IRb+D29f4Arsc>1zW%E5a>KGA)AIumMNA?P#fwd~#B*l%BAM-D4fu2^Y_`3YU{;8z}D`(uOit+(xFS1$_U?w42{K(@#gGkK1`1TA%XVL)tZQL|dN^`_C8fZ#!`hMFF1htz;qH zmGKo_&T=!Oy@4MV!o0Uk$8xw7vM0oDwRPAHI^TMU|x{FpEl*(abFl_`dlK>qOrO;S~2RVGJPT4buqPhYep^5*_3G`p@qVTJ0<3m<9}S1Wr!w?typw`a!hM2kDsqx*N< zZ z0;*CNe>`->={9G1P>-I!pcMPgf|dr|evNma>a2Wzf9)G<*6w9U#mUv{7vf2RYI`2R zeJrrn5Hj718Perus(Vtl=}Ugvh4I0`vw`G+jM5#G;B3lO_8(7=x~zk~F0aBzX%eWBK$DHj!toyO8Xdi$lZ(7KZZ6kFPstctDmj4Sfb ztI5tm6{fj8ysm|7@gu23#9kt*oReo2%#coNwQeNs_Bx#K^e)sKhv14@Xe zM?2%2cf^ATJ^3MxO93gp1`1azrUk@qiW#!1V(m=nGZjcjGr>hppN=guM%!+7*>!lw zeyaQO0mw!rr?mX0GdDqNqi3HE$@N2u*#~!ttB|&xyKO>OJFL?_Kg{!)S<|?dn$Fpi z_Zbe4$K}GxX5xx7uHCug2|vCKpr>@3*0Q!4|C(WG5jK-mV)=c<_F1P~QdjU}c;~sB zh>FjnYM#t43_<8Btf@Efoz}MW>Yy!<4m@}>jtqy<;Hl)S^luuHYnN*Int~ClD!~Qn zl1h1Uzn+~Ss!@&DGFjEpfik}A$wW?FVm_{ZTuVcc)`MSNqMxT_2<;mrurxW6WCgWZjMNgri(lQehjtFCP2jbX{?c7t_FntwJqnTLaeV zWzo-hsdZ7R%_pe6Po-N}Vz-7L(R)pI&&o%m6_VgPr)=*{nFf zAPRC-)y?$*NR_$A0+=Ivb(#6zo#z7^_j6>F1crGt#_K|)b3x>|W3GKjwz1vdL3d;~ zjJl(d`oS2UEUvv^z#-=i?Jx5>)^C&go+bF1ng<@=*X_`TSHuol0WcNMDk{~SD9!6s z?wb#!g4;J`6%2GblIz1JI7a>Uw=D4I3rg6IpvnT57yGZ$$ZcdBi|HZD11%M+~;?mJ@xP>9_*%B5yELfez7%M$)6uAbwi zSyOB+9rPqhQ(*Ti*m()Xw-YamDl1c}o2FHKud3lZjBMXJ>~JRw30hC(G3u`JKS=`c zCbL*FJ0fFn$s~uhg$l-|Dw5sIvWXLt>!C*%xsGk)xxbl_8VUfBmhM#EIJEswKs5 z0f^2@OOt39Cnv#~pjxYDh}Rq>32`?havvC(rZRx9@Kl;e%=XtO?sr-@!hWv{vNzdS zjh3TJugt8mOn0hk(l|I2c~oyU{Q_W{kv^1YlBY{&u#9n_E5!*@uiK6-NTzQow4lHk zNV1}ca{Z=djLDKzwogH2jaz9lnry!+0JzSZp;?o!NSm(Z4>D3BGMvm+B$spOKthW1 zK1YUQQpCur{S{H2W3?Q}4D=Vz(=+MtefWEEan=3t&qGYoVCZJoY%x%z$|9S4~L zfX|Xev)Ss0oA>K@7~s455wIxo&jj z10UA5&8kr{ix&(G&oZ=Jn7vcF6|) zKi5nz#aF7CSNM{4sQm{ioj<>vhiUjR8p$4ohU8qj5%hTP1FeZ1+Qh>S;A0;N`PVI6 zAl|Et<5c^YDnWFHZhhTY)lc1L-sd`=E<5WfN3MXExB0MK#D_IxB0=9p;F0Il!~69t z?q5rs((9fu?b8O4z~{Z)9VTJ*r;Q`eZo279DeiTJOX9)Z^?a_Y8jCtLt-u$n5EH3DYBjP-}?D&7D8) z%bsDUu-igErAdzn0IwZ_Xm`$GrqT9YJ$XMv3Mch~73m-=bYsgew$Clbe^#@>1(V0z zPyiGkMn>uwKhQ;2J|2e4^V{AM%B(B*EH}KlIbvCFN5&xi(yU7+s>^W*xC+w6aGcTRo#JDGHRS)3^z4|bJigF9vHzL4*IzTex`uN?=98PpjcXz}u z`smDT?%+6Wen5wUbt(CAl@O*ei}>8=X-iE5W`(32^lGo^DbhY)6-@0Hx` z`}AqSF3LWS_YMq~Dbdgx@0R6%6!%_+5{_RxTx{Jq!y2rEnJ+Fs5oq~C+Pwy!!CQTk z{KU0S3-Q3C>mZO}w$@r}6;YIDi2?>YkyPc! zFn2HoSU&1Ux=W6gvr-&&lT*Ry+GuTpj;>e{240;YA*$$yls?HjoEmUGEP9@1msnXU zy`Hw0w~@3=iCz=rgLCMShKuH5!F&a7T)9&=r8TN?swTQBhL- zS3qy!dkh-d@Q17^pkb)KDr=^|AQLSpE8rTth@AJf4-`TVmqrs#u&L3+N>@$FJNkh| zPf+*)f6fg5V7g7z&pJhHbmKNyIev^@K;&b!(IfuKHoUdLj>KhEI4M#*b|QY!F0yl< z8gr4OsGYo>(_G=Eo1RMaaB{L=*aA_653>I6BLoZc|4e6x17~IX{$KTDfDlbNd$M8F z&NGdPSssUG!SXiH{_q51A^#qj#B~Is7Sbikf@GLTrz{}1Z0EkDtSHQRmc!diuk+uz zq#boDf*lOSQNG6qCxQS8gE9e_s$LHY8iB#h+5H(%amSwG9Lpj8AvO!xUz}BCM*(z>5ICtXLjHB6K_FrV0%FSvVZ|5*yNK~$IIX(brI_}V7M2pim_PFOg) zHlE}+^$52o_ zZcsm9Uoz?+h4$!D#DN`f4P`#DFsPDc zba~MJIzTXw(9S>bf8fby$kd67bZf3UcRD|Wf~h}TV&R;Do*wRLbgAgr@vyJ7fcj*b z#5A(MYDGVkAFfp*$-({-C4Ys80}C)Zl?-*nPf4v(Yc1fO$SO-zzG2A`7eT&x#J0ug z9jf8bx~}v;Z3+5(Je~aW+0Ut3*!}c*ej}^CY5ExcaPn#0#QkV;1m7FZjRme% zjCzTHXJK$45JZ;F|63oIehQ2du}2V!l9IHVN(REmgNtW7+z_zU4Lg+k`SwrVpuMH$ zT!6l~&Jd@8F8@ec1T>8kq!28Nuijq@BotAo7&IULSP;Zm7t{u(HVh?72qdP*SWVf9 z#{z~&H^xy0tITDm&j~ZdmN}zz)TxKjeaE+E^GP3o?b`ssllbMtnQt70eJji_00$LI#b&T|lDKtU>&)=)*`O@absL2B$nmJiv zMQ!poGHIy;TSjSP>x;nIx!w3M>@0wTa~1wRRGF7ZTT)j;9~!~--j5~?+3(s4+#@fx z1u_E(uAL_VjWEH3_akGkR{w@iKGG4`-TV7gF^hIXY5odMV!&fV~Tl9uz~4I*R)dCQ6z>ATzrMjz=uM)MM`d3SpiX9dh zSJC|Gr)50oXjqj4y58dKQGXj2OVm@iBKB8wD=m-W=*mDtip0w;Ys+DkO4J+5T!jJk zR4DwTLU#bst)OuCJvOkc8j~3j(>2JHKc~JCUn~f6%|H)%Y37?6wpdU|LqHzm zcZ5Ii)T>O-ul`+DJp!V$YF#!Ur+xs^2I!9X^h*b5Nf!-AAEshMBJ$V#=JP^z9MhZg zSTm-p3!DA$+>jPdMfj;A-;fAyT}FMS+gzV@OpnEh;!@ap8M80;$<_Sjcdw*8zk5(s zoe2GLf6GS+$H?6Nc0nB>NJH8Ud$)xLTf6y{#?d-=_eO{XYWmEn3^}5{&%9?MOSp5>Azs)N`D zY5XKOm@;HbbZTgqGUmEvL;#KZK$OlJ^v*W-Ho@e;nL2~Ub1)KX=`MI`C@Fb7|I zNmcKUSr0Io-9ytUe?dg{O!R(Y@|#1lS@a^*YB!5K2V!)=Lr}ElmjgS(BStk}kwJRB zLUOIqvW*I+1RLmET4+s+b-mx{vK)brC4#@xT+VCZVd$^*r z&gz>}Fo-q0Dm}wRsN792EA}U_f!|HtdO+EYnC(`wpt28t|94s>QTFNZ(u~G z1OL{;Lu`ebt4)Y>&mHgp^zYe=3M!C-5aNG+;>R_ugj8HLLhKoo7qpq_AI-*ZvwNz>(WFC?F3t_MoU{y?kb@ ze&!-Nod?SH#&@8a1#{z=!sdx`{e1A1v(otAn*{aau;g83b~?mckvQc@I8F?Hxcv&m zvNJa7O`slehR?ZUbE4#dmsN_cBtMdaGl5&N0pm55caqPZ_cksn_(Q81URZ8pzw&n^ zOCQE7jt$`u|EPtc^TLrI>dx+L#QCuiP$n z4tsxjxxXbsQs+?U>blyjE;f%9*O7%B_q$ol=nk7-n?JiO7p?lSgzMzx!S~Vi`rzZW zkuAJcx_YF#L3qpCKHkg{_OG$}_3ZNH44!w#;by->|K+$T;PtZg z%vIq*>*=mfNbn&@X(Z41-=`~9=Kq3`MPXrPX*R{b>)URuU z6UGf7*D)#*Ewe*9~A!Av=WHGxGJTkzGdV zujzGy_6tQ;7YXbO@1;fd%MT=GtAPus*h66$vEy$_UCC8bY2j zAWT7=!Zjd@);BtCW19mxIXz!!zA2y#^#$Qv{;y zVtXX4e4!SB+86~dC=4zLB}>l7c?boNUVN%ZBtGGk=^?mZl)}x7s6eWcBx*)hM+;f% zABr4HKyru$oEFnpU+LDFHFEe_bdCZOl8jpvkvbGW&N;{;yy%Z4yVyMCzfg-+8XtsN z1h+>aT0W5__&AabrI}X>_}S> z#xBrAokf|Hjvg{Bp^X_7VK4u_c;*OlrUAU4l?&=K5o)~d2m)GF$mL7tSNfRHc4l!= zSLMKl*GqT(jGwR!$2wAL|Kr->omre&a%O7Oh0S}zdL`!T!~D{Az6fse@Xqkz^{`u` zB=7hIa^|36`*M|AFLs-W-Fl^Zd%v0BYsU&PZ%Fdz)8Aad3ZkX3ZxIdF&sXK$@0B#7 zx2tw;VejXLoq|H_Cu=rtaU&s(m0^P)Kt1pt_h9(KAl;{0b)rRY{(51BBb%RVUNk(o zV|e5FI;@$d2470_R3A^?B&-pvjw}9>^Ob`#>GtmYz}z0Do@iJyx2CZ1<~t)mn=sdmeaDJJxL7l(NP#i(Ik(h`2x`X z{T^-WEsddRt#}eNFu0NG=*HNs8wT;8Z6i0Z4+jw*gd@xzqhM!N1Z|MYC~`FTEn9Q-bB`FZ=+z*oVk(2JXL*#*H8 zVK%CFxqaW#qCfd~Hq3xPXYPsddGdn7^VSdkQ_~a?_i8C$n=`!7@^SAPassGg{|eVh zJ%doLF*95VGY)wLbr|Vk2`0E)w|w3rFz7W))>L9Wq3B$PUO0BIgILbj31cK<_B#P~+xJ77)1$)%i`U6Q7~pPpGDP39{(RPd z$YehyO5kPl0;2<30P7SW5K2tM1X?S(f6@LT2;v0M)(ZYRBTi*uv~=|BZPJz6cDc68 z`M04*s92K(%JrVTJphu3-u40#PddY(UZlOxe82zKj1zz#j37U9cNPjK$D*!uM1KKk z`|}rpA||i%Fa~ z_rO~b{@ca()qZ~w9~}GXcyZs2KUdU~6`=~~9-tOW%EbFE!`>R8oOK@*rx@DwGJMzU z&mIN>mEDoiA)wdkyD17OmJs*Z1{MtC^a_PaI&y&skp7ObzlzWJ15@YDU}d~MS}kSw z0i_lCjsNf{t;zr$Y!;$;1X>6}yv7k+@78X-{6y)~e7h(1P_dTsWL^`M6Jc zn^WjV=Jb^xBn70@Ez)a=&0{0?EaF?n{-p!)545LCB=Q9E%Z;lZxw)E8uz!(1USW>} z3d5UnfkU#za|ieNAK`v-Zn*|DY>^FvS7|k*1eZ5#CZ+T0FjIFs>&RIgF54G7a-G6Vs)yannup0`oOu15nDk5V?i~dE9=$1*n;L z0WdRi={9^Y3zO!Ej6$3Y&Vn;?NAEiRC~bth|1k+k4)zL%Ed-1x^+^TsN0Gwzu1OJK zu&bI99Q5R!ZI)s#S&hVkT-{Xa#XLL^^^b8-y?x?^K>Rc$Xh zw#dl}WsH^Q)goqzQkB=%VR*i%xcEWjDFxov(K$)n5yq6%UvY6(0CRWM=7JT$*MbGS zm3L8(`o2LvZIji|L4EuX;070ImE{E&;g->!^;Z;_pTZ6<>4S25D&RMoY!FD>yfqM_ z&b{D6fI0YXdx2uo_7n~xO0>$!AQ^6DPU3FAh6tZP)y%<7SL=g`9ef*m+en>MjA(60 zW11CdXGmd6Iu7TCF58s3C_kTlQE7nbMnt~`5{zrxM9sDA{e>|AKx-qL(da{K!yeXU z7*O?UdW+P~vmSblr>XqRd8CHUM9R5<%n}ZF6NeVLu>rP)sB>(M3HGPd+ATL4qFXmv z4~3~$HTYl#zf&A>i?Bl?e`)D>qtjaZ#qs(SW$sg+_}G_T=lvRa6eV;)TS4jxlD{@Z z3J{`7XzYH1nPmhzLMvS}R~sTLc^4M*9Tt(S#i*+vp6W@?ex2pkh$!atlHqM`EnSng zOTm`qX02v*1;ve?fm3V$@-vE31Th&g+sjRhoXQ5l!`g~mdm`g@v6+9xfy_sHhXAcx z*`boo6GO_U@8}`c*$7!$UGXAMs}ZV`fT5l4g;BnjWzpz?PNh3GjdQxQ7OW!38Ma6)u%cNWpGWWCSL z?!xT#$d_-R#?~8%F8?6As~91S;Uf9{BqWI)=HT`27ku+uQ)$3>|K7|m5YZ)Zol|f7 z^%N%YW;6@%UKrK}5|FAPhE&?iw>=!Hw>{jx9-nFhzTPOL_XL$ofEJW*Vw@JHtO6c8 zInzuHY|n5_jrn&b#{)#DOSV9QKR?~uE3AX+XgV!S$-X9}Xj|6;`qf>?5(d422o?rC zXvzjeyvpU40!TMXe8qz5&~jHG35PjG1qfsME>H}TSdNQSXzEkCFd=m4`{TPlh%(zc zuCv~7K$KIJHU8yTYq?_!BbF!9jOIH65R1rIHBWl+ezQGOXtvpd3 zU!Lv}d(F%R3z&jqs3OVKn=Hp@gA9_h0FnUXVTG6@!xfr)`tFA+(UaplmMKWbfz$~f zK)E$RLUw2~j~Vx;sv%~uD3zvF-2YFz%ggdr6q>8Z0BBhDUW@_P{W?1_8+_N(;;)dZ zcgMJVE4(-|aTIP=ykn*Aw#pWcu|~8B9S5>KZ9_6$rd5u-eN)?S#`8tZwSN%Dft4o2 zmS^=0iam+ZUlD=uF5*{f0fq!76}CZx4qAk)Yc1VMws>|2HYJRs{!?3R(N-C1+8w(#$ zoyYDDJSZ|Au(JK)A}j3!v^ul_Q+;VJKLff|2Gs62i~{3<#5&^v1{D=VRByTA&`yc& z_^N0b1GW1Q8)N-Nxj%a#^HsL}7xnflyS=^FO^B!La_=tm#q#E>rz8Ik%>J^}*gs25Ul{BuBf!?076$UaO3nszso(R)D@Kt zug6$r)bzE%%F()7iCY2_yoZCGfjB`NW-x4Un`y)o%a`gLazFFGltebzcWf_81$dk) z$Ft>`bBgflq0+8zn=d=v&^u~#YmG1EIb>^_xi**won79eVlu3CFI58>p)d+Ii(8h( zi^s{0ZWH3-%PjlINJfq7J_4&3pYM}>EeAwvxEp7ke`g+2WCEJXSRGJoML0NuK>pe{ zqy8%c7hZz6E2$x;XO*@4@j927?}0Z|CS@yrkWyN|rLF3AZa4^{B-uIO6tHqz0C<~@B&WpGS4`7dwy&A5&=e6B@@TtFRyZ}!C0Jola=PAJ|W_H<== z0%fW71}#>02mu_WD*^Y840-J#*ugbRZPsDJVQG?^U5TVFkN2`MuN$bQ539tw{3(J} zO1G|x`t2r#qq5cG;vOiR0;T4p{!>c+&RyRNNAPmgp|l9GaDrAEF;UD<%x&Ai~~ zm|s#NX~}>V#UgF3x|DSC&xLO$A8U!{QU7Q}j4IHKv$4;9XUo$!oC8?;z-p$*v6v>+ z7?08-pA=WNg~jJwYU@4S0D2$!6s03aM7`8lx>)})mH@?XA~`u!G}B+ynPMyC2R25A zFYx*TFj@q zvJlh=Xj_n45?@k#-OZC^n9<&DUB|b=)lPQP#yGW#OZ8ck+u1>(-kjba{$RurJJXC< zwkLzt6?4mvod~yh`>!@e@6{S^w^mEQn4Gr@%j;r^z4>goI2mTl&*%lx#7QqV zjeiKk7ks;O7{FOl(@@4`>;LDoRH6LzmXVHg;+HIQtJ{`CSYzKrULlL+1 zQNnPC3wT3p4BnRmZQdADXd^P%+$5kUOnwJ=g`~lh!DgP;gy70-8n4DXJGM7iO1fP{ zSwD)zRcy-TlHP8oLj=W&FC<1gY?}@~RO^c8jBA}APFCQyHj;Dymdp4pAq|V(@9o!a{GFcbCry{5MBhH%QPz5iAAQ??d@__L6~6^=jC891 z-#6!bs)eNw3Bbn2p$o^PV(Dc{%=X2@F=-HMvkJizSuB%7qr7$n^HmG50+>Dt>)8(q+(odY!DhOf;2AVbE@}kx8+yBbT|Q zXh)t&8BsvblI^QUNH^3(^!?eFudA%3^|-QOn94z*jIAs$CDKNd6c6P_JmYrDoNks1 z+D2h(ISY1^GtK$wle5*@ee~|T=|1H-%`1>wed8BeomYX%9&iXCWjb1$1Jq#A`l_dD+ersf`6CF#Dc(UB3rKV!7m#kl}=As5!QX zG^|t6a;KMEwnXd0COTdZqjF|LX%!DIu>KlRlzcF~6xh{f9T;RDii1ra+&Q#s9)T<1b*bs!|fR+Wu4xWm6Iwzv5 zRU+f;#tUlu_fKV8gIa3S>72UmYFShT6D@1V&#=nh%4HC4C%>~<{6%P+N z4O)e{FVZ`1kj!cx_~lC{A;uB#mpl;k!2fvvYiWQV7!Z|!BDiK%L-OWL@09)*=zh#6FOCS;RzB#;F+VM=v6^DVSW#xdt`)A5K&s#F( zzu*ZN{O6A`YlK)%g~2T#@^aS~5aok#9PlRszipGJffx5!G9yp< z*9*AB6G(5oOR3l9O@2*0>* zKS+NOB3O$+#=xR5+OHEBM&fq)p_-ydERj&l>L@^ z5odNEsI7d+RY+_`d*u}47CiQ!Fx@Z;fcg#@TULh@kHgU}S=#)P<+p=m*;b)Gy9 z+ce}zBqKbo+cdr$z~cad5Al!(${t8LgP&8U}{H@4t=*7pV^oS zVBhmqw%OC5&3iO!K&Arjwn=|BbS&ncLda~R{cP%Zw8}krn6~W4a3Iimsr5Fm|A z1SKAgzlezO*cAa?>07*fBm&ERi7Tdvm=avY~+C=8h{Nq zphz4F#)2N6u!a2+^F<=!E}9b`f$mu;RPsK66*1*QUi2T^JlAzPr>{{{Vz|*t&|3 z%3-G^-Xzw3)8DHFFnT&;GM~poJ3tc0ac;s)Dcf0>ak#-cn~7Lhz70A`5V< zKlz3f7Cjw6uVYm=Ugx-6v0U}itq)81S6zK?p2na}MiqcyWn$$=OB27XFP2fdoA|ORn5S1E1 zi9iGq5Gm3m(r)y<-!1<hBq}wMm@6t^fS208rRmkTNhN0XtFKPR%vQI`aC;;e6%oUo^)wH|C}HVUQy+hP>(`_+`~#ZfGhVN8?EI+DQG85t|m{aKoO> zo$yDleG2BFN?9Q`oDk7%ZO0}+pbTr5m&G3#kD@5L4xS5#{r`y^C%-e`$;p~v9zv(4 zmfzoJ1sYu!qVk-qq=>-dZ=L_>TD_VhUoJ5`N8Xd0W1a!fUKASaSJDCs&b^_|%l+DF zUXAm|&DJ^`*0&or5VS$AYuWQ}r_i2;{zNh{Ixv2*EaM}MK%cY=4N9P2y@O6ANs;`h zZDTb~Xu4rffIj1L!(QQ2UiYU{9?z>0d<_ZVAp@?P68l$3(CSnV#qF)bTlJ1bfK(bS z-o6R|JAH@(`aBSWn|;zARe5jd>3*d11ak4KvMoqWiP}M6BGR_9VO>b4$IsYJ2>;pM zkx5Nj0Ee2QVQ38Al$jBVr|FKSe=LjE28uG1jQ|`pQJj5AeK~Cc$Pa^v&3OJ)piCSP zc0kjePiHHs2HXN02G9~T(clE@z|r4Lof}-AC8L31tT=Cg810JSO3QvmO`At=oAY~x z7Z|`zx)7NVW=S|Y_Z2`U6Nph-uq5kAP6E|YVt?@e02y;8gslOV{m*el+6KykuE$mDn!q#V|XCzJn#bCk?X;}O@i*X@Tm7x2jvz^6f+V^Lt z+dR_SP(Bu1FRF$cjxUsN-`r9h4G_Cim7|z)6#}&wm#KOTNx4+#8hAR5T<@NrL7m(- z4WH`yg2VGA3q88)R zRb#p-pX#{s)GtxK0X3^QWm6;}bmmfUW+QvXJn35yC37$Hj2q=IFuXSjAu?^gWe&^X z=FbUl(U~gM@2Yt-B-*Gq~5UeOj()#cFztp%b}^j zEpmY=cr5mloE=+j^M*ugfQiOOj_-ZI5KdweY>ckhh{|fpa8tT(Lv<`g5ab2D>_LIX zL%$|U1In-3$ZfE3*}JzML1UFD*hGmvb(FlGebf{rL6i_J<9Kxk^uC!|RDm`_8 z0fR{C0vK$rvKr^Rpx_OVbY`xZg@!nBIE1VzL1D6%@ zvCP}?(f&AyR3z)T&{J9IXMl)d`aI2@qx*YtOOOIe`<|Xh<;uOi?(J~*_ist{rWve0 zXe*JdGU^SjHOl>IyWPvk;enun)ODNRQMRs6=2SQR1$rLHa>;)3jo(DY!`6m8^H}BH z`~h>v=9!rCleCCcGdt!Y=(vxv;XB#ua$M)qADF+i(sbE$J`8iI-0L}*=he8fS$>)% z?Q=;wu=zubhw%OtkExE-FCGv+!k@eIi)bv^{7N1cyxf4oIr>$|&fy zjP3K^xREtG1`w4fj@qfm5N$+!-eo%yrxTA4Yv@SUNKjNEK2Y=Hfr)8a z5%k^HM{JrGVtub5Ukq$a7tqf+FBTrSdN5`fQj@VNO?#v%Sef2b^Wb_ee`fHO0BW_R zqGGVs1vngp_?pB2wqL)m=IMJ25n=a9kp5tB1ln4pxU(kwU!tzYVErkiKPy6;HK4oY zs2;_CXuB(Cr~E(R3L0Z94qEw~uhahNu1c#yz>|ts?xv0C@zwVcBCfwtbHUp2;yp+w zH;o(m9J_Ttm0SSJebKnH8~>ZHI`=s}X20BzV>^`Ye668IcVPAGjoTpVd`CXmqg2fIS8UjVk{)q(fq55DD)OH61;JRcwS?L&d&04AaK z8!|;6lUFi=^>%jjhZEsU30Cp}hDDh3tcYG_p9c)`s4LI}I%e5`V8WWi4E+V}OSpbm z^_|v#govae@@QKcI6Y$Qx=env%J{JPtxt$9C3CVregGDG>Y7=G8-&TvPe{+m{RYhA zc~Suq1fGr(LAtN%n$)+a(9-cUHD6?>`Z_Uf^4)G7$LQ1=qQTzC3JERBdG=N|y6B;o-> zYVeVbW$Qds-{7IC{+#OePh@WPugu~jHPGweqXPPMJ+DR7o|tJqISqqaOLorZn+!nm z85T`F?J^B{E%)u#N*a9#*VVT4Uk!n?zK?x)1SBRG)LrPEgRVEau06nWzL#`uNhg($ zb&?$KokzJbT=FsyTQ0`yqM!oiPKt+4(2p!-B!4>0HB?qr_rp|WBSOir1 zXt;XvoGMna`RKTUEP@tdTU^aLD+!veUOY|n6FN6_hTr8V^g!P}FY}nbd*%^ztd!|% z#uTky+JX@;2R@4PRT4tc6KRg6fbG5<}5=py+d?EIKO%xn89yFhk89TSuyIAl;^y z0{wYq@Qa$^`%aDCAorpAw32{myPhgoQ7iXFwlV1#P|nj8yOPc$>y+HL3U4yiFUn>( z+jVCwIPo^&qxdd*Ip_|D?Gi3sEOjJ&RsKY5u?fumVYX*f@Y1XaU5_p`a}N4WX$mlS zkJ6WFv$Gcg{|n2aoVUj^;z4%W!n7>8>E>X>Rj!UXA;M;%HnkIZr6G%=n^KLCh?aX*2uS7Dz1RU zB0@I?TnGKuJCS4Fn zX`C@_NqA6VAITS#xYq7|me6R$QAYw$OKrGSjmWs3ZZQy89JERMt51e(M`n=d8s!DN zKmUf70+2~8j&1cNG0ayrI>xGz+EFKNt}oLhVRUDu)42NW4M&oe+Akgo%w$6>`>&Gp zcboZtMHj~9tszCVP~_+WbSZ-y;2EpBjTAgDiJ;^XOybejF=CLMH2T&{_e3Slxu04( zAX`43Yqu#}O#_i0Iu9pW6*Fso+AngBZx{QGpTYpDrRj_0d1Qa~>yu85G40-?>XMZ({=dz@yqOWSYh zcz$yXVF~)Ue~MiGcxeMZS(77|cHZcBTIw3AGZEhjI`i}~qN@+TCKho?w4u3Ao ziRd}^6RcZ2iseY=Kz?gfe7mLN_E8DzqwX9Qq`AjzDhQAK>Jd9xWV`J#t4Em}+ z!F3C6tYKyz}ed5f@WJ5lW0j)+_?pF}>$zt0NKr<$8b zEws4s4}rAN4;7!^I=ZqJ{r z4G$*+5OjO(`LS0;1iz5Tfn%`zryJp@#znVrP(Zk1$52s9Z!+_- zTG|=;MOq)kYuFduOMO2?1l$a8fod=CEQ87yH8uM$;hH#Rz_gw&q5}&)1|tnGJ9<3$ zX&uw^ccQ?x?PWWswch5Yr7`z70B3ZqeFy6>T5Vi4nhQi&=}ek~$nC$4O^I)DqzDj0 zn0*azI z9@y8ky1|DxQhT}Ji}&hV&T+@!Fo|dR3)5c%vzNOB(&d02KO+j$ssZ!ckpk&dD#5SH z`5thifBm6+F}=Kto>>^Tnv7>;!V0e^tZ(BAKDv)sIC~E2I`}l-J$Q_1q$R2t?(F7c z=VwQKG^bh1jBtpH{QLnNK6!-Rd?;zp=I(8`$0#`RxC)o`N(z6cFS69#XKN?oN0ZM_ zH7?Z@q@3@@^?SV_Vslg)!hqal+=cjN0AO$aXqHSo0rB6S7(J~$>NfeYHR$?ij9 ze_2vgq^TGk^ozy5Ckbu_k6y2tw4`WC7nlyO5zcgAZ%IwKTSONaI!P~4G7CNSJSYHL(=iIToAQ%@B#&XQD@ z>eFrKRF?`k{tRmIcTh0L(y={Kf@}D&s#_gH{lM#JN38_4>6$C9+hh3L)eW=gUTmR> z!e-$%YyF`eRU0fie2A)w1O8R{v5Qqz`h`8k+_IqP@w34xSMgSoOQC7a30P->J0XtW zPCsW`r-2hrVZ~pUTnfk7OD+lV-)Q%eYGKPXKI24hNEr*ppFwX|xIr}lOC}f6_i3>l z!CYbh1&JBiM+{Vy44{h%?lB^=+UmDj6Pc+9Bcj-MySpriOt$)A)M&)TAyR4uaB(Zb zb_P^?)L6ttRx3i2sP+oe?*fNYRk$!TSPo?#3@zJ>tFpy(3KFi;#WYlu)d5jWBp^Uk zWxa7Fs z5Ixfh86~_o-I9r85M88T@h;X0$r5>*nGF{V%@_?3SpslU?Dt-lgVSlXD9 zhBdp(IBZ5Z@{-18!=vA&tm?hhDL7H3#deg8?VB@JYtTUf?1a#P$r-JH`qJ)A( z?sft64_b)-aaaGZyS~CpGWI=LaEB8ohI;5D#owijF=k`2_I!8exOAEsZ? zXco>dFh(^VL2WtTL2hzT&oL~N$|W)%O(Er=ZX9F!fmWto9;{gJEs z(193`eed0wfEF`@9X8YT{gq#ZeBmD}Z}n8yPKqC|>iVs!pLWeT5`#}9F5+qj#obU% z_-nC0>Uy1se%Z&;)oFH*Ih_RTNvf@b%Y(#<+I0t%l*XZbY}}g<+4V^L1vIkkDH{2p zD5AA~-e+PZ%KxhG3T{XW!|sm?gIAgei>a^V7^Sl6_>5HuvcC!$!kI9MT99pN{x(S^ zvA+q0KQysfbOh=M)quu&wGM&qnW2EOdaXS)`zwFCT`)UJC2|EOdY4W#hOjrq8QXlpU(T6d!pU$HqS2#rF6m-MrM|g1p zA@_hf06);!e%TenmdL1|VThk25JT_CgW|QZ5it;}XmQ8DSc}P8gbF~oy@d`jIiil! z*3DYhYV}El{n+YSY5*XcMT=VonhILj;oT++V%(cKQK>I=W#^=RvZv4QaGzdlo4?52 zYqszUek7hgFC+6aRu-B4ax?efKdsktFP;P%{2XaMmhU;`&~V_?C_I}HC&8j|?yM#= K7;I_-WBxD8ng8Gb diff --git a/undash.php b/undash.php new file mode 100644 index 0000000..2cd5927 --- /dev/null +++ b/undash.php @@ -0,0 +1,25 @@ + $value) { + if ($i == 0) continue; + if(!file_exists($value)) die("no file ".$value."\n"); +} + + +foreach($argv as $i => $value) { + if ($i == 0) continue; + + echo "Cleaning $value\n"; + + $content = file_get_contents($value); + + $pat = '/(\\\\(?:label|cref|Cref|ref))\\{([^}]+)\\}/'; + $fixed = preg_replace_callback($pat, function($match) { + return $match[1] . '{' . trim(str_replace('-', '_', $match[2])) . '}'; + }, $content); + + file_put_contents($value, $fixed); +} +echo "OK.\n";

~etVd)%gXX51Eg6$Rj3#0dRAGyIdpbeP=5*Ger6y#Otd@=eu8Fk9n*x9;C?j;n z2iYGuG}iyYji-Q<&z{F+n6=KG-r;r6H}{=?rXEChqc5 z@IkX%4xWpoNpl4qhF5DHC;mHkk@U$}le`)8!1u`Odh_7d92pw}RF&VRNRfY&vx&80 zIlk6g@U2%?!HbC7Ma2Y2Lyz;7uEkoU##N1sQ|oXyLSQzotLz8eiOd1ZE95eXg`79n ziC>Pq{trN=N*xR-y1@zrwC?D%GQ$n)j61sw7gE3vsB_t*C4J@kmT?pPcn{nM{l-SD z^o3E18N$#YxlY@GlOtw2+?z8pBT(ryI$_#po*RuY;N+m`tSdadPJmnlLL-aYIj@w? zydq&%*`A2L%YV|o95P_iR2zn8Rg$^f(W8ce7KegK7v2aFFy~qo_iLhV*7B#2=ay}p zch%PclMt8XeT``|pEiE$fw}rvju2y=L3Md0seX(UP}LOY#!Rc`0zcbu3Q5=bp>m7` zo|bCeOf`CEq7;u^$$+vm@LPIWJn)pwir_n6cH{y#95{wz9}W-zbaCCKZ`*JduA^Gz zkZzR5?gx#u`a_E)nf32`4J_5Hzw&2$#u>&97Uz(bv>fW)fXROhbS^+6hd-?p)b!&? zsh%sn+{(1$y|o|V@a*f!#Rj=YxCH7333yA8+6j71+57@JaaW`U7^AA41+u=E=HBJ1 zQ_=WtaMVSspa-zEt^$Di zl!QtEMv8G#@)LkVUH_^1F58vRymU^7W~eK#p8neFzyEkVD-(50a~J!lCXVxsdj}fL zow_cTwj03K2Rk{_EumFxNT(tfFCerYRn*%fp;^wSbjDy20{#*Z{tX0unCWWbD-0D3 ziMSbjTvB`OekmA^RTHL^pUw)&<&l*6+0@Ibcy7tY~wbTRZ= zn<13WLhZueefvy)EbW-0D5Yw*tJH5$_>d+ze_>mh8-<7^s5mPDfc)*!aVVX|+;NKQC+JOC}1f^8RUzpJ%XAmY;xV#4nxy(8UPBlRQ_ zI~sKcUz0SzBB-ImPqc;>DvXQ<9(ZkG@=Oe+6GrTKMx(+6H3G5@_(|BfZ7GSwml+tj zO^4vjZJmp;pS8v6yHFvn+D!8aA+jmE`rQfZjPo)F{-qIYxfqQ~Hm4}x`Ti(Q4Zu+c z5-M|?yDP8gn#2_ngwvdm2)49pMxY!{7bQjw+EXS@;2b`SO~Q_vzQQuzAZ1R2eD@@M zsF4JJp`0?^YERKqicvLpkE{LR6RsE(qh~&rAtsUr93}veE+nTbn0KMt&i!1u9y7&h zp7-0kr8~&rS4*%V^0`%*IucB%1FV?ljvBL^e$oAo2C0A(__VqxX-oH&Vmg}5znqBc z@!OAD?8vDBs(^4CS_+02H7QaM1M#p9X!Q}r0$9oJ@h5NJlBTuvJv$HQKP}u_rXL6 zG6*AssjY4@1Mn1Zs5+0vZh1#yljG`0zG6q_?v3LJ&np6D9iEUr`<~oDpcqp%h*~CG z*)*7n-*)jD_(qd{fH}zMfX~u_zyD4CFT}c`f)cTCrxQIu(xf9%{0qTv{eu@*YcnL} zQ^O&I0!B~9by{?@wy!9jRms&_2&s}5m<|$93DQyw{@A+)r<31m&JxT&6-d33aAf)Q zyzPa4yJLa{whE%H&Fuh=IaYQm?chXNirOa|4#r;)^$hT=*s5KuKNmdMK2Eq)tqeH+ zJ}-XP3dsWvNxedT@7QB(8w7SRZIrFWlbA)Skld%TF+N>a zz7fX~cKV-vB4U3Fy0^Ct89*Oyy~f7e-GyrJwpxZ$1vc+HF8@tviEZg&}A_ zuz~o`BN=8}77#}D^^xFL#hP1u3Y-+Y)tKMB*0kb$5;qO#FYH!W6}M6o2%@8lMo;U`;v^%)&VN}#5DZIqJH71a`@hUx3O zYU$`B;|hap#6j-PAH5ovsnA`-t*~GJ(oX-Of52q(msCD_q}}0si02Ko?QuOb|G=>h z(ARl8?dkw9vtZO5-1u{bo6J*ZkX4m5FIMcfPmu z>P63(Vc}=2a@18g8FfCg5u0MbBHd#_Dq(m0fO69G>rJVjqkNcfPV7&rdrY0KQLDSy zJS|X@x+q%vy-TKxEaoJxWvcl@yIS%hFU{-dM3S$jJ;m1vVRkIe18yOo*9InF#7Cw* zsAvER;IQ!sq>Mcsz`DQhQodJy@!Y3)&HUPTv5#8G5~!EcP9m)kh)(%65deCo0>cU} z`}aBvaPN{AY#GLJh^Z%7D&tE{;yp7Z4*8=%a$RV&OO{9|y7W0y=a4ic>2Xoe!^3*v zQiCh8Qwf952lg4`tj*iDOED4L7j{6^gAN7Akb09Y!bj@!K{?+tG#l4{%0{2barf9yA*Qr<27-JKWcOA=afJdTTcn<7Hio`>n z6}HaXQ}1CBPe1^fHSG5r?QO0JtBi2bv@n)X@+xD2&mp>|F};106c3P=y8xFVL>6q8 z#z-8vm|xOpIkx2h~HbX~;C|9fn^1aUjW^vAA^;hI$Ai;XXkF%L3BB zaRC#Qgfb7-Qqj;eEX}Essuv%X=XUj_>>#PlzDOeq*9YV4B^XX=oqsV=@oqu{(-)RF zksg-V0_UM&)(eKk2nC2nKRZE*E}^fo*-uqK!@kURgcN}f1O7FQ#8BC1386~F#%#Hn zP^MMcoGq%pCSMkcgoePxXERyggGL&a>BbW~>QdFT{w>zEppi4=f96QKZzQE1@TcI5 z4@NLS-lKRLzYbg~qN!y}<`UoV=5ZxNXh;Yq-mtb5V&C4HH3NXLV=1en`JxZ z>8g%y^@W0@5-)$+{|k(wTMOU$O?eCQ&TF8~t*n2LObj{<7p+B#A_esN=15yom8jCC z%-7G$c{<3Wz8CNVOHm1J#c>jO3av9Vdyi2!dHw*Zqy!|4;2CK;rI0U81H`+QzEJk} z{c-(r#M^}li>+6Za83%UK*d^${Jal(54>A(QcY(Rh zzLbgoQ2R}ie0bHwe~)q_wQjgOK(G)5o8+#8J}m^069d4GYQl zyGC+eopB5fEfRzw?dYkZ(NGmGTHAojY)O+J7;h8n$gmVCwk_5(>7&wrn?}*VS`2y;ig#ARVAfF$J-L+4 z&EADAvIuaz;HDSNd(E%{ORSra>9O0B%wv5z8thYR7uygZWOPy34&;?{cnv3TEgHPn z0uq1{@g#lh1|r~kE>1%YLYxu1yG?WJTunLLnAjfVBz8x)1pz{PE@er%qV>M?TDYn} z{5^-_YE)y@j<-~G*jcHyr#O*XO?S*SE3lguB>*U7L8DhI8qy7Kf<4xbvtJR3w8_dR zrs0n3?XPA=5r_xrGX#NjY+qr2f@*44%qxhfZZC}MM#9~8Y<9Uyx97-$5d^Ol-EP<= zKu-E_Lzdj2o*ccAOIzbF+K!52;O|(`H1Uk8$GYM_tmqpTrDwUn_EltVQNPyUW|GzX2fsR&xjPtn0}hv8pI&*KhvUyLz;3pMW= zUOWV9XY4|ffbHqhHIQ|#6=nM!5+1|?C%87_h)dSv8L4kxdwdJ z3h_DSX`4iX=H*S^fwk#3(~xVi@YGDWo0vB+z*80bVK>|!Q5B{Yi!iwMF&%4i3Ci6o zO&gZ{N(t<_2hdQ}QgjEcWH_R|_$hg$@bte{)xhzqx>(10jpzJr#Ja59wzK0eJGS1c z6}uXAH6;F4;ecW$OWB9{JBsa+v>w(I=*?rqD4lU}{`xY>oc3GHY7zAhtqTM72 z(jtz=rz(TiB=30{9LUYi){$AV*aHGu@W znSFcWtAkXqvuLmB0udaTmnple7cKUuGzOhJ`9)W-gK;*C*6ndnmv%LeB()&uagL$_ z#a1@F7o;_V-leo{NuvgB&H`9rDiUM#TN!7@1hSiLM4;m>JS4~Fg%N-FTBB8=p2+>n zj$B+i-F5;AFoS(gMU*$<`^(K1ux~**@g(DZ@g6Q~>-Xmo&oOwDR*G*VnH(vyB`<&# zoID9eO&1UBF4;MK4N}&R8=>fF1Nk4!F!0-(hDhN9b}Kk;R~7Xri~%Nnq(*^gVjwJu zj=xiwxzNQ6j1)qoU9KpJB0);zAVC*0gj%^ZYbxX&Y(;y zl6$dNkj7t{KcIINw!otQ-NXYk|F;_vl$q^+^mHAEtv2-k=;@o*K!}5tRBnH^C=eRJ z-8VfI={U5|9^mXy=cQDn4=2Ch2_6zUyo@d8TS=Jqh{XvWbbDM5v!+J@bw@3{h2wT2 z$uAZuRGdLS_H>3rd;c&`Y4gS2KVNPx&nGO4(6f;%+2mPSrg}&WsMXZ@?J^vlM=CM^ z^w?VHOcf;!%z4Rt z^9j~P7*zX=f69?^&NXZ#qUpLD09+>lo3pC(m#KT_Dh@mub#;f;=PlLzPc7yAr={FS zdHTm#pHIBM-0PlEsdN`fyzY2kKo{A{lPbp;S4-E5gO0Sug3&Tm0_J?1XV6RlsAen2 z0vUZy{!|{kjf1I>o4flm9#pNuScdpF`i z^w$4SOk$W~#x-u&u@@{{w-4$ePh&hUukML-?BMfBAk z{4&c{blgnmGd|a@zoQAD&-LoFk_NJrp(#*P957eAYwCL5GQ$A@`H40eG;u3ZMN614 zUV4?0qB0RO)K5pk;Gl!0sG}c3YrM{SrWUGkPkLeEM&H;ctu{HSvE8?J6o~ODxiuF1T}-)eGUBCK=@Bh%VXWJT zUyy5NoJLPgj*Y)y2ESS8A_uRCH2u&vP6~wx+G#n~g63gGLR20I2rRt4R|%Ic0Ef(o z-yEaO*ry3L24W`d!Dn?XH_Z&}g;jkB^_VT)+sk9BwaVS8O_7X%cKS2#-b**4${ra=MnlNYaU=<@kg+_5PSt>oR&`NynK*<|JBK zzzhkXqE@P^VchfwT-@oC09l%O$le|cNLUdT5~T^}kddcJR!j0NAqhkNy2b~R*zT`4 zu-B{O9R+2~Uj4#wSLURinZI!<6SomUgIHvI)&CQ+EvA_dKJF|~%0tNhGZ31@Qb5!? zCH3>Q5xU@~@g~yE%o4C=LKh$nm%fu*iif95H9J5py9lug=&=fFy`7Zo^dRE7aWdPy zLQJ@=wKMYxscuc5oh+gfYooOgpn{RgHI(k6P3iX5o_K9H8OWoPR)FrugSvXXKK!5z**mJAYpsizp6w2~c)AR20@S zvZkpYHy`!`RBKrhYz&Eh7U2Ts+cQ*R3(`BAUrIG@(ohs>qu;1O7Yy3;M5w{Z*R$^s zNM~$3F!oHX^Y4%?kQcQin!u_pWgbY#_5s{d68NA}*>S4@*ed&55LBtaN|3tS8!Wb1 zm*iWwgT@3Znev+>Dux1!2y!OP$I3N>E_RnX*@&h9-c7%^eBNP|o38ckH^sw;zX`|* z0wbGrQPt2c-f9V_rbr((=kNKHZkyV+a@%)@nm58PG+?sCEr5UCbZb@3(37A^o1rM} zP(g@o4fGge%V^*&^mbnu-cg}D;0d@Z$SCHV+@AN}@A$kb@qp&F#3p-)Y!X2CuTIC1*c@R%tmt||Z zc}>4owQRKvi>(|cH@=yzUh9aJ3R!k~KhS#tF`9v}cW%ZjBA~IoOJ6pQ=Yz9^B~6+y zebs;WfMp-&gXZ|ihD5a_YfT2%ab`>AsXR}>8iOyaaCDuy20hQXpn`rO6)Z`D0{!`$ z%03myZBTQUu}f6QCFbM!k&Ets=VOONJcZf1NPAy7yG1E90V}Rbg`xLdEr)pZ*(Q_^ zIDAHi>8}49JFH<#z#E|EJ689%Kmd{E$H!{)pv90nB?VeADtQ?q!-3A>vpk zo$6t~I@(GD)_hQB^$I))olR*D%!)A;BKFv8fa}wm!zMUGJ<>^4p|C~NB9*&43a{XF7X2HSzV zK(tERlYk>Uo?l~k*cR54*a)n?YSZah6iS8?Rz7Q08SU?BBO3~`XFThPUp-2w;cN<{q?U8nl;@hhMc-p z==tS*U}F_$h0Wv3~(4JQ=jiM zcEU(WcrE9J*Dh1TS9b3147*eB(-(t?`^F}WLBrX;5SgIt;+ zfqx*q+BCOCS??C9^op$ZAT#x=CWaOC4;X7xly|Ic>X3a_C+VJd7+8ryp#d*?8qKad z1XqSQB^Y+&v044jx1Ht&n4-(glLqPlaCbB&)B(Y}7bB;KH+skPqG+neMK+R?0-~2f zMNz`~<4KfJR6phH(d|r?qP4x7X)`?TZ7A ztFYdEX1Vgd_=e$*b|Ri3WkNY3^5X?91R$ojbniqn9yHmP8!fotPsAV@T` zLatEw0Y#&XFn@f4efakN|K1}19(a5!++nQ^;{Tjml>fM-M z^|C-hi%vuk>T&&;T*Kn$zc{w=N7y-xkaZAIM!jIkhOZKx)kJdT6+8>eL< z)_2fi8TZB!WKaMl9Uw}1r#H?JYt`}HcP(!jDrXhdk*2iVA&FeP({cFgy{0DiPEP}I zh${!f;dR|=5vwdQ+dY0!^<=wdr}B(PiWIF$B0^2;#!7Bp#$^oywDlFDt(*St&`E@N zzVCLVse})L6PW{xQrz3so?RuB(%7u#PwHHh;0h3!NKOS3GAb+=5HFqja6V3?4!20Y0ZRgLlbINfh<4p)W>v4C<&_?%2I8>+>U5*KdV(=@|n zK`q$o8wFdH*(>TG1G(-yM|Y~QZntqX0$G#_Yov>sv*?k$P<`hxvlkn*F?9;*9dbS@ z2feE(c27Gj=RUZZpB%>*sEfyq{<+Y6J}-59>{+T^3r; zjq%8a`ZjCm4H&MygpXN2-inCdCMVzb7yjo-gCR`#s601cwVV_6J4~6vRDSei|MQa)n!n6$k%UU6H|o&>aIez zD1Q@(olWrb>|ocMpr>M&4PidPKOk)xu+KPAkO$J`W3&^a#S*JgS8#QL$%$&8=)qAy z;()z*FEo_^aGP59JSw87Fe~?7RHntrvOl2sstXX@8_8zm62WGZ8XkgqkmzF+I_cep zUH)KN*8Y4<&0I5Yo=0$sHAiiTpUk%RCJM^ECd!dMFJ}XU<8}rUY?%l%HrBc_kFf-MB8_k0wDom+_N^+CSIY+a?5xzaE-fP^Te)HZn zIQ003L-G+uIT8hqblf~IbcxpAR%#3ES53im0tRH!5aQ3qohVp^Y(bi2o^ei&nE+^~ z8yYB~P)jCvmhh24htiZz|Bi6Qk+21z28^IsSp0i&MboM9eCrr2;Go6Qm)nTASdp45 z2_L*KYu1GY2DyO}C~H=P>25sBAbzmRg`hRUDa2!1Kkr55jS-hM~M+Pd;lVn93< z(7D4o6790s!uu()0`qqqI~}33qyxfDkBSyeLV}QOX7FX$C?dS}9>Xij{Y^YTzp&C;mFUql!w9jyneBH`L33VnKlF}X&)d&z9x%qv4GeCIP)56~M-2 z$s*66YfdMl?RX!Ob%C7mFKLuL2uM^HWN6@33TtPvvU;>!C;PH{ z>`-yUm6w&jmJ0~>_gVwS<|0~?(um<1q@O+G;8`#cA0k^0)^J$TSAM5oV>7uBz?$!X zAh|`{M<8=|x{iFpamNAS;{$-jC?L5`Bg-C!%v>(Q-nhgcP$3>#&DLCM*b$PH(OyrH!E*{JKn(R0XwKycC;%YaXwQ36;K_i> z6yX=YRVFq044$IlrTRHwdWR-$+Fq3)SMg#mEYTo5*O?qHU!G z889H67JXcsULm7%WhOfWmxMZCG13}wRX(P-7hR@4ckfGkL$O=JyL z{M)#xwK`aT3SK}4;iWH*1u;MB9B?%|H8WdBi-4o77!>_8Q<`AW1$cGA2r-V$=CxB5rYW$o%VUUkMC#J$&yZVe1Tj1WTGdaSRD1f&0}w&iK?M}D z?sVOe!aZ4H<))E65O8JsJkT8yf#w^C!YEgebM(VQv#v7ughr&J95l`_d{FI)<{xO6 z5cO;wAq;r|PypcV6oC@23<%V~9cbIKEtaWh6Y-N6sXLu<3CG>+PJ9Ac*|O3UNd394 zn8~j=h!m?;)ug^tbF$;ty%6l?Dgw4y`=3zC&>bLDsVFw#i$GWoxx+j}4?}GsP+Xpl zlS7D^S%`J!8<2VR-u7|v$vVC<3Si){fcWY&bx<0TO@N<55S*@2RJuFg9PobYbz5cU zAzaDkCUYsA1qVU#o*GKOXv{%-_7Du|TV_V{kwqB=oI_u|qiIO4g>{-Jgh5(!)A(Tr zxHFX7b=c*#Je8|L;0t050?VlT-@;0E<=A00(qXV0it^ifDOgY~UMe#eE1{PHsIw$s z@zVT=JOI@s466=>zIKL$;P$}bU3iwBeWK^}jcGs9hlo}tBP^s6OF{$%X&_xB9{ROv(;0~sZQz8Ie+5$Zd{-Rx45|t- zS;DDMUh+x|DAHUWcJ~7YGH}sM(;h?BDjL5qe)TXA1PnBfd(UBRcapm&A%uvrLNg7$ zCqR>TFQ#>L1fEQpq5oqR2ruIgD;5RlN$MVu zi8ZT~jeu-$9|68%Kc3&<;7h;N|FBvgg6=HRFhwBx;uS@VS@Eq3l%KkU7Rc zx;`Iv1=))gUHd47QnC>r^P`<4j@T$ z8PdOW=J`H~p1w1VFOR(E>@jWaAGLW4ehWq#{aFobciL^FBYnNK3@u-<2B7j3SL0(s*MJlP&RIDdpn6QjIC}EC1QI?Kx~#b+ za>D4F!%>oCZnFg=@KEh@c5I^akus5s6jn7G^^npALGR`ZrANFj8&JV!AGYTt0bAdP zLTg(hJ~ZeL^&Fu~5b~UIC3*42;7|kSo7A&uhK?1Wmm0@gHiq}h>?_QqN9P|4Dwe{f? z`OkD1`oDp&d2LrpL~hpqheP8p2>D}0KSqHT zgUKUN13mDr8!_-`Q=AON;D}3}bburJTGqLrXuKgb4#m>mE$o2H96Or4hyf1hav`|p z7fd*o$k^s37%-|k%fvDXRZo+}AcvbIr)-*sK-E;V#8)v7o@2OI<$%F&sx6;q#v+)7 zDnx5_!5|;K&K9K>&sD~1kPA?uA~%N^VWR+-+wWqMeqZ80* zWnoCnGaJ!J>KUlu2;obHx@5#A;lLTiT!EvBT%!X|4aH*l`BE}0Kbfc)v z7ua2s$H|;YtkPwb$n3REVSX70p~xMn6H^AXguDmC2f)pqJSux`r@RR=>{X(`RcRt2o>^U)*I)b zhj_YAr|oMRxed_5&J-Sb+D@caErIEcEHMpg)N=5Q8q~ndd+l~paq#dS*U^M6XH>5Z zJRoxNgb%lM5&VsEvioYk$>X~9Xr0_0juZ)%mAAH3X(BV>+3o{qlEMvKtJ(j&yX9JH zWGh}PB8Z=!{(NG>B?HUCD#=!vdGcdr%WuFavQSA%YHaHhQ}FM2R90ZYht?4G{&c_Z zmtClb=INl<>bu1u?xKGG?Fi!G)d|u+v?y#0UyjtM6|-PuW%D?Ee<^J6P*ptuOTM~^ zoN>DMA;(cpyN3iEv<(tM-7tTi+ro#FL-3bxQzxfxpBE)ifEWG-VF%wvvnJRs;7076 z=k5@we0bdazI&9g=)}vxER@USx$Zi@@^F`zlHw9`o0vQgL|);^tQN48uJd?RI?r=s z;DHVgb=S#Elq`4@_0okIdls)XKv({HJS?M)+w{BBBUh!%d^UitV^ACHi*_C$~rreKnxWLyWzQEHCqo%u6wmIa25 zWVi>98&oBu+&H98c~(Uy*eau}h!TfX1eO4l6NZyis$&31ekgniT`gPnRTSZg)Mxx|jOpfBe z=hzT1J9V~sA6d%$7M1&VyO1kXmB;sK(Ag08x^x;#qS(>e5?>zyYyfF5zH*jM-XX)RJj%(G(L+`7XqvlY!zTs5w_&oy2<*`c`zAZ- zHW>B)w=6e{$2z~Ic}3Bjp;XXwp)qk$Mp+-At#L2zlnB~@V_Xr z;7f}R7Nkb#Oe@r(K0Vd&(@r$loKZ*9lGjLGslL6Fr*isugg>mg)MB>>ssKjWG2NBs z)NRgTn1BH^7}-N0^4J}l+XP@_h2P12S_=v~lRN!C1_Z5ChK!5Bb-z~A|9}1H)A}Lq_yeC!3FctFPp*#_ z+TL#&5fJG@MCu7s#aYAc!aDQ|G4pb4WBVt<0G%Fw@IyCeYH@R9)2bvJ#zLBjfhRQ9 z;k*PY>u6;Tfo^)zWOtYVUf0%N`}BxqP7U)F@EheJgh3%4Pi}(5)j4E2u|F_+TjNy1 zGM?Ppa$!02YBS_~Iqzx{AsWD&rY0s!1*)Tsr`$>a+Ixe}2mk;+B0c*C;+ zIZZrZ4#Hqeq~87W`XY(Qayj8lkRMT_^<&%Dvy9t;JMpw)A;@SlWI~kf+d%)eNkrRf z)OwLFg~>EJVvg_m`~UXxH}Xv6ez|0z1*4%ZmuQX3piI$-79NqaF&&Pqcas*H`3qlJ z90L#qN5}B<>6n(+ywp-E=Xot{_x|y2Dh$WppopNj?2Ggmww`7_8m^yYK631U8h_I2 zw#qTKS^L__=gF~&r&sczpZ;9a}C3_Ca(I<@#QSiMCO#wR`= z4kr|!U%VQ`4rh;pcrgJd7ZY;(LHrw5k$~QncV$Kih#z2E>7ZRw`FG@ATOZW|K>3)Z zHxBaTu9#;KlMHaPFTI+%zG1s(6CI)ObG(qW{b+|72flO-WASgNuO`6X8XmX5Px zA_LF7OOT}*!D|aE%p|UQ`^?$1hxBp$?&Dg*^YIUPSkQyj9ddd%-c#(ZpO3Cv6hI$M zU;=kefCWJCI9szb&epa6FRx`ps-=>4vZuocWtvn%BJlVW2mYs@aAvrVP1px3(-^F3 zF|L|frdnU2&}0>0XgKu>#~RasE{T3h@U81#s>~eWKTpGt#$NxK?oM(x9nwI2ouR{z zYYTecqk+8=(RyiqTH9Rh%4Oe0$E zMWc_`KLQ2ZH;FY9ZBIswWwdbUIOil2G9wyKn|zZB9c*hf z3QHL}Z+{QH!ilE4(n&cY3C|%CVW%N2?`E-dJAaivq8uv0dS0gzZR;}XJK)d+7x=~* z?ND%58Cv{@sSpC>&5vKGU4T&bZaR@5>Fk!azI}aHu^qN@3~Y8W|J=@rx?AHJ_?C=< zq?p8h6^OB`aFGi?=hH0=VevwLFeoTCpGFJi=@u7O}E9#O=#aLu~hy9VlX|Gt8t} z#*V|df*HPnf|CRIMQa&HU(Cc0m3RcUaV|k#SsN zjP^`>;iJ_IE6abbVQX>l3Yp2BDtOSqPjnxInb8dUP%kO*k$TzB6 zi1?`ivKS>4SfDrMhJYpl!-RqzF1J6K-j983J}q|H)!u;Vy)|V8nFE5IxdZF>2Sh>r zF#nd7%-nOnwf$(CCs@Za)Ucg)KA(in`Go9-M3ViV@LS->3l%D`DU}inqPr|%j<0&(;%Ra^V5I^F8c@Yk5FR9mMXd-9!NCT+fn}rz zHDgmJNCC-ODX}5BIsYpkVgM+3tV?6`oatP{X=Ss);QeZ*)oUYiGM`}NSglL&z)L~n zw?f;2D6;x|yI2l}qFgOX6L!o{(CT+B5Eg!a?zAcR94g1=7l`xBp1JdR z)`EN2a;zf{i;4a0ms~UUk0dsvF!F>-2~u=)Z!CcSWlG`w&JIgz%Mk$DEKnJktogHR zGLNlL|2dl%^<$Zdv~f+SiKwp`;j2y{YHa6NMNB4Dxqnh+K6xzK zT=Y+I%+ixpr0|JJfz$0e*K|+P>wM|$)yA{PY1bs+c=t)|^G|8s8Sv_k@%k!?Wg9g# z{7BXR3H5_lv41Q8@)7`I5-Cw(mA^kJerjZ4c)ax1!vRBXUD}fBWl~NOtaG9*|9gb^rkRV0H*088yRiqqfIJX~(rh;NB^%d(4J*K%-od3CT zh5~$^e2A>6^80Qh`0*kV9hOSJi?FA@=5f;F>`Gij^Jl=~G7>PZlHm$6z_f}PnW?sQ zRD$l;ZqnKIa4^C)1jqWl7VB_zHisT!VKqsNaf=Ux4FF0;MFq+#XGsR()sXXJqY}oB znraffxM88lFe}+)QIIuQF(iK@zHxKFtzZ)Mi0ZFj(pBj49X3*;&fV~Ct5>8)Vo$hu z4J*nQ-N(^jjsbL>W;KZ)?MKGY42(SC8Bm(lJTA#1FCvu*-6oqKReE#&H0nM~l?|y7 z?iVNJEmX;X4fyMW=cn*C%i{Dib?mH~8K-OdHdP20-tX*g7{yE7PPC9p@ANo(uWoa? z!VIgq2)#ctl|qi7A!55MyfMt?*UF|cmiV;61-4iaVI{y_;Y}pEqy)Sr415?0NoLYmc+`-ox~r=&>8f#(`5 z>6pSpnE^nMmwlIIpb+203gYwr0f!q7%cDM;D+_mpK6c3@g2n!g6Y$+7;5gO`WFwfq%o}ja0odic*U{=S5zJP zAh_lO)sCdLT+!=>0zNpiuVyv&i|~--G5mUCcS!-NafS=*t5pN9c3o{x#QJ%ax@8)q zj_576X1PNs2|3#14zmFlIHP;qOW_4}FAB6VMq7se;_#v}5L>r&(L_8@`97%gOi~%I zI`{ZVj!9vl$7ZM4QZdzi!*i{UX zCyN8dA^$MpJXkw0C{#exZ3s@H+dyD$;8?$8A43pe!PBPONKs>AbjWT7@VvHyN5#|Z zG&3mBt|m^{4$@XU6i)RT{aTYK`h z3#1w2{dEm!%ML`R`U}EfR;E2ugY-+O1~LI_KVN19O`7y)>?LDcX@5%bRR1x@sdylrBYKwOq6ey63y^*h2GBCzyzxZNUs*j zVXKF~QYk52UDjb;`7A;lADB#Uw{3j({NM%PHPIj*#(VELA*e>#KY%K+=wYrZC{_Xe z-IRUWmW%=#%m}94Z2Kj8myTM34GGf4FxnG1H?2N(dD5dR^5Ux3=JFo9LJ3~&!6;-G zQ-QO5e-+w~2~AN)S68NE&y(^L1dDL`Wu9$*^flA@ch#MSjb@yI?#(wVG_SVbLqz zmhA8`yyjENt&lwj#_*vA{quaZ4|*(Y5j{5<5xF*PUYXH{W7yjW%rSQdJSGL9kBia< z3t_cFAV{QRMh2BwWYOHrYj)kYq!wM+nePl@&Fpl)TJo%?Cd;I9jH_T=S=|6;OI%aZ z<=0s$!gPPN=cBguqGo8-qNdDph0Q0>&ZCXSWpzFk6WpS}K;M9MN(I#$vAj(s%>!!w z4OuiYPXCq2iC*OVwNQE{CN0q0WS+BZL|e9 z98ZH5R|f8a;%Y`vnfBurvlSH}`~7+4l0#Hp4CAp|41`PZn&7s?c>A4E)vWlC?G=$0 zV;C$N+*gI1MCtZ97v=DxCif)we3nyOl@xV4ylSJHZcHtJ;7`x8Rp4=LpCFNfEU5lF zc7C99mwAh5@$!{*B~kJt560f*_#UI8g1Sl6P2t{Z&1x5uVya9wjCcazH2cw15Wb0( zZ;xoDZb8tyFL5Z6`l;}7+*B;^e!gbigY6mU_FTn9+Bqt84N0u*iIifo z2hrR#UNa9>qBXCWLM|0EienE}h~eUm&4;jrTuam4-5)t|lNtiKZD^8TL-xetY;vQh z6w-6>^37uQD!$t`TZolP|yp`dpywL;8-eqYafn{NEjygw%>U?wLQ(H!;MiaPtPqI`&@g=3{8`Lc> z+I+QGMM}j&%Q!2?r}e~;eJk2SC4>$N5CYw9w!gM_mjwjQaby7nGEK2xCC9+|0`CVb z2lpy+-r$UlLUGihMGxD}VgOySj7_DkAx|D4%o;MXHM^}m#ZdebK5<=Tl;Z(wBjGph znOOSEG~44aljM*ILVXin2u&Rm%d&YZVcAvy7g2=Y@NJ@YDrajV9DA)Q#lNpa$2vwF z31>Sf=}JRvKLtRrBx1kZjPqd^Q=4}mJn!Gz!-ZE1!}+y8=HR`7bHXv=MkN-$@EZ`5 z$3{N!GgJmpfe8!g(cnlUxEm!ecc$P$pKOUvO|g#x5NXDlNENuFF{nbM@~`K&mAxX$ zQ4d?mRJQ`Wg7PMnO}v5viIRKc;R#2%xvLK*ipWHn0zH6z@WAU*viI{xP774(^gEXueF=Q+dEI=_%)`c;@mFs+cPE$M zPjs0Y$7cadPa-ZhE%VVQRJ{)5@p`f!F!syRG#4%zY!`D1P-0MWVxBD1DbCWx zy=7qI&w)GK;Y1J?y0TEm->3_C=Z3ZZZZ*7`&M{x9r+|Wh%PqNcvu<5hxS})b7J!+n z*s6LxnGp)bO{j`E*#b}4dy(48PiL6ndzIJ{`F}G;!y3-ImFAhn!6`xrLG#{*eaira ze6BQ8;z!)?dLDfO^W_p7+m)Nk37)MSq?_$h0(Wf*zx8l_?w0x5hD!KiWsxLHY7Pof zOAUT_-$e*Y{3=l1EWet|(X!wPAhkI$X4zThqwgLrK5h6l+$W+Fd`8YghT;|-`je%E z#Q4tk`)&)J&p&Snoeh)yB_Vh4G`3?v@(r42R#)>*k3pCV0~;S9J}VV?*5A}SMNiOu z$a3->{fkuW2KJ-130d~Iy9s|ex$NWR(eb=`?fwn>bM=rLTiBDj!#vI#*4|+kDeH%M zczkg<$umPnv>M5kBwNBVY*Ez@6rmQy((mi#ra=RR)k$vjYu#x!!YgzF5jMksCn;aE zr`iTLb8;3APZ)fJ?zy{MufsaNn5|jvbS^ZW@8hb~d=&%74xUfRa#bEngG^6XnJXkA zL|&-}M;}z05Z@7>*d!*=1?6swUbaxwVKNkvRFY$2#OJ+5*_=vV{#h(vK32>bxf1*u z^Y4eFtNSMeN1R3emO6n+moIn#fGelAUyHmtzX3@x?EUr-u3I4dfHNo>RH1g?i&b>N zv7H}9>-3?~H5dau)eAhm4$^4i^>XIl$WRnhEP9@lBLk}5t@_t-Cz~2bQ zuU;L%&Ng~~5E0xk)qU%-UGbHLZR@&tb2t06R$;or3!JTden~C>JEB9iu!Yi>`xSv? z<^e-*_KSAR=_T7%bYHpFx>U1E5OYnR^7JHHK1nOEZ3 z1;46Rj%OY4ZQ0tV2z>;RN1HvTDf3G`(q{A>ilKrCitB6!5HMHw<6UEgrxC6w2$jQY zSFb7XN2JkKZN6gW$IoxcEEO+LMx|RN?g|(2uy4ANBdA0G{7UNJqM^;_3V3Qg56>y? z=8SNqOd~IJ@hB1E7)7N1S%_8Bp-T6*gJSN=crFNL_b;liVpPd`mXK6l@rD-o!Z+udnNwc&vBV z-xcMbZ83eRm;++VQ86@Q#l%Hai)^9M6%RtyvHI-P<>a7L@ z2Xu&~8L|cP;Bttyk!#Ry<%3p)RW91;RUseN40QU+mTB^ZxE)ML3{VjdWwoWF;BO(? z82l%aBzgxM3~Z66r4+eEMM#UAcmd^1*jspxmF|XiFY*x(Kt^@;^d{3vb;PXo%33Sd zKj`-Wgh?cSr#{DV^b(w`5)zSSAZAf!IS6h%)vbn63e!<9g~bAKu7v(jrbZ-lqg$<8 zr{Vj1TGDdDU(|~E)skh>%^=qMHU0CxTId-celdZf__F?t_i;T0RF(0|BV~Y1aLve8vtIJn14JNTJ zJtw=LET&>7Wy8u)$Cd}P+Q#4GfA?kby!~WDkrp)S4_-_Lk8+2mggvPX!WrJNU7<#5 zNEqbD*|M>78|=QB6FUju!ayU9aO2VAQh(nM2nd81F>*oR!g!<~i*7M>N@u~-rDrw+ zSdd70c)abxq|vtg@SKz1q%O#_3Re`;EYo#`MyV*$V6VrXb$wb_mMX*If`}xdaJxp` zI%t+-F>6UTLfrRtow?|^NrwK1!X*v;s>;kAn1u9l)2$mXYRJRk$%r8d(TH;m)a@=W zzE`%!3(PI$BCp*{=~AIQeRsOCZpC9ICB&m=^o%a&6Mk%bUwGo_R652{XuKwMRm5t?1O%p1rTeA))g-Z!S7lw`9w<1J%dr(aK$9RC&nNVzz7Hx=U zpE$@)C+A~^-fMY42reJY<>ycVMq*4pzFf#dy$Z0GZ+`z)A$j~9Lm$J4sJ>sPo1mcnv)Ex^ zQ95Bv{iooMIk^nwYR~5HwyEAnQ@-rEakzrLlQueO>oq_tg=rVS9|F(Bp#1oWHbdwq zcYeH+T^8tj^CySW;0cE;YP{uZpYo5$Pxebw?~lo3YM)q)%5$-MRGYh+n?r=f;P(sA zo1nz*vCrW#>N)>PRL*WK%Ak4Bx)4tspuwtWf4-=k zwMfSi4=~3J{jHQuTh}M@iv>tmOe$2ic8TnQ-9HlyF89B`v7R+Vt`z+P#ZStMo0dED zuhf^FuQ1S-EY^q1)NmzE;EH^TuG;(-4Jfe_9Tsd5nXvB*N}&4g5mSW_@^I$HWu6RZ+e_A{Np-}FHLTEDX!dZ zOz6>0`~J*u|9U4j8f@hVVa5d{`;Sd&;;r51Y_LST*lx_T= zmm3iulIwFyEG@%dbuw=BV{5CksbyssWN(>zD`?p9Bf>whRZ-((Q}wW3^-u1>Im8CXAV+da)X+?!auYc~7( z&qX#Qj7v0B=-_lVvt>m5NLMO%WU$hp&y`=*9RI05&3I&Gv8bW9B0r-Y+J@1ZQB(&7 za4O%|o@W#{@ZYdE@B~TGmc{e^;uFOQDPm?7+41nR+As4k;JVcxn25keAqb=!!ek37 zFx;3X#24)0v4|dJ8r+>(-+L2g?eqBE&b;R9AiqlQOHj;&*Ku0}bA)&XxtNyruB=9v z=Rs6tI;ORP!2*$9YGmAtKSEpTtQDpMxGXMlMT^<5$+1yA_l)cJV}0?ojVZ~LD*V>u z@BR7)|A+55&GXD4&ly-6+z<#yl&4>S@jWo9_$b`7*+O~-Oob|wcwrN)R27#&rsQ)0o zhI(esb)3a%FrA!yU3*R%SdcDrL|K^Zfvz3E`Bunc>rO)T}FT61poQxP_vr(FWn>Oha}&==@)c z25+2aVuxhJF8=GaQ9mae%2q;8wBcyMB5u#yx9?{8q3b53uS7^PR{|LbbG_#m2vOxh zu7U-9?58}BX5cxfxs0(@^4Bd!SWF7Ha;{QpVTH$EU$jAeZBB8V#BCj*>tMji0pe;5 z6d^GmpoXP@N7FY^X`@Eu&4^J}ePJgRU5|E87MI?*FJ@)Q^<5#>to?6zE&HrCnb9pX z0;JkW705@Ia-QoJB&+M`M@3XbaPO*O*+lG*H6=+6o5ggn5CS+hvy#km6q=x3PlY#8 zvLafF?Y}X~zWY=1cP-H#bm(z?xG!Mz5eg+8o|E(U5>!bzE;k~&AFO-}4Z0EPT_Huj z+dZF8-120|2J;x}wbZ5fVSP_eH55$Tz5WT|tw~FS@{tfRRen&y*bz#J%SPzlxRoI3JnxB_+rC0O$0@O@GjI` z5IkH!Q5R}K6drCeHZpYtR+%qb83zxLoqz`i82KN*tI36W5(Scjm+ik!Fd$hI1u94= zj+zo2oEHeL$UqN@iKpfU2co`y*~E?$s2|zBuA@)!(1T!msiQc6ZhKUiz!_(1Vc^yc zwIBp9FOcw-T7Za`?|(gx_y6*3UoJKdzW?Fd2>96la|f&Rf8K}h|1q@v_j69r7Z2A6 z1-$+b&G!9?S{NLHj~7_{kCF~pCrOJAx_zc51_#b+e7Vo~U#Zc-A^16g;#^F0AkR1I z6YekePkfAn9u&n+ zrB-Q6x9sNMk%VX#%Zpl4bcke2f**_72lQ$gEmGN7@~1!9fe!>%T-8_C@7I)=Y`nip z*L%N9{aUNrTYSQ8l5KGaBE zw;MXtm9Fpax^`&$Eg1I$3Y}dI2tI+`ntBUP+xv|V9*Ne*#Qw`BA8t=B?YaX$F+P6x z`qZRdqKe7n)M>IbE285Ipi6;kd<6B;DwY9WTNkg+s6Ly|09#rCDZ@Y`6VH!0q~7ya zz!tWI=%+j$?{2)_aa5ek70bt<-7_sMRgp#U?P5jG^*$JOsyQ`)TqsxTSQ{0$Hy+ks z2;9w(pjfFofJjHaj3(sU4sRN`vaQO&d^i{*#$}T6z5u|QJXW*Zw=6~~$EHTIim&u; zq21n_6D{ko=D~55Th1CL{JJ@DFnr2{7Qg!$g|Bdc0=Wa*k}A{rj?d$r#o=KYnB<~w^zMg@;${o7L!it{IeSO!d=jhI(K zr6e=rxg1W$lEm<9`p}ux0@!A%E{p%`&wI`yLm>&r<*SKlqdwQ_(oD2+ho$xDOys(5 z(c3LE7g+m;aN(A!3z&l1n?Vci^s}``GJh(x)#&-V%5iQ0967B>e?&gaW=}DK)cXJ;L{Nu^Jlv27sZW zP5AdRB~KN=IQ#jJH{H6O^CxO0hlaGqQ`g$+hZ`}A{IiK5Tfr;w-DV0>C6a&gP4Y@G zP%YdW7b(UwdEb^%>UtgKwYeniR8{ip-VnR$5wM)>{*lOts=iJ2)Q&^Cw0n8MceV*T z2jdV(WOFgomytfdh`NY0x62e8%|i9&+3Xu$ufbshYK!lOv2fM&NEvhluZ^X`ZDzlm zL?N`4{uy$fj;^+JjQT~t*Z#$aPyOou~5`EiYLztqfl?=S~Cll5(o3K$b16mw= zoD4RgeNR5~ub=;}gGsntY-FCN;hJ~lAEZcAC~Y;W>EjbNMk(JE4HmA~Rri(?L z5$+}6ZXP++@eK3StXo~C4>fA1&DZpv#kxj*G{$OT>eO2 z*vRx(8$SXiSZXuObT9;)Fm*g9(5YAayF&^9AT4YXVgg=}`pByKUemp4&4Wf~A(nnw zjwEL~w=sE~tws}c8tB$S_Midihp}lS`pwrD@AR!lh)kzlgK6Df@l_>-=wtMjj_kba!0tR;(*E7$xj)?(1JNKlxj(JX?dzy$6%K39Y1EW!#psJ^UVRII z8Vk|xz6+I^z%4|kBz=a&tsRdhmk4b!^yBYo7W|5-U?HAl{?eRZjxKxx*}GhuSt5#+ zx4;wZWXh~f=Mm|c`WW*XaOgC(vxsF>kv4Md0KsPEzH?oE694O?__TWsP_e-BPZC=> z@6sE4=AW{P;=V6LbkHifg_1*qcq!okHf1&R#^2v;&So6D*24ILp{c)B2B~1U*k=7m zqx%*HBQ7zG1St^@~pgkZf!L(VxQHC)y(v&~`oIqV5N9(H; zZXG!aNBh&wzl?M-l^`@;0D5HVM210axq&Fl-p=k^X$d8AoAQ!t|0W6sf9v*uRB0vZ_x&DDf`{HcoB^Bjf@rmy!#w!Ocw~t)M-|DH zIpD{WK8iHQ%MXO?e-Gs6yFG(dxZd>QdYJji{gZ4#&esot3m*zu^{foOgEjK#7(6Wn*|H!w?jlx z1c%Q~MQh=Jxy%ufXYFp~miX7w;IH{NcWH*(SJT;M)+JJ3%aH?DxKZdnTVb z-Lr8K_*x#io&5Imjb7#jp{^hJCLgQ7j4y(C3c^nF2WTOpp5b0yMn?I z4Ew6~w5BI?+vIaahvHY-3L8jMh=C<)m)T%8TIfw9+DEogq&&Pc;vp34lsfE86y)Z z-ly&4B64c72=>Ske2o(wfSXd*B*r=zgIox%N=3FSbDC;j+{%9=|6+*Fp$(PO{#6yv zbNjcgMD7v6rn)GA0ir`uC4UWO=$d74ofqcNl^jSLAo$!JFigTy{5PC7jbcFS9IPO? zc^Hdgh}Cm+y{9g;Y7btZRPR-qI};+gq5m$z*ec7y=^kpU1ZzMLU?{$6s;)!3b>6qS zi`V!8;|>k70z*{2+xOMPl`c!p$VW>W3WbtDuhveL1bvG#;&pr+ovQ?|A2TP<5E7m_ zvm)v~|FhC1`ba9}BV8$B&ytwp|6Mc7JbBpWM6HY+H#9{{XP&R;*th z40mQ%=80Glg|vivFOnYjSe3@-GXWIC&Ms=(d;#m1U{Mqt)8@3>VBa z>uef8IMW<8(;?lilZRKGwY@|N7-N+_t3L5W$2C#O;YfqtM6qLJ4u^@jrtX`{s z*0ZU1m?2;#0ZuJKmK6~(V<(^PMWG!*sg@u?)illt)JtLJ&dSqt zln=T>2pKShR_FMMA>9gUo4-B_ihc@|q=Dhpn`VDEpqx8q7DUZL2yVY)nperN!Z;+; znU<#AnRBBoet=sK*^3e+gCFYZ*m|X6ilcVkXx7F&02U;1T-VW@g~Ska$ir5|$#>7o zn)m42>%C}V(eMBQmdy#Sw(>h=(O_xE;jG^_36g63K%$)AY5Apt{2li}_?Omyk4X@m?Eeu0vV-u7XuOjkxcL5i6aba7(!xLk zHmhLw;$b|KT0ES2E7>ipr{Aw?z*)L9o1{Sz*(E{;gm;)4(6qyXv<1Rc`*3J<1;LU< z6YR%emwM1novz$GqbCWNahNcw?c?xpo$k(XPi&S0#bvoLpCoS~1DFL~S94!^x8xn^ zvN1#;RK^l5F7Q+#j?S`e8D0Wk>?R06!9Ls4iO#hUe$ zb3mk`e`iWTQsP0=6?BoC=PR!=6<8u0wJmMEzlY@tZqNTxsH;Fz_-+bvDD#QS~is#pj_-2LSKgrwNp{esj^05BksHQB&<0<2)#ehN$IBtlb6N~8chXA)M2Qc-Yjr;rN5^wJ=kKA70e5l4R&Ff&pfda`e{ zU>TwqF4tezyocT=@d`2O;Pc}Bf>gf*Qj!C%FW`g5$z z@1iW3cHvz&18c~^yHfjH(XGlLJN}s2k|)&Kx_4zAW=WkQ*#8dImfr43JMg_`>J6Sp zmjw$go>&T84C^W$p;7$-@RalpyL`!r7C5G@(_n%;Jz2>fi}p++r5iLy9XIan{s%b= zHpm+aJv;_QyXLmiUV=WH&R{wN>`88!IMBD8ue-vz0Ss)fWM|9KiZp3?;+`5}68!7m%GtB#-B7L_P z<@5WUHRv23Mgd~}vz7cLFHfu2cQOdHf>qQ7CjrLZ;Dphh-KB1whL(KFA}lclD(#ZP z`>t2lBI?)Y=UfQ`kU2}!E@<0SJ=c^S!PKO$q+;3>HnDuCa=h~_%Q~Rz>n4noyS?lK z$y1UoX*qTLh4xjTGa-4-t!su*Lj!g*b{Y}UT(=XAN6VNEN~m33AZ@tx#95i=w|o|V zKX-1jz1~NL7%WG8{zjTBW!uA>JJr1m`eArXWDqdv4SApkSlw~Ydgk&EV1SDe8Bsj! z*S2_u|0mbyQ!n46rRP_l_JiW@`g(|FtjKl~s&zLmk$qY^QUkXUXtVv+i3XU9ujajP zk~=cqe@cnD>Pw>G4?AKgrL*v;L4sutPUY|E9h8F*pWhW~hmuo~NWzPVqxAMh_)& z)!-TekiGd)@>{y$or01g;5_K&+ta%C{hOI4JiJ*t^#O<45yQ&XH_5@j8=Mm~Vlg3o z!XoOm&h1x!?XUVQW-{9{&VpWFhQ9r2K3jA4ThBOL03>)uY+|_#5Nmr2Q1w@%6-8iC za9vO4rr7`!G~?pDm#|h{63fi&%iQ3;O|ZpaK6Pa)BAcb?VEa@lVo;g=1HtDwK7s#= zOZ}mCBHn-rXGT{~k?h8@4&Hf}q-LlDQCW1J2$))7+-&+WTK@K=&uV*g&ptU|Q8%oOLyPQ$DFoDM`)c`7Xx~Hw?txAor;*DLihE-rMp+ z`C0fmEm-!tkIee~$Y@f3K~n-1{d%lnVfsf*iYQ6|M?>K4bUoVPl1`}riWTJR_DBPQ z(GqbHd-=1jx)I_DpJkxFo?7^p7I5MMArb`N1xTpZIa$bRCJ6MIfbGA~Gq7tfa9lcU zci@()4{ZAhmf*pjwdliqP^h)Pq4$=G1DNtWR_zo=eF*Pz`pl26QlH(ZKLH`iesf?MA2acG zaC~A`K3Z#LnzoHlxPKcrBcPcQ_=F&j25|E9EsofKo9ATY5edrm`==bROh^cEQH;k} zB-xDqP0?FA5i3nidAc_N_kwTope8KI;S{p@am6D5&irXDAr}!}ocb)NOGRR+NxA&3 z=|Qx8w;#CMNP!8(&dJ3E1ixjc{Esl67I-2^%L~EH0i+P36`o>;wuzD2WCa*bQP^{@bK^rfFNI@B|CWc(v>=)xj>hG-V z@_6;Oq74*L2iM1Y7POgM0bc)!FUXTe?Wex?s+*`XqzDSr%4)pFlwe(QE3F-IFH+s-7t5 zN@dBSi-gzBX!@uiZseeBMcG9H(13?@7HG6e%#dCUqLkIpWI``Ez?fVO&|jw|II$vG!yse+ga>HE8|x97P6q@o!kDj;c*QZ~nma7A!N>u%?Yc ze%k3T9MaY{j9(%<U{jBa!v=l_CZ4i`02+>|$lX2UsNC?(4g>@z8Sb4?31G9>Go&q{NE_Du*Wd1kQVM zBb!ir2;ekhFlS#*Og?e4lm_|O@!|DQF6-;3aoQH;GloTM(H>MB0T=Npeba3?`Q5vV zt_$5K`8s<&f7}}CYi7l=j&8cP&z3hkb#x>|t9&1-J-)lw-gs?ccD8^h??KO?MSeV# z)BXrZI(i~KM*)%|FxsW`v6eS*RIUZVK07XzR+Rl=m21|vz@oEw?+xUNoj^e{NA@jI zw1FF2->o__HbvV{fS9Lp&9;LZPYv`)_k=wn5#GXLQBV1MCcnl4XrOD>&ug9UUfoC2 z=-$6;U|VVywXi$Ur;Ot}bVS*zpuImmVV{`kpn~cKcGmE#p$G-&jnnmULO|_fE*7 zL0F-fkny)|y{j&^z;jDe=d^w2Xwr+Q^H%d zT5M)#O6CCp=qW@25Z%w#m^aQupUzjgT%k0ue87cQ9gDNYkzJ<^#J}>Ud%}mrmP{TF z;$3g;iU1B|t>aWG2bQDWWV&8zKSfi;%DW zuJbkFI`smnJUl$Kj5Iv3#eKFc>=8a8^8zGCB_}KZJJ?ndVitd(v~6K{L}U|8;hHkV z9SZ-x6ET6Fm9qkp`3dv?KxGQAxQAcOhBye}OrUCPt_rl3sysj%JZ-moTOM?) zemXiUe>EiK?yM{{*hF{V2z})L%0A5|!z`!h-FD>QFO(cVlkQiLu%HlOABSp~c^dd_fk z$NB0=X|eYoHnCSaoVw@ADbZ4Q&Bx31&TVx`82Rqzp2Lv$qkQCGyAxqZ;iQN=<1SGs zI!73JUZzM&zS2n8hn7|wUQiExeb#RGc)%PN06khRTmkOG`grW8@blrFWNVxl%-qiw z%%9?O>UbI7Wm%7sNtL-2RXmv$;EzsPO*+$sY;UuHsTuE^g+DQ{=fAfjQGLc|{6$qm zy{j5gQd7CBI;Ld}(F=@G*paUk_U`9YHemKxA{W-h=?E*-xB1iPK$`)-h()R@l_c8g z2(W&qW+OePb{KXP!Ynv1up%z0hrc3WAKzb191XbBnN!{Wn4dyhKA z{QTY@>?lf)^qgw_x91gqT2Hw!rT-?UH6qa!-*t-y|0Jt*U?CkbSAp7Y4^G0LRg0~E zg-RhcsfT$eg3tT$X$k&Y7t^<~9RmDpNdPhoI_(N%M>vL~NTl0V1LKbmH~*$Ue~o-m zc}HkrIZ^0Wt8Ts~?s*;_C*d{g&(>i$sgv7+rIkIwzpb-GE1pXZJfu8nkOrA+IS0S_ z?p3aWK2WJgW>Fg^#G|#8G=wsds>-pqNO;M0^v20K#JUx?TaJG>cwR20hk6mS76 z^aDu+U01`Prq7`Ffe(FDs@h@uBftn-9wG;HH-@wiz$Txq5o02YcH>&Nu_>`Wvgye? zpG_aRyh~>)XE>sus^rkbU^%Ol$U4o@GL(`QUXN3sG zp@SFx*Exk>yGvRmEqK_kp$b!xZ$T#}TL}#CoCXd;1-@mDzC)56VsrQcq3s)j5qLbz zaU*X>|J1}j9Ea(wl^ro{C;?2(L#e)yG^0hC&Zw6#c<*hO$XQAoFzpX}w;UWd1N#>{ zP|(qEvkr8sZG;Aa8EFOHo=N@L?)2u|@!SpmGx!(2888B+!Y)kM+bWzY(@wF3`e{sU zdN9LS<4y#=*-)#$*M}SFS!?>Bp(pSMg44!aKPF5Aq!ITb_0_&LkpX0kndE1%jWr;D zIqnTF6(kqsxFHQxPc8bUA1fX?!UV4d+oJ;3sh^7_z$`r zy1^jfi5^pcC=5Z>x_&CWoJ2kgIt{nc0v{(3WMr>pTP|DX*;AeO{vvG^Qf#-HZc zyj15_z_kg@#i@2lgP_d`(mKS?*!RR6g}dwPRQK44pB)@*Pn7_s#nFDJm@LAa@R0Pu z9=Q(j;mldRq45$5FADLtwMcs-{`UA3Gmqm*_$p{SHT>pX!kNtS!bL|@UYCL@_cGq! zaY9a6+~AgyuI1Vowh)4Xvw3gti283a!>c~L7Q*VMcSXY~iqw!lpVk(Md0Q8fz3Js3 zA4&$CA;H<{Tc`k7Y4=Gs=n*bAM|g0<4~AvQywCIVV@2tz2n&_b$BdJe2$nEn2#N-+ zbuc3vFU@m+B`{QS#I`h;^==he>1mxNjf@L)T2SfW0mKKRSO^%)G~ z9+y`&nBukx`0L{*Y?Rj4Z|vwS!XtLpw9qDuYdY<4I>P|dA&b8Lok6P{#Pnzz@}Dg) zi;Q`V#BIrpE0-LsrZ>t*oel}3d0i-(`E)@hQzbJeRG>Zn$Gi+jru(lmDCwwv6GhSE zLE?;Y;I5kLZFSv{EN#sV_E!Bro^koFv$ASdqZfqWR!}a<-{{jJeX6LX!-n@#i?Kt# zHhV6j&z}?s7K-mlG$KQUBl0CBIGe9w zmP~{Qi~%eCV5(AoUKzVZdC_Y3YRIEq9C<~e)72rF{!ISrb= zeOHLdq@iDVm4ZsGnfw+&3&SG!rB)1-h~r~ z6~NC*_ok7m8eE4ulI}8B7 z58WA=zgufd_mi0c9}C>raVB3aGHyIrKYgK2dL(IvG+#anQt$>7Vrid9`2HZ$=9#T7 zEP3j_sPk!;&f}U-Z4zCM-F6)H{KKVe;|IpuxehoUS=xcLPadx(zEV!I0Z!VCr17l^ z)gEO3V&Xa@?J}jvuLdE}fcrlgxX1tsUJqyr9-I=+Nx0aZvKS3J~`@ATR0=N4{9RqnuAN+s>svfER^2r{Rq-*B(nMKV!7P0`+os=tSeC`wx9ALaT+1E;gRj*WKaWbkKvL z^Fek6#`qara@HzZIGP88r3e9ne10Ld&P8LheIBAAk{cLRG!c8KZarkET8Q|~T$fL- zBPp4AX@ioBPY9jcn0Ai?xx!ED7yEmr`9;50cyKOso?+YQYS=#rIrYw3x*tj;$*Imy zLvcd~i#^Z#e{_5*Z}OG7#+av%Y0M}vthz3`VrGMN1JyG5*lFouovH)+6gU=dDeq}G zUp;YX?E4stEbHHvBLQ^)P+QD+)y%A4+1aGk&vy=lb2Jnxt{_btXmn~UbLWr)m%BPI zCGQ94jru>M`(b4ZnhgS?xN7SYgz_O_@p-ZMqObTvoN$nf^UIr~2NiEs{8f9vtmc(T z)aVi^GkZEQLL#NY9LNCGXecP;{OMTQ8{fwDjBb3|C^+Pq`P|OR3(Jc!NJGV^$)_|8 zpSvsKT0i2?quy@!zjOWE)Lt-wIo52~mtlO9iVn6G;2mZPuYRZ*Ui+Cf)i-qzt5+ye z{n-1k0_htKm%uQ>m;BP~X|ML8`nQbF%f5cyuL+ZI5@V936dr(c|8}}cWFfL6G%T); zXuIv^WeWCWzX+9|`olY5>enUlBZcDH&OgVoznSzR{73uJ>Kp@+f|ECGBWMlWFT%hgcyF*E)of5)34M1{2?i_*W1} z%Qe==w5LTUx=Wkeu~^rO6K6omjY@nyNuhUd%qalOO&-AGNNeA6rh)!;xTH!h9|#`; z5+4^1MTR|MSgq*|2WEHC zGDB(0z=ZUatHpc3+o6*4XYonLTKEKfX4SR>>D5I0bcDe>)MV^fSev2?~JuBg#3T?DRwM>q!8T6i%FLTLYeM1SN_jX{E*BFXFBq?7Y5VUC!rUnj5@% z0?THi9z&m7WqCzdoTtukk1+0?Qlg?`btSr(0bIx9hrmOXU~haZ8Ts|94)(O1k?GDb zb7ln~3HFUPSA+;n)h+~tTL-5}piJrd)}*1(&63lostx{G(P;+QlQ^SX6#JtJ@BuGp zU3Z9^17^F0fI|;YuuKISjZ{q#a0G1yLQMMP`%1+l^l(=8IdT}$PUrm6?Kv_$JKJGp z0rYVN>GtGxr1u(SJI^|SgQHZFem7sXN^fPLnOlh&Dwe!*gU%u-u!*4}TJC_`w&rS5B4ZZJt4+vgx_mw8J1y}Rl6_rSuhAf9I&WvtglcD18l;St2)9TLjV;&#=+md z$p9O3lABDmsEC}w5IR;VczzX?fn?JGz!-?4;UPfG(-Ql0xRSVt4cajL4a1yq{K7nB z`GWuO@j^mH8tP;q3(a&ZVQ1po3YcfsIb5ycB| z0~Gea%8*A+3sp;_F#0}_txu;RXjeDH8?*Rf9H{(Sl(moSI; zIX+qJc9^$tb}MZ!v(hQkWDi%2;9-O%DjaW^FsRZj2W}Y$)fMx#T%0rjUb94kSL6}C z1PwXv0}`)Z@S;C%jt8D}CGK4buxkE$Jfs559Oxscs*M~%kpF5l5bMP+VF~8C`&?Zk z*%v%#uBp{W9X`U}rq7KarsXbz%03?%*G&B(dGHgMre!D<6Ag{Zlw*EEkoYxcU&cIQ zHw6P50+Y@~X_256n8kZ__!9i*R9cxu^LBiCPX|U_;?Sev&)#2QX!K|vfR?>>eGB9r zGCmz91h+ZHJ$V>>ZJhh6Bq|VAevfUaX7?SPPsc}lhes&M7ml{&Cd&8T3la`tOX_&u z@xzbo(>$K<1diR@r(n-1-|E5^Zk3QyYZKe`U*1P3viV5`H%2T;+OMUr+ zSK+h%WXFCrhU*st)%V5#*j>I86Htfz>T9>V+m&O;Knv;`gVz#*{AdYul7h^-kXOTX zk4HS>x~;L1>}7IvF~`H7Y6$G-VN`q@bpHK&K!O71kj{wo>4@vhbc5jLiS6a%&a-;X zH|EM@Sa)VO8@>ZC*6T|VcYSY=A(yXLn|s%KV#n^Zr^3p1+@k#fum(h5_RvpgY3@dD z-TJ@{!3EP*$?aMc>;vS{u-4#nLhv@AL)pKn$JhP%Hy2{mjU#rR*MVNTS+k%WTE`K2 zGEtt=f_Kmnb=c2KlZab+_7ZfaSQ(ADie$tWf70~9Pa+e7U#^UaH@xvI>@Si2?odsF zbnwK8^=T{QLSpnr1?A)VmzCFj>`3 z7&-1qpn^ON0A}~Q`?`f7oGD8%Ph_z9jB*x|UR!PVEhd4*tSE@$jSdzJ?x~P>;+F|e zW`;g@2t5DHSRBQGI3`Fw+*zVPUZ%R@1ibFS&lw?fdW61o0dlq%py8^vK1R2U?qD$} z@iwPN$nz9{syRE_my7@f$*d@33I$WCz$UrUJLpUR;Efc7t-V)Pc_JN1YnjN4cpzaK zN@^D5Xn&20Y0gRrf^uaTug~$fihqxT`&83UF2=r+$-_A@*%U$=~^(em2sr__6XlYe?Nq z7rv+{HK9UxHgQQv$zPQ(y2#(Ld_>xS4998B09fYNVm);DZwdC^l_Ag}ca9MCRmiEr zd47hQh^s}(VWleB-g~mF3^|;tpE30JK`>Q!g%3sQT)-h|-_`IN(MT3V38rUuHUI+=AQ_k+*-{MrXjl8j z7vu^+;ex5H-I8Pfe(1PVP+OKB#3HFG5~ct1o-jI!vr``aUVzN#OpOsOqCA0Y5-_O# zLe60pj9PqyHA!?DDG{!NniOq*5XaVtW3TEh`!EECAk#NYHCv%xcG+=9R4{;^GE*2g z1T*|dk*X+ZE;Ud-v8vrAGN8?j8BTpD2cVRVp*)GVS-r*_V9D${_gUEyqjzs%S0WvL13}g} zCRCp*5Y%iuy`5cVDT?Zw?%;n$4!{srffE@*kaOARq(Ep+Ib?LR{vdcN>@Nv6%8X}e z?qLr5@k;6Lxe2drrr}R+4TLHWOpSd3Tnjt!^3!po{*W6#xWZJ}kqdePN4Y`+5@Rr5 zW_htX!F_fX^bPT{zN1>I*LF2VKZfNN$qLtW2Y8xnHeke=*A${x?d) zF}G?y#DSp2r3U>w=io36=s`j5NkO9p%#l)Z_DrC>05=XXeso++j#L{9{z!;LiJVK* zcB7P;LmGW6uT~4UJR}z52!Ow7tcv}vi<5gc(u{Vf9Ax_3Ik>kk(m!yMv8OL`v7Ga~ zeYE%oYl&qHjP!F3C8s_LD0TSN!FdjsW&v6SSVyk-J^kcfaTuNVDM3ruNQPy$?dT_`FittDcsg8+7{HpKEUmk`U$aGZlf`P zxV?`){}t*``U~B&J%$ChtWhl~WSHW|K(K`vc?YAwaVYkUWx6|| z?cUIbfSTH`zjAjx4>gy;wpfP&at%NB6B1;yHL{jC+q5W|$a<+9(1V*=s31=~#0{+j zeniP#8dKWqT~35UFFt4^b?cgY4vEY3_0BF8N?cahv8suU{soAD7735vh=k9GbV}xp zIoql-I%RE32~cExSji0}Qln5VNCzdl%^$mTzgoH^khJ6Vh!m?>3)>uUC6DaFNQrnk ztm3^4@Ta}j0YiF{^Vek|iKl5M9azc`qxg!j6V7_;&{dJKH~9HFzYpBR5Y_>Z_BJNu zxO9f)MPGb~HO-Y#HpUJaQ{3udP$skfoW}0nrS^+l!-a-SFhqBc&Ii~b^WI{M=Py@< z`+^FIzPKfS^}~rCT}V;0l$f~c$cl#w^;8ZCoBPqodBP_HrZ!vHL5P1v@HaEhZk>KE?ks_{QOjA*QsvGty$b+*la&pf|^%@8B&N7uK)@c z{{3P=&}R|1wBfuAbZ5DKpqJNgP*!Ow(qV#|vq6rSFy!uG4uY#;@OfjE`R>db^#@Q< z{Js z6IYY^&xc1swJFZ=7Vw90PpI!&s*cJejWx#p3+dN$YlH>^t&p}mv7}9?qcEmX!4w1K z+jYj3tQg{uIZ)wyYWsDC7?sl(bOm5Zh-9TmuyJ)BMh70znUkkfdLq z7s_t90R;0?MvFEk>J|QBHshk&{&wBwoT?_UbAp;^kp`E?g7Kk>+2u#SEcXHZ;i>D4 zV~*WH60%)qCBHBr*(YU}$R&o5Z3~c>%CE0!HtR9Pp$8bhw-2TPRIx$g9-K{OvNu|% z*q6L_^TOZ4v`xY=3#Xym){|2wms7>@y@AV??#xT<(_g_gUN|ed`4QgeY189O8rP(% zVc7_xNR_9G++e4lfBe(*IcET&ppz?zsJmL;U8YquBhL6B0=4jv92Z|266}3&e6!4z zIhCs88u{SjaFz-*x+LluujGOqKW@V7$c2c_x!)Spc#vuX)l3_Rrc%#3)38PpQ{Vv7`>6VUkUbXc9oQvqZ%U91pWC>JUpl^pz+hf5zdz3;1b%xD~H zXQmN(WA;#cvBE@rzq-)8t<@!@9$MBNLzpyT*lFJn57R6`73GX8c~6t0A2L+F0#jcyhRvayY<{V( zJ}`pGMP-jDprGaanlq!wK;e2n!t;>_=g#3e#DtBK^9I+!vOWjBA?=E37_jh@aFcAn zAW*VRx-f~t>f+oxhg2TsH%KXsNlgY7_;A)zcvpj@OBpMG?-B$+qoL0aql8rjM={2| zMDFU-^wTM|UJmmwG|P`491)1VBRPRKu=VCJt^AFuj)x#5Q$f8~{Z(q*qy zWk@6Q>_P?^=UMyN^eG}ZYmu5`75YvkrOX3HrpAD>Oe9JQ&)-!Tk-*77G_(eB0!Hws z!9J6W3o}?mfvXr0!xS-8Yo)V-Wu#s1S8M=mb1jgW1I{1UYE9U(RA#D_f^sfbK~OaV z`Pr}A)2{xAjPa9!P#=3KI0xDW2?NJlN5%-xmk8vTA4;Jd#EhiGw-%iank6|_``Sm-aRQm9rifk!TBRES_ou3=iccC9`EHka)9@nTSHXpZ(cg)5h5;h_1R_zH z3q|uz4I_2*l_kTr0QnkLFC?zUatk#^2NGpy=4 zc`~y6r&dG25&6hGPDEKggAP^^x*~~SyY7VZb%tD%79yz*?vH9CI793jZeZuNu_l>* z%cT5*gtc}Fi9;ENO&$L60i#iM?}YV=sEFAgNyc??<|v?x8r_5B zOcYmKo{nyE*Uv>Ri;Tr;+Og(H6BhmJD5$+h)^Esw#b<5IK(uob+sbd9T#c!thLad3#Br zXjx6Ifrl?carv@Q^aDIJAX<1@+YCXDjWEaK#qtR01(_~acCx$-$gQIFbBL5b8?PN7 zskvkU+B9#eQnE2u{lRm&Rfp%WGg#>05k=nHzx_SOI^VDLR%_*YCZ;bTvb?(Ei*8`o2%zlLBbY;@0$RXMUD1a7r;ujMl zOSz9&wqi?F0B*X-Li*$7-d88wt~idtaX=R+<6y0#m8 ze-P`3BlT>R7i?YSDX7)Te%5%k*F!^P&DR#rFPx!_snJu}Db7co2ahLy%NZL^&R>En zW1H~om;lCF4w9H|udhR^aIT`|Xbk@gfOeqt7w>r+meGvbTiViER8^~+%edGgl;oe%?j1aGP?t59YRxh@)}pk%FZ z=3%9BkhjsikD-=cF$RCseV+)mY_Z_AZrm>7YPn!b?W@YsD^5tt6F9vE!Q3@80CQ#{ z{P<6xuF>LLHbTS7CF0e68x;d_N+Xg2!Gu8qy2tGtq{&9XCe}`sP~~MO<m7kMqDs&^h03!Jmn-6d7DG6itrT5P3vLUl|=(Mp+ZoZUJTeTf` zLnd(V3Ig2i$aVTccaRo>378o?Ty5_T_ww%8a&XCL$Wb_H3d~%x)7K9~h)HkbR0A0r zwC{@6|H7mxQx~48*?^f-pQDmd4}gYL>|q;n&v)59xgT89I@wcR6PJr=OJm|N#CViHp6N?oig_m1_`QVV%j4g7 z<@$)0%S&nAF=~NA;7GdoVNdU;ym#BDzl1a!Q5?)0BFyg>(P-E)dzhopQD}eqc1zE! z-yf#+R5{!b+ryoSqvmNes|!u2opG>9gXtQ~mjNP#M)j{YPpvn5I6m5@!xuGQ9%^rv z(W^SOX@KVa@9|K_4Ur}}KA|9~xVG+BPNcL+-MHGSka@j2#V?fIn~Ij*JniXkq-kyN>)fHkyG3UzsV`V?28h=$3x-}+21*haby z;I(Rj+I?mB7aEXS8q_SsXT-%r_J~$Y<&<4GK!F-eUC1gUnuA{S6u5~8(Wzci4h&1i zpQq@C=-DF!UH*obY#x6^iGXkax>_*jz#p~PP$RaNlw@KZnonr2J`_5C#V(TFl48Cn zl=+Gc@Fb~%{CLxMdxDkW(TXNgF>`AJn8FNMz)tpqPfH}3aoB`AHIqhI(Q(2mhXt4j zoYI9W#%+!TfyqV=D;`t)JuclHuT8wT6d3F%Cbe{aqV#tiKUj9nH1+hy(cJ;*eN3jMS^p%l2yHgg!{c@hHh1dWed9k~HE!`c)J`fNF#D`#| z$@q$0`t*qCDV7Ljg81+M21=}oQl^+-#j$S6@uvk6FDCir6pchBN&JA4c0>|t7KX_% zyL#*=p)5I}#Ov=n>K<_SNur<^Ng3O(Q5S%}q)@D#*5vmJzMCL*Gp|nLD^$C_A8hKf z>(b595#_eRF5<%DxxwId+vI-?px?7DYMzx!x=naV%-oC{r~2!WGeMp2a8NB2&qzKtPSepMG(n^H78D>waZ`FMhFXTiSaaI z-1l^pBhO@dI_krtg3CiP!!p#4|7L?f_by?$Xncm4Y4ahJIPz1ljg6HUkSX)YbWtD# zTC9uL!+%V7Ga(h7C{&~mQG2(^v1$;|b+NnJ9WPl~h1p(DNA-!|> z2R>@)DT`XOc$Q2mm#1af)`=IgJD{zs5B@5QG*@G(YGG`TJ3~3p1o;CM2bi}7I!z%J zxJF6AIP0J!hiIiOIuj}gfa2~KTltK1E1v#liGQ=(gI%jNeg6A3(4<|?Vb8UBvo&Rz z45|`4C=kB~+44CO*W;{_7FVPM^SfY&jQEt}S}mQ-HH~ROf779(INuE>w9FTewkN0LvjShsiheF8hP6UX&0icBb!F2Wc(PYZxxgNDqu* z%R%5~u5K5~7C=uo^e5rhZI1$z3l#Zj4D-xS9T0q{*&mJRXT`X`HQ3~As|d6(0uS)2 z!0wf0;*jT;W53F&gsB2U(v_~p)8e8$Sy{C*sj6xs*e18`0E$bXk&z5|6sq!Zzg}%0 z!%;CTa^cb+GIDvOYTIbwV{kjPNMe89Y`wc$()$%P=blPBxh8h z#?9NyfD5d&z*`bhC=aH&53g@dHE_^z8emfdnP6qrebXJn>)~{{46)In)Wz`S`Q1gu z;XZ5l8CTN@0mgXFwE>`$mqM7?P}Lf#9v4|h>I`!*+MbSL5(FDzynjDnTaXvyVQvGp zm)_va0*({mf=m>NnviM@a5Mg_FSFa1zk-7gTs9fS0l*_0(AuH(A6=h|g-34YrcglP znQ5|u1|*!H6@`0y#lpP$V><>n9<+(e@nV~dF4&Wb0RESO;}0!<6ppyk)Y$`mh`&Ac zg5u?cOXX#Fjm#pYvN_FY%u@ti7N9jNE)q)Esa4l5FqNXAuJGfS`_S{!J$27m^zVfp zVFE!FH3`&eijVy97|Zt?o$Sl=wdCX!*dJ}IAi@0T;i@V(g%6Q~CqD)Stof^ae?11W zW~}0i0qm^R73Y5_9*=hg^UtY9X=%TZS|ghfN(;p zywxCFL=5lB{~VW6KznN!Xf4uf&Vl;EiGaC?0z5@D-|z&W6qyh7U8xDF9&4Tzaq7s{ zD9N3i?QQU^oSp}l<~w<15oTYE2W|_*ka)1@+4NPZwH~eclB9ZV?6g_3DC5t2hk%f@ zuSKs$%$>LaYu}pIxfr{e^yntj>_!U%;x7S1Zj% z14M9>Jf9E$U7VieL+f2Si(_jWyw@E}PC~F3bou$wIu>NBzuNwuf^cYsVnRRW4WEri zQ=jT>WdW4V_%w|#!vr?)L3_NUwn;Z8t_EE8K6 zy>*k20_R>0rfYZ?<#_(C(g+iT)^DtK)kvRc_B~xQh-9^%D10z<6-WQQ}&qL8gNMJI!&l!l@u^()mAAeywaib+Hfm@UQ^8ZzMoZ_5*%lb z4}yHxS@cDpY-(oZtG)dPS2=3Q>OZTdR0J>@_*A%iCaizMM!5+zVj%2Hsiz4vN;vHQ zA^*Ve@iBQ7V zT5N@s8lWzfxYdH(b5pxVy{~*F0Q3u~$Z5l!RMcVEgjVS|FdH;wXsT|Ac+}gx`p>&_ zA?`z7(*%u!3cZ$A^HAgb_SxT_k*9-bhCl^DlP-qakzRU4|0Y=+uH zNB{0o9hs{0RjP9w$)W4oEpjtivumW5S3CT|keR7xm$X|&l8i|rbuV$+F5xFoF*se> z3J5I z#$r#;_G~oRlv$HfGO2`UIPpv8&dd1(e+6%s53o4nVq2GJ#6yS4_Uw-5iT0%B#JS9E z@dr5eY;*j2D|Px;>S6(%P?nH{DFrfjG&`jFyX!z>amC zhf>>yUps)41(Cc1>5LQlCd{HxXi%Gjve$S$ooBzrc6byL{IEiUZsK~icRB9f&f7QrIZkk-Y zsIQsLdw<+EA8A2e)CGfA94yV;;n(@&6$X3~EB3-tRl@OvoScAj0m(lO&ULJ=aI1E2jry$kc+;)zMEoZB6xOs^*muPk5uiIt$wZwz z7DbV(9ir~_B@PRWDE4-)z z-v{k-YHM#}M5uX6Odd=40+0#DeevFr03mqce7$SjpsPN7F{y}_U_FGI_$7(WKZP`0 z{$PIkLB~$Lw__1D7qbD#o9(gl@L7gij)HEPQ52T<(|QRD^y$3U5A(b-?`ja&VhaoM zb(;&IYZ|04^S2JUpkxROZm4zGAti7C38o-BTiBvQ7fXT5Bv5Dy0$BB3GTnjg#)rJp z=Ph&3vLP(lS`_8nc@No0I^jEF;}ERE%_riDg?ZctKydB`p+E5kY5VP9RF6RDl;5d& zlHXotvC__SscB$dO6I#yj?>BKJ1sX=0n2Kj7ocXsC875ztJ{?~D0+B4d5Pq}SUWl# z*XCA>qw%*X{dBE|2AucucK@9RA`7EK%f`&U!5}Bw1Yf05d=-NJO)1bqPwJie?~|>Nj8xEKk@UY00@(sy6SMgl%DR43OZM!iHtT# z+4=$sIH2Lg)sz{!$nl^Ll7}7E*I3qioI4lqW>vYbk8Fg{u^RVp5NRGNDn2Sq!3wvs z0LrJzL;O#lZa_1r90%mHtWD=c{g8Z=!~}HEEVI@|y6J#8?ne7l)TYYWJ(~70!)p8C zk0(Mo^mGJGq45{LGGUwN5M3A$0$xv}ciSWMBQktQrj|yV-a__{;$TU?SQn*Wi7afW zGm=jEy=Ss>r?><%{aQ)TlBvP7_0s~yQeDD5tizCqHo)Rdta6~CbZo13!ZhW9P?f=- zdN>tf(5j6Pr#vyvd-h!L9+EPO-k=V(bx_Kmf(OuPMX+L@weI6s-s4GnZwX9H+vsE) z4v4<@3=F9BTl!Cjrx)#xtcJO?+_5*kLhy3hd7)}Vv0i++fm2z8xMj+Njd7t#RHyJM z@Ou$HX8;X-D%D}i{X#fzSW>doQT{6(w+_bdMi)vBg@9G~hBRKuofbiT# z7L{b#>38Cste8(+ac&kgsekHo_ohs+E*G^>0002(V)Xt|EV#^|n4Lvk-3NK0pP9`d zN@-8)k+H*Sg6vcber)hS3!6?kl%mzBpE<&{M%^rUDkhfFbbxs{&Whbo;7&cA=R z3GyYq53lsPdb_yp{CNP|3h%Bc0WrKNr?~ejboQ<5Kj&2f*@dm4{S3as{z4OZ#Tuin z`c=L^yk~&F4VirT3Ll>TdZJ0#VE zR%8A_AzHQ4{DJ(J8A#O{*Gr@P%@+KZJlKlRPlEsq%JNM~L?%R_Kw@HKWc&Z=W)ZuD+i?e`;RA zc3Q3EU2-Kyf;JU-{QiCY+qc6^+FqKmJlbgFz)oJ87Jfj=G?`3@$qXMk<1K9m3xkdA z`IVg4<;6Tt#PigGwH};?(Zc&2JKZ^<17Z+R#9@wdD_lsOL25n=*A4Aog5Zv>LN>vY zoNGn~RN6E*SG`J0 zCwXZ9& zNEbW(MRk#TjvkB-o1ZU$1vLI6EeZ$_2_rOL#a@>ufe9gnz+SyVjiIfXF3fX^0*;C* zqt{=@_=`mX<3|;8lHq(J0elrPgfJ~=BvVN(#C8xFDI=M%wDbbG5)${1#RCm>!9p!( zBzou>OJ-9-ro`dKFy`iAI>ouT5d$#l{;qJ5ewcg(VP(Um0K4>OS!PcQHYQ;}Ly9g% zLI_O8281P2)_}J0cAcvx92q3@uTWnD{&{`Y^Z_i900-&x9Yc{~{N`x)kmflkMnHL% zq@4vS>j~Ik3J#CS#c*V`b!_&pg;M=dx{tS10Ly86kVsQq)|8L^>P@bl&kH`A>ALN5 zZ3tznN3DLxZ^xun7bZN$WnN#vSG})`;;BpjQ8y-ou5sz8I10d%D{=iAA#r!Vx7xZF zXX$bnHswlq8A<=Vg<+g1+b%Z`q}JpEOh;?8MVYLA9MipY9CSU_`H)fu#BXI(uIa*N zF(K{s?dszTtve((F!SgxD&6}hj%s&efvK7L%?{tBY-B8(RdGVLZ^sXS1d`e?1{iBu zq~K2y%w)2rWPHrRbK5mqv1nSU8-&P6W`Kr|vDr{ubP6rjFUEvW_n5bPVhG}^kCM+B zeR+eq#t~#xt#Ra7=xvk|a%-u!l-nQ3nj{nlJ2VR-TI=K6AKX8CsUdr9O&BWoMVX|# zA|5VXT;r~CevQ;;4{`kfAO-LY#vCrh8`5Diio3JdAn{c>@tOy_^8D(cr1MhAR)tG> zxvbFh`8fILJ|#PfS@TOgMZR7YO2N<)hV3VPw5UE=u#19sm##7Bxn0pt#2wOW&?Ie( zkV-#GcIhAHhZJ?u@}+y<#4MB@MlsO5CB*QKPhDDYxX**a)OK$KFx9K~%2x~@dkxIV$-EC=$Xj#+lGbkDza{A9dY4RX*4VOJ>T2;4; zx~~~qXUCR0#k)?gNlJ)fw_;hO4#^4E%X;(q7T^n=Tn{?1(uEgZM;=hoQ8ni(P0^h= zKtEKm$BB9y7yCiN>cR4Tw-)c2T&3jYcm(s|91oFGQBF_-tSD=meZH*y<-p(6->~*E zkA&BEjE-0$L5w1A4gdEQc&oU=O(7yRmLmTnUu(cQ5|#}KGT0NBYGmNepb@#HMV_`{ z@mT$gyEho6{f2b{`PosTID1dxGtCjqr!Q?)8>*AJ>+93!;RPf^^DT4-RMdAsq=FyVfH3oqj+;GMb^LqzC59OebDE}+eFDilk89`O?gDHc8C_$61^|jRNC*ylrGBHb7im|;rDLAAhVC{zECILJ z1cZihT{viin#sO!8BO>!UbdG!_i-Kv-Ms==+c{3J7otjkz}}pqt#}Q1d$!X3!-2v} zJj=59D!AB#4X%C@3MYe=HM%_7^T!vA==5^TiNme;ojC!g4AXLBl&Og5cl-h2xGbRi-NEGvPs>ZWFP)B z70k7@F)RAKoQA(mpEx0UQJ%wlB!o*#`SbCzs&Jw?s{9C&k2Gh+Im3O8jf-|Trs_Kh zDT)sK5&u663MJ;E)n>=0W+|qVsv88L}_@d7bPW0_Hm@?kl+5j}-Pq(OE zElvvE40+?NM}Fo8vm3s%78#qHz7E2!RAIj_@lz;n592mLMxoqdx-Gz!c9h;?X&e*y z1`CNw@~lQ)Zwlvniq=%A{tnOE?v2}ri30%#J1*9jQ?SdyWRpFAbugrJzXDm`Ws1d$~ zN<`=id;ewYKeLUo9iU*<310YRY74xnvk;TpBbLOQx&9gbkahI37sr(<7;(Q?@Bc7z|i8g^^C+XN->+Gp28#x4OS^z)Z1! zjZcT(z6bYpOj1PcOg|vjRnL_M;V3=Aj}d>1Yn1g~uFW_s*fFCnA$l?9LDnu&6)2D^ zTd6WBco3mKruCd9I6g5Ltz`Jc3hnE3Lw4eAO5Brqvb?RaYoMvFUFa;#bw!t zMsU~x9a+x4u%7yup7wN62ZZZ7T)ab)_-XN;5Et`-@FF+ss|?UGP`&|EYDt?l(jtiSz?k z0xbJj(o{f%aJ3W!4=%|0NrZ`?+lnK@aaS?`bT5)|%JBovPb;V9iAEiqq_y8Rdiu$u z2SDp9xQ;WTGcj?k#{qk#VSmT;`p-f7hBv2?mFr0Jt{If2E?y7hyX ziVuHzv0O)9^!Gok#ba1u@A?hEbUSxPj!RGDL$Ac=X-LtJnYyHgGDY05d{r z)gMy))`&qG5MWR?Mvi}J?yW;KoWkED=f5L=B$F&12-`QMe(;+jmB31?$pFg6#Q5(? zOk>(HzJCB#|3r+ySQxpu{!MXjCvLVNf0Nk*Vwa|%DFot(Hpc^TSAS(XB#dw@hLRz& zg8z!5Ry+xvP3`UJTmu(faN~1%SC2In0RqE_9QOU=`gU-gnrmWzW>>I>B+~E35&unk z|5}U5C*Mc(y$KY33C--?-tKbG&JZvez#+yo_PYYM-3iRk)LA<_ED@Hhc)Z0@!wK&!@>4^{WaJi~b-W5z?38C>*cl&|TLT%3oLPuEb>0e;ZPPR2E|~ z$d-{dBFl|Z<$M?41XAlyYwK2CXO6C0q zt7ytq(0IY_6A)2phHWNN!aR0|zBMTO{`NSoGOYFNlvre>6x;mgd>G#UeG|31>->`5 z?X^y5RLYpkjplD@3}K1s6Yci)NkVa6S%!5`P`;M z(w(jJBm|T$7bG^)Z#j*tuMt)^fLaXku6BoMy2YI{Q7;xbO14$jRfPI9 z-CEXF>vCtIAW}q?IBMKJ1yU8lH{JbEeIry|dud;GZ3mTMm?9Q~PUfx-j%cBlhkxB- z$!1>&(qzT(IpPDLilj>9f;7?Vgf&jAMXFoi*Ou& ze8Kx>)F}TVwAp@w(0nvKU7&QlW8}eKV|&8={PB9GsOWkf?jcw17Wu*KgkDe7`J@{X zOr}i2ZhKS1nNH$SqdfcIN0l+JRVmZ!{XlBN)JbQFl(YtL|Fuz=9bi3rGa!X9nvUP< zZOJ!FGeS4Hh}|jW_I&gvnBLk!YdbqAcVvD3Zn}Pp>k*;jB&|)as zYpCj?Di<2WI~1@zILLzS?2Vn4FPz?-->{l4dsfZ9x%^%b@M7nMa}kpF7UN!`0I=-{ z$SAs2N-F>`?cIDl0q!K8B-gbfFg!IcEyPZXN|R}Os^m#f2K2`-jaHBA*z0f%ScU%ex{CJdb5xu6v0A zTxAIkJ~flMc1N#D%WbsbZX@U#Prm2%a0mqkwezHv-+V!M^b+P>hnuf`Io^zwe7Ey5 zA<}n3=W>>b35`ODoi4u1-aF0v3r4-9@z318(2~IbF#@i{L!f~92M?1mdyD~n4{O}G z*mFSgWq67|bArM3Dfsh8Im5eMBOXkwox`yJVb+65zQ&05!A_iXnP8N-2Q(ZeDY(Fp zw#$l^4Rjrq5eODW5yZY*)aPz6HLAagZyl-P6!NK|Rmr|QNr!?*QMVYgB_V_5#vFJ4 z0O`fhe1WImW&U05s@t~Fai2pkn@t>s_#nVKEnsNfeOR=B3QtWXmrK zevYCMls#B~w9S8?kW;ikTrxHA&Dc{z<-Bt zD_Ms);Mc625c=1kb}^j8Q|l4%03Nn#?mSL}w6iATqdD1=X&o`m$JTQ+1_O}W!Z!lN zgkm6im5Q8XW~;M$xA%Wg$22nSgku1Tu*-IlVT@6$tHYuog5SY8_Thv|=Vi481xJ8{ zp7^FyBk_Kvg`?C`OR(VWw&tizJHB3EsKgg*AyIPkg|8Hu8tn1MiksgJwG-y<>Ppm7 zEJd=HI_|vrStC)>i0-0di>nNF_gur+iDIi>80V>nGY}ki7Pg8PmKxQ^Xa59y z?CL_fQSW{6=HYww)w%rIuH@sT$5dW0R? z1U4nTF!Y)B1_-yHkCp;tn1`4h2FuwN*7&2U=&1<}&(`8+ zLeS&b&{VylRR&LSPX5jd}%w-2JB@;a>F#DrbDYL(z7E?7*v)t9ZaiJt9fto z`-yFBE;@$KB2XifB1(uhu(U?fkq|lRd{OFriB~APf2Xp_3P@Zn4|0%2? z6l)g?3dtZ3rGqdJ2<_`_!9X5Ri!L91dp=Ezq8h&%h`mI8NQ{4-uXLOfX3k1D#W z%s9DZ?q17ObM$H)zhz2WUD$ z57kp!85VoG$!YiBO%=(0t%IKW!v@|M=#mVTJn3|5BN~Hr#rZh)tGX|>y zBpyh7h@1eY<5_2bks#CEo5c|Ebgn8Gvy6qxXOSDsPrBL?MSNGKXSx$%_LOFi>9V!f z*KRl)?@f3T@YeWqN>I(j-SieA(L!1Cbr;s>(r?G$&R4FJ0Gd3Q@9LBF?!2MCfM2$;^*CP*Bu?#>aqK`#g& z2PhgZ$&*Q6il$Hbg&A2DX3cHkFdqAqx<&eElXmr^0^&lFbE23Lca8+gG^voKg1krx z$znvh7C{++&)w;EpL>f)5LvrAEfWaR(E||=*t_F+C4$`06q^xx3Kld%u>A(|=fxbN zLhqZsMY=bnx#MbYP3UUb#*w+3pw-oamxhiz&FC#CP(C9sV|R!=y7~R;2xf#h?nKRwBIMUA&6kxgih(%^}GK<8=udr+|Mee zD@P+(Pwip}WndVEU#7rYz=^ld^}G-7l+Nrk*+)yM@=GJ9$XyrDun&h1dnTDJiuKL_ zwiV1C?RFNZ$H%HCm17t9X2sHHZw=IQveYBNL%V{6%85)`+p!`!%kX#kguk!-cH&t!1upG)0YIr z{{Ly;FCB@U@{}Yn02uJePi{Znp5(^3pZm%;e;qkqb+tk~!} z7{Ab%-7FGRP!^7_F#D-56y{P}HgN@}xI*9)H z?eoZ?B2(kq6c3yQ#WQKbuqo7>OgS0C3MZV3wXYmTAS7Lru4!p^8_?md<=(NGUo~M| z*?|$Ze-mb$Ute28a4Tvj%X(ewVkxW3OXp|s0rq6ms@G5W>_M323V?7&e`Ms3^0-%6WFpjCD^@kR!>-zse1rz+iwfX&A41k*sWDUb=+CO37I;b zfOcnihe>w1$jiQMVT@d?lHeuZc2|9hAv@|vUefC=6SgQ4>Q;9eiT}{SUPXU$|9Cla zsK>k#VIF(>8~D$P+w!&L?r?K6<8U=4H?wF=xhD-~{9QtP9bgo+&?G-#b`fDz*Djvg zr2mTP3Nf-6PV%hA`)#DJ`4H9Z9JohX6GI;Qpd(qHS=&;BO$Ck&)lR|wxWcU{M7Vg8 z(cm5dzlcPUw51OUf5MPj9E8R(0c`xc-fuVqZERwBFRy2VnuJ1DqXalt3jBIUUV)pK z3sXrt(;TlVKfo8FcB)HijQS?dXj0*-&0Dt-PLmCTZPmD;*dr+no+y|fd7yQ3l5z!- z40~vA8yIW2I31yQSh%lB#$2jHPBO6?g`Sv_6^9W7#wHbq*_7xcNP0zv89%8o46Xmo7o97Nzd zQE2D389|zFXss~*S`f;Jv(3)nRv99p(m8y{CjnHG`l3Vd$N-}LjmF<=N1y4CkM(#j z%DDF}0n5Q!39az*ZNM+MoRlMhGnPBXs;!TOL6V;XDBXZkEGQILIB9B~W1&ak#ekgW6<~ zNon>vvp;lzs;U#ZOXxrd{mi+!r80WxCvm}fGHOTdY&_q=tT!llzZf~y7BiO{PV&UN zfKR(ilUo??Hg#;O* zE&EGkBLT1H-Rnw`tug!3_gqVJPcd?FN05!xZ#ZxOKx`F1ws=T&LL$ZOF#gF(9j2mn zS1+-D6cGnd?R**+AB3XC6gk>6lh8>aA>AlrBC+CC#M{sTHfrK)l(gzrPB@+B*3frz z%xRnNj>`{Aio6peFU^gkhA6^xr7tV|!Ahk(JC^iK>aOdD{KmY%okmV*pxmS(=c0rG zET_w}9m;U3u<~05iJ>Ai|K7y-M6PLRF?B&RiZ_q?%JtxIrCV<JI^TxMW#Kr@t?;aC2|!S=pS*cSkv`?eK@ zw7eu`gErF;nu&YD%L5q3P#40|rai*|9I&C#ozy2J0Z+oJyNeuWBDgWFr6QN9UHpE1 z7}AImrZ}BW)Z_<?g;7<6taspoI*ZZ_LHH$!H-1B9@rwYi_*flU%_@t@nu-4g)>fS_7MAa@)XW4QPP6a(;Ycc@`OEKpK=K0<#9=tkg!lXp0H45&w~BjE zJy$4w!$k=Sb>rOUQ{FRe63`(E6qH7!Za`DA&Uh%H9WN7>?_2|VkZQ5B)1y5&iLUSL znO+jj+0|J+rN00x8b}|LtktAe>l|8(0g<<6yS8ZzFrU)|CM#<7eF-UOu0d$>*x5d= z21e&I34)~M=z|}xFk$T}z``1p95tUL=Fmeoi;L&Ft~!#U77k98q1{*~)X&y}n_{jO zR+Pzyjx~RPovjg1%yB{!V?maj{`|#}Xa3QKiW8qLIBUm6A}9Df26JnfG<(1aEthCo zG&-X95$+h4iZr9$U#3@_TAWIcw|zH_avYE6-7enhPUSRN;am?2usjJRr|cg99MMCQ zMFV=qQ+jQfey;Am+%&MFDCkX7t%)v1Ra$jkN70+}x=txAe|n=E#4@pIle_OgRN=hC z<#8=oy*nC;({+IWk2p1OhzgHSoHPA1EHNpmQ)8N(afPuuW13^5H(3MTthEn1);D*&3PiYt(wv84eZ$R4f z^DJ-DDzt?77<`> z`LWN?i-(9ZbIWry+$TP8XBcC@uZQY;(O@M!oPSKUyw}d1-=_%k4EwCF4x*XIyHN?? z4zkY7<1IT(!xnQBn2zV(4>4dCbMT(C;(Jbg%n}+SWXI~%O`ZTc@QRL#M#_}U%6z2G zxnk!Y)CJJOjNa_Dm{&qs>>tnp#8N6$lg!-PLTAfZ@esYb)J=>I}n z(hhX&AYX)(zXKgF9_K$J@V{m?cFun(?|)h(*g3ywsV}n{E<5Kx-4g#az_N4x!+0@D z{2i$|Ia(kc=?Ia(ie~esiD^=2h&Yg1i~{JSzlvc0t&~Xp(h87MZHH)KQm+H)PJp@o zZK~B7qQwFJI{Z^lD;`3(Bbus(&rIE-Fh&_YU z$@5B&luBlQqiQt_uln5(Y+lE&^+Q8|oz%-5%cX$_ zn0C84H4Bc%r}P(CZS0C1X#g@UDw%Qw9Z2%yKD-*mfar0?Jihf+Gwv&Wq^MGR-`px0 zshP7XL?3lZ$*joBtQ zyAB60S4jeQcN5Qw4(Cvmqk{a0Z!Ks!~nbO5{RN=dIcstj+)0?D7 z`7mBW&HXb?1Rr&c)?wagRV-Ub4j1vw#DkioAE`1MK28DKD(4m%i9-=31uBsP`R-=S zTu`X4QE13wmu)62^niJ(M3W5n+AV6O*3&iqMxcu8C9;=r{A>_STWA4W-PMJpp808? zDtE^$g>H9bU9q2krleO{c}0wu3`ZTL@3(z}`IL^oxq@0n2gD>yIF)omSkyL{SfIHY zIzXPiC8G1Rm#$x)tQA|RK=*|5tqZmTvnb+Rbq2X%2wZI>X#nzdFyPqQa!LbXn0X&Af-}t05Vp5|Ckuxz(>>XvwyuzLh@!d5T8A>OgW!fT8l7; z>!{|p_h3`M=^G+YHX5j_pCyA(6sv^Ruya7mgCQhA6;{@g-l3o3AN%2A*^UCEUxe)? z!D@)fU7s#nDAfg(>s3P`|B6dyA0I4v2FC-a0tM!VK zIw3G|I0+a%>?Ysz4ioU-$m4(fCi1QB8zV6`a{hX4zQD+zxJafSwFd3ge8y$?-J^%< zEW>cvkhICqT#uM;O=fc+#Bj%1sSNPS2eNK65CDi%n0|lyJWyb?Rl<8!a$HnHtc@;}3RkB6BRZE@u z!M*0}MtB8w+|uYxT%$Rt!>l+tZ(ijsUbxO(Fv=hQNOJpB0sVqNX>1ABMu_Ai2`XQ~3EqIa`S$XtqzK&mjUbho zTN5fEIoklEVOG!(cT!aA`8-VlPCXpgUcNej!=O9w>cAss{KfNkvyq2UTPD|_CCm34 zp-{4=Zqh<385cW#G@Zx?$&uYy(CFWe5r11w; z{r9*(@LmXX1!Q2n%Hbev0xHILaFR$1%7$;>OsM>m(tkiJ-YZy%PwgBUwe1uX5sPNuKHNFo9}1H1%-g*B}4zfY4f1=nx%sf|K|41xubrt(aAay?veV_0EQL|EfwoU z3K|Zt2C08S6}$$XK)B&i<_lF*`?F-%h`#+&ub8G9fT7o(p3 zMk9EunKZ)cInv>pa$yfE0u+URy6b;cF3lom0rLAj7xlhJ&e2f$1-Cm4kc1?aw(Um~ z@OT&5gr#NIJ*!HS&%$1^sR_t1YzvxfwmFaJ4LrN?rZcl310(zG(?#5eC!{~?4S4Ts zcn^PNr7E=Z;h7rQOA7w2I7-lyF6QcDDgwoy{D1|9S^@czHb2vp=t(i3w$1mml9wdh z_!iscN9tt0EpIKj*;sSg>pd73uy;zH;J~H%>@t+^?FDt_546^pV1slg95D_nV>nN+ zusIjpgx=#bkPk&h6~BrMYHkg-8TGI>>}!*wC*}-M@O5WwiDqPKJp%b+)c>!7D;|TH9h8HKC3OsgnVXY? z>3>SNIGFyYgo}gezcl<8xz54#UmE_4dFS{dC;kprI2=s>knsNuRvb+Kq!IixSbcf! zzS03uTf8xuH^Kip@V?fJ)Ga~y%uK+4Px;fF)Gb>C%xeFfzGf(@7Wh6IL|{-3mVYn{ z>XtO(uV3T8L?(U8cg_$h~S$FVW5S(|Gvj|Co)CC~-r-hakI0&rH5duo8?Bw+H z!~#D*>&Nd&ZHFk9aWBz`6`8=~dnFWwkii5fn#{_|dtt4K@5dm1RNB(y?^uk~Xt#%U zVnM{pkkn|>hjwIUE*~7!EOXSURU|gWev?w*VE|Q@h*2ErU3%$Q257b&(TI3wX?>#j zHc>P*ZVX3cErxt}MJmF2qSO}ZNL4g+Tv}r#k@4it$`zclJ_zdgK~ZqIwu5+M$&w&6 z+D8Vd9F6I`7m}Z%K?&mpjAJem!YEeYQ=<7Kil&ESk$iD0E`cXjsFE&D5CDJk?GiVkF!iWv^5W}J{B&HuqUcGr@ z`(Tt1P_!&{Q#gLY4JQ{a_5dtZlrN(UJj1^+w_!2b2y1xT%rI}$s z;KatYtc4Eb=-Bi6F9J^{#gly*Jf#mujRF1)6fXB45tHPb>~D7rYuFGDDaxJ_+U!qO{&gaWGYETSUh9BV5mb! z%zVvMXh*r^;e>K;s$rb0o#$d6?MSnP_N<8FUW7K8H*yaP<* z_{y1KAsC-mF-;PkcE3reQ-BdAfjsZ(Ud*RTYr<_#=n^rEBRA}`govLj$Pr4@+%LQ7 zcb>Q+3hJqrzge?oxsPAFvvXrVF_siM@n1);UAy*N%hPz4wTFG&Ti-Rm&U~J9a&CM@ z4_&w-G6>4alIrlTD@$n?#qipe+9LK5M5R~bt!3rh~{PFy`ox`h9jx_sv-1uA!a z|9C2l73zrZn$t9Aw#C(acOB*%@;6#LHI+k)B(3m80X~nYc@2_@0tuxqyiX#RQ`GPG zA%sqy?AD%VLPg8W9>x(bBL|eiXUN~RA*0a}k`0OU+DVHNML)zZWG5J-OXwvj?POKT>gZg27s%TC@7tA>N65vGrBlpcBbzg?^a$Tr-Xx!u$NcHJw&mU3gi5n&plI$QHeFTV+ zmXsmmZYIIRQYQbJ&jT59A`@zQ>a^Bjga zt0Db2jS@j~&pQPk%GA{r7Y`*1)_kg}f}b}|uls$CoK;C(DS+EO@h3;X%-)Q&;_B5> zNj;-M1h3jVZ(WpzBUv5xVEY~|*$&>aRiDe^4(<0&npK>-Uw=+F!vGP$GN`=AdzKNa z><&-Eg^58hN6@9~HMNOqgg?)|&mBE^S)g-}?etc&Bclv7y|o$0OQh~6S}UVwCbZ~e zKYE)fCaeN+5&}w)RGnw5=z6@n>TG?fuFvnxMC1o~(IhBpVTfs=cTF_HwPGAl>ZFS( z;%iV;ADi0HboR?B{q7s842BEuKM!B-NuEv*r9&oz4Bom(-aH*=YG9ru2kfwmEY`Q< zf#pN-(+5LeVu#;>|0vmexW1;7Xmm|D8i%Z?ZMGENdIB7nM4PBz9@aT^>a%-ZTwZ(` zxjP(^23Q)(O7`nzI)_<*biJ8%g@v8*Go15pF1^8F-P3;>*6im)7p%9?k)@9;!=F+^|LlLk#|kCE_JiM|NfPDOdo#>KseykDiTid=mz zP9rpAs$%xDUnX}buxkt7WhrxblOg8IW3~~pI;3T5$`_8K_N~fAPv)^);IN|J`Lj=V zo{le9g(sYe4x8Vvd7-(}x&$c|`wDj@fLW8n8DK+^{?VyaT*TQ9G{X3RjWZe-MypRI z2f@hw_b*Y9nB2}_`zm1I$cOEpAUu?G_`qZ&hA7b7(;lV zw-+C-~&WZ^j6s1q3ix{*ZQSrAR@8vRHh)q zqSK9Rc$nfCzkVHuDNiOBNBD7#$4mYSP@Y5N`n8E1SgY^GbdXEBn`Kmer9f5?+oE&5 z1U;biE6WmM!1E{m_bYxQsF2i!4D1j_uVz5Yuq?*JurdeP3Ju1uWS9oGHL*W=bph^d zhRh1HG4P}L7;men6(^Z8wyH<7e51%|uodEH2Zl5A%i?I(jgiP9J3Sl)J2hfdBN-au z6xur(mI0jd{eFeD0kOI8xQ!9-GokQ%EN6HN8c0vk9)u7dfN-KGq4?B1siS~?$PfVM z#!0q10wI|n%r5Av*Lo5_iz{G#7p$dstWRToWad7jT>Ma{EwV2(ZXHiiI{`_EmWWA~ z9v*XYTOcM+%(+E-(&zO(Mw6@2`FBV_=)oUC%kS@G>>-Q=yDlcx3f=SaLfSJDa&7b^1Kg2ZpKyY=i_2aXKT~S8HPrajuZQJS*#36&!R?K;50Q zzN8lQa}(XL3E4s{3sp=Ah)cNdhHbVg)rQt7vRS~WA`F@kj+;V=;6c80Ei7M!HbBm_ zK`@)zyEsCf!ZrhE%Rm_8evdf4^YaS*q+eIE;1D2yAAs_}U^r0g%0oYXXvS&J*>1`2 zklGu8liSJNU@F|#rQ|A;)onYg>@Aex|KGww86elmN~PK8!%7Y}(57vUm$rCpX`Wqp zTe`IlIQN%2VOl-`+V*~uzOQy|zO}O7?P`BBRq|-+FLijAZhh2m4U}gItUOb3cR4!e z*0xb-TnW;3l4~0}Eo1TK?33&(I_=K>iF`IFb%5dL5bp;R&mx45p8D8=W6JUR2Z-a# zy3sbr*LF0unRXA8Pn`k(c%$YO^s7i7mC>f(xG}}jBDx<0a9gytmp>A zK^Qg}+xbqJ=Fmrhib3)6)elds@qt=X5I^?Y$ApJ_H7&;bgE(cXiC`UP34y~Ke7w)g z_V<&pn-jC1t~XI|UHTYaLaYY2^{#`!1SU!&Ou^P{HF`V}`)Vq#*w!gsZrKJTaus^~ z433yR1XTjUXAaF?6G;D82vTuD)DXqU8?p0wj_uwg=Z~!9#TR?A<)e21FeHDkY^sq0 z%ij`)zw~oUK?^e)XzC3z5lbo~B@1V2Ee|1hs@)wk6bLJO3quDp0sGg3zh68oQvbYT zz_++ivhaOj*IypkujgDrSUFle?wCW_Qu`o?$x|I=5a3(B-X`F0NZH@$u$Dn87A)XY zUs)FT)M#QT$kZEZmT-`--rrj=a#WBn)}ap$iW-ou{zW2iAbq8B%ql@F>E0GgdRs4$ zS$bRIv`PguLRN{bU!3hK&-0AcT*HOkjmyNr!+>H~jABnuaw2YBZgua@8MR6mh$e~E zFd7h$%Smfe%!xJ<`E|WuKJAPYvYF@(NEXROl9aFE&M0c;!#TCv&RKV4x0bjj%CLx%UQ5JqOP z0JXiO26gVfs~kTZ%*LIm`|W%+(igarry!oS-q#DAVwbYIu2%0lG)V5J$-yP%v&i>& zkZ|~o3F=*V{pF_H2SOU;E9!wHY8(e z!F-pxD>z$6T}c}G@K2G2uHg3*7S+IA17($K2^f;14D}Nv@f_}%$KLZgc6ObHYXJ@9c0C7Y zK_DNIt{0d=&;j@U2kgS+MbPF=D~!fNE_X^j+*+vmw_Gd7izpbcCmAq!sPxW<^+ z;tI?$@GR8i_=cZ_PG+oe!HQ}t#YjMfP0599mAx1@@WB$xq8L2Eq=%}GR3-p{I0dP9 zt#Ekjo^Q&d2m-)2A=>%m>?*p6DyC6#`{i|!Usukw?RjWUw`@jJGCmZPOCdb?2a1vp zc?4}$&2Hbb-8pZ@o1-Dl?C62w{keyQT`4hX4-s6;IpxtuS>@hp^84tZP!p`jOuo;6 zW@{Y+-BtaJNgATS20nReq5(kN(rZv2)6)&;d+tj0s1-b@VDJfF{jIyDAhNGC>&HZ+*U!dM9~7vAxmWRux)vYAolbzkxu0zB-Vdd=oi zts(Gz4OB?gTH4`>P2*SR>^faZkpsF3m8@+buY$+MzgE ztD#Itop&8XD3Rv$-KN{gbr{J}dDlpV|5a`-T-KO=16 zL9P{+sI70WnC;p^F*`6fp8NtBkx1E(X93gB;5+w#maq(Vfqta0YYSi*U+AT!VRk~% z{J^!?0ZWi_i*+_(hcw+Be+|V$Tf@b{H!nROfs0lJE#iK;Gy_1W4)oRS!F^!Bu{=S~ z`ayr@4sK)%T;a>^uegepr&uFG0+z?QrQfeX(Pg4P95p>H-@`3nu#09Hl5meJA-JoT zjyC7f4+UD|M#6IXPw6unq`yhNIo}`1u%w4rId680L5L3Y>b@SxS_vsUR=!ZVLDRxG zw$-n@d{_=PB-e0EjljD}Hxjh?@;M0)6lfyAn&#>XhOVx2{#mZIz&R+!kCbE8I-#1$ zYYU;7bKaEu13ClD9sl<-PW(SXNzzO#Y=0Ls045e*>i+~KF-m?BXdE2>-41=pWgHy; z-46X-2yw9gE3R>H{IePQcL&7r554y94v2%R1(lfv3=x#$OR3ABCZ=lnDa&FG{H3-1 zvkl^uXA$^&_`=M-2s8y2NnjAp|2S|Ezp+4xr5X;t{#c!r&c0E2o8=bNJQXsno^I}!cwpl&^d`+OV9uGE| zyoO=yaXZ(6tB9W0s-W?>6C9W>fkg6Pl9P3tX@+rIAL_bX5Vnh!@%L6%h_D#_dpEbY zljq}m(`-IvKM^eJu3q&VT#y)renPNtn#9)O_}h*}pK)2z9bjg?gvw(eZF%F*e)~mr z#hv->>78I)C6UGsB*37v@T%tdTh@0L_yn;#4>7c0rwETD*Z_2=m5qrzACT&_e6wTb^d&hEgDI(3l&3MUhKr_8jBi+na`5tXH-c2{C=Sl{W^ZueIf#- zqX1abwR0HxUO=?yc5pM1%G}fseqH0lYTMu%i>+JkZg0^ej2l;NW@XoZ1p(z&De@e>fA2!b8cq+%EFUzQ^Pg?e z=@=>4v|kUEgv|i95>j4O$w9qfGI#IAR#AIRKjT3Xzq-|Z4F4ZN>B|vc3oA8 zU1Nh0`*l;}ie`l?6wz@;yRdPy;Ih3Qt97BB5zB^Huas^mDs&M3;?-mVr*EwOmmO}n zjt$(3kiLcnMKBia2wXUrKq~IsT>J<_0}XOqWGiuwm^WK zX7&$Xq)aO3dQxbP_`bLWy$?A;uu-7)m>%Ey89f0a1U7yL51YVI4L|lV8m`fjVhzq{ z{v5qphKa@F&OB1TUF7jqwgIt-EnoCGi1EwVdtxgjVjI5WDe+sWu+4+D@$p z#NOP1chVDp&SP;OIMpB*+=b)QDIY);YOR042(Ofk2juJG5#O4#K2VZ{U8s8W$M4gR zVEK6!y5_=S<6emFtcEWzxS2p+e=IJA=MgW8HzEZ^hBa*dmw{F)NSpQ+OV+q)oJ=Ik zKo?z6;}Aqa0lcrLJXDYeenMh16R{5Ct_^(W+?6E1gwh(|&(+Yz*r@Nzu?}GM84#Pl zePW3cjv;8kHSB{c+i#8*6KoY%KB*E8m9Q@)MO~f0iMt7NPLnXY<%f3AOf|mMtkoAt zf{l&kYMC2d#Qfs7SbMsLEZpZVqwk^Tc4U8_vRlX&x8`-haa~m>{IlbI90zl>EMkO; z=aoUc$56Z_xPvSExRyjTJPELeMFVY$MM#~Fa#dp6+C*&wBfui?3?s2S3yK%XJD6sx zFIjU&?eVR0TQw9M$%1VyIBI$rJ!;i%ja|W3?*bZ-&ivB@ZaV~xc0Z`e#4=@8W*uNkQ`Vre_H1P| zh!wpf4ZZJ$oNtULhmw~+%>Ilj?>X?n3yL+ihC*^ZPf<(UAKYX`qZAVH9CtmNu3GTR zPP*0%%XRt!S)%vuMF}QRkB=;FHno>OPKGw_DilW}>dVIV62hN7&oY|CBd}}D&oGi- zPUr}qobMl7u-}BZ!VG}9t|z6QEFWV=R6n(2HS*cO9>V=nN^#SNgwcvSQ+--0@XJ1L zSMHFEx)|>|+nx_&+rTA5za`X+U*XOfT&0VlN#Ti7C?QF^;eCMLOP^kshrX#(ScC#> z$7a{@Xb2KT4}I!yYy7Ie-D08Nw%MBRcaleQQuwtg8Xus@K?3CMJHV5$cH}G9#sP5q zwd}4+ZbO>zrEs6y(5HB8$@QNr7dC?QlOMo#uZ~sjgm5Ta23ej7Qk^ofhDhn>wCLf5 zNWudWR`C;CX|+~wMkSAR7kfSetAnol119{cx|ha9o6KFu%gsNSC_uXAR9Kb9a<%PQ zshLEw;Ep5)B>`367DIo^?+{%yiBFKbI2crSxWC!%5rX)PqPaIHy+XZy$4j%S+x!#1 zkUm^3dd8egg+EzDzh*q8k>@k#ireRpQYVPu=97*pu3|@3aSUny{kqc{1DZyf8gNss zMaGo-?W$U9y3@w*{;YGNRHgrfmw3ZsA zL@*A z%;{VmT$(69gFT&!97zomtDf0AA$xGFS9Yc-^-J^~ymGtMn1Q-(#oQJaOfJ$za-ZZ0 znIFH)#y(;Fy#A!lDzadF>52bU4XILtVOUu}IlolHHW*eOPR{>T4LSc;HRSwX)sXXl zRYT7IsD_lB|Dzgm{*P+N$^0KPQMzb1xDOx6*Wzo&n~&$ne* zg9TE1K-myd@uxWOTVldl>qS60zZMw(44Jl*tg4)U|8#LD)UTFqu2dr|Ct85C%en+c z_qoOmlzKRm*MPHYk{e6TcRG1KH3bAD=y<9iAW;8=kLzPQcz-1Pg)uV(1~usDt=W&1 zYu}no#;dJP^+-Dpbo%B<%i94pG#039wB^)n>k8k4d{(7@Nl<6-ZOOY4M94y6ji z12Iw!!@5H%f$FOfvUQzq3mmX}_tXLK>8p(NpiT9|e`t|3Gbp}w`=ycjhi0IruzT(O z&t|v2PmOKkH>EUjY-UK@;`}i>IFhBf5DMMuDCRzSl_xtDHM6cE7~J=pO@JqlqNcfG z+S=;rFWSO+r0?V7D@==_f;XA!b7O2wbj}M#&W-Nteil^&MKBwq5yC+qv2bO1c9s+W}FEiT!x- z9-9=}lO|yN;@W_g2~_~(-JFR=)I_TgSnZr^yo7?g=rvYys3#I2A0Sw@wd6q z@HwDUlNLvW<9n(dpwE2!V)@d_S6QQ-k?1$ZY^_$)(*o#pUjiYBeV~b zDiOO%NkBq3th;3rK@<8J&I&x7K6SwsDjVy0cY5=ofvrLob8S23Y=+c z(1`fbz5~c9hTA}7YTSirM!>}DC22ik_xmVLL1gBb*~7uMO$uht!QGkGH<9zSN+A=@ zK*<3idk2x_??t($^#stoWxH-mFMiZjzAom1OdG&$^hHjz$Dcfix2K{DwTd5m4+6y3 z6K90ktw3^GJZhqj_IhH@%Pj?nXt+J4tdCkgJ&JN`h?j#I;XvX6IM8%qJE1BXn z8yHf_2@xwhst%emgb@KBCUqqwBclKh0s>eN>avWR&uie&kdvV*5r}ZRKok2SYf9H) zFGHfAADs|C$F+Pk{Ui?on_HO#KubJ+1_X$+qP}nwrv{|bMj7XVEp!YyDQ{tt10Gv5e9ahz9Xm=@G%V%`vcm=r7VaB@BCYdZSn}7_`ecn(2*f zxV8jAIBXpce2#$`H2D39t&;jIZTrlh?x#8c6B77j{E4)(Un&F$qyI?-YNJ&yf+B`r zMZRxeWy;2k^4zssF;NQGV#1??{{+u+g5x<$_XB?HyHv6YXauOr4>il8zFa!gi)Iw7 zne2@Hb(5)e0+nQYLD+5X8kXyTQ9?$NG!`!@x`NXfja$q(aZ6<52Wt8N_ny_Jm61yT z$5XU%H&m}|h>rXDHAo%Ik0nUq#9s0_Yz#(H8r_+X?$u&5t^ zvSfi=2y;x>W4MI( z(#|xE5kF1!+N%99WRo$Um(`_QN68_~z2mQ9 zq!n&|74PkGFLy1mrfGI~Wuek0>WrjRdnauEeO;8qwZpbeLnTLN<4^aDxS!CO`&NY! zia3;HaG=bBf()|>34a4(7Y-2Rp&daLVo+rho8bfK1sV&89A*|g^gZ))4lrhq5xUbHL(bEK{60pUVF$ z1EKhdKu&sqZFmLRkZc3eq8fzweJJL2O`oehYNvtwQ*A4+AGuQs8rG0M`%jFP|K->S zSU~CO-?0(@Ux|nj6ipu=CbzeDi(X#Z0D~z?tdatyMYHbS!k36rbtLszK#Pum!Mh(y z%V%IvLqUE02xqY_&TyRu4%Yr^`Ua=J6=A-0NuiA=HY)YZCD}B=_9TcVHEp%V7(QtW zS9bLd`w?_V&qp9SFJ_Zp^(2d@nckyLgP#fJO$VoGU>dsxfsxBcYbBhP-tZznU)SxC zn9e@*`S^v?mfwIpOprSU;El$I^1*k=Y|dzgw{n~6n?^D&AWCioOCQFPk4%QybXR{8 z-3(jf;~SVB=HuOaf2JFopp6um?fj1AXpuMdEf|urL}S`+o;f_r!Kjd-G$>~ zBo5sz_1rM`w;1VRlOhSGUvag=x|GMU)a@tUNzv$Rt>H|g)BH~`eGl`zCG)0MY@eJs z8@FVuYBm{pa>wY{dL?hOiIF*Itd4yNY+kMn899Q9L;NwksgpF|ribtssp;hgJ{suj zj_rQ5?Drq?S!~-afM=q{R9MO2u$FdVUofikjTy~ft=y2mId-a+@1}g>Rb-E=>n6W{ zzWPk?bpH0Hy+Uxr1we3F4@cVPfBJW66uye~H-Ewht^4vBI zCI7w)QW1%*!4y?^lk@XHwI7BJ`5BROa%X-1vifn885oOLrzUdkPWPu9r8CZ(5%Y|b zso<_I1fhZ#2$-cc`DvS`B!(t7Q2)TQ2~Y3MFcYi`=AhgTihZiqXD?j^tpn2Hi-chS zhqS9CVyZIMK2~eiXB+c+6%dbwZzIahUUyA>+8Q29f-53Wjn(Q#1ahheA}f=WzJ$<3 zuV^ZV$fuxuneo+~Kq=Z^d4b1?R}*nVP>bb=QRI@O1>hr(-m1KLba{I8PchfFJ%~WJ zdmqWw`j@1!;`G1ruLek@MME)2vhDNcRK|9$%O6@#16=QY* zx#LtlWeCh=naq1ULSzrVR2%xz+o( zMp~4)0y?KCG`FO3;WtmFS(5q1D}T+^{c4zC?8s0~eP?|6W<3r3eOsc(*B_Ci@P1MJ zj!Aghl%lx4gZa1Pj}lp!!caaKW-LDckqQ;k33Po30&j2}r@0>3iKLf643^6-ZqNt3 zaM%w~^OU|Ol3bs)gTEg`;uzjxvRL#RzhNr?hXCS=EZzuDQ?#?2>Mb*GiHi79Vq9xC z&nKT?g9-|FS=;b^|E~N8@)du{pbTzrB8CQnAnIoEDQTxIY#%QN-Z91A=RKIzJ)NP!a+B^a1Qd=klQqTBdFQ>T+G}%dNs$N>DP|_lDA-{x>C4f zvMO41s{OUDo>8f2bOm=Z0jj+z1Y)l;=xpt$byC$^;Ruy9e$SKVB6p^+;3x{C-o~Sj5sYvFj51$Mj5iz0{;?txa_ztzrDsZRvRPkvQUOS&-I~WY z^J|?N~A@jnKJ+|U7ISbnh{?R>#wm_$^67Q+vO^{v$djCh9W?y=}7 zlf4OKc?cUO;q0iKc4c^ubm&+-gc`yKjtj$VGT~VgEE_3;RuZ-;M+teDO~ACs8TM!k zg7ce1yb|xNLLT3^cA!tkJnBl0OvS5MN+(_r2c&D-Aizh{_4@|ka#Tsu(^tp>WgspJ z%0c`ft6Xz1Nw4d`-HDn3O9oM7Y^;zhipA-TREaUBdh_iW+NmKv9KfieaMss&j_H zU|_y5QE^-%tJ8gENazP`je>^O`-D>A$^)3E9ngE2P^A=)egM*ffY{1)E&vS zLjzxBO-@eD(#dj`Sd-`Ld%sPUhwGzDN}jRTYp-+j1JvMznRh7)=7P_e=2_jM5IN~? z*{Ofk{m(X>NOlA*0NtejQFT+bEPhF&_k)p`dj2{lKYyVInI1EA8Ti~9_RLS;U}K}6 zF9M-a*UA7uF`_^`iGaX_1=qyC=o&ls6ydaJJq0JdiH&3$2i~!-X^9S(RWbHXO|qAk zRp$|@v_+QB?CK%O5Q$YI$cle%gjYU>Z0j#6b|kB#(BQ)UJ6fcbEpe;S8%?8fEGRI;18Ot ziyzqJ`ds{dfH$lzzEtJRqP4b|iScwY&@sH8U*Lu(w6achWUh=-(dQo&JBw(1N&>F=4qY;YzQy6 zfUV-p<`FSS;bI;8EBd8VYWk&2kFJmSB~9=bmz$md6gT1`;&T)EmoAm9!q2W+tNPm( zUjvzaK#;m-V5L|^bY%I3=^QR%*q-j|-M4R>-JJos&|W@Xs`Du?`e#=%sD2^vAzn8Ret8xEp^m=q5VD%)vP1M#QPZ2(zhp_9)Yp!yKKz@;W zirjBI;I)4F9U0l;J1rPvl9;e)l=Qt5*1Z$(e!6$kYNgv$dB)Z*R~&vgeQZr=;|Mqj zfE5Zao9~|(d@q`w(&#hzetYk!VL<#Kj0o}g_OtDDD=y4ZghQRNZ%}&yb5w*)i(VF8hs{L=5;m zyCjG-{EF{2c6ymHA`9DRc+O6|-+%rJkKta@l}ww_xSH@pzijTo4a@ign11HOQ(lC< zTK!b1{4fRF$iG|i`Sj@b21d?UeK$Wvt+Ob%#~~NYsz?09FT<;aF~t{d@u?{*226B} z(sI8=B)fRtT1w_F{?*}Y)?-6l5PYnq*PXgnbwjEhVJ!X5tofiZRkF72{xcf)g6J=w z_DZlwK_`KQbOfFpo!qpBi`USPECtn75Ju6)PZU;CRre+8<4(7`%44JNQsQuG($WD{ z9z3UiJFq+7tBm^Psl2*?kDO-wya3AY988gp_=#D3BL`!8)a1wssI*B;4M7uO(3?hy zF2ij+Ey64VCkG7DcyC2gb1l}9hA=E_hkV{~#-lL0AcMbB#F zGa*Qp3oe*1DHQLhEa~hl;QK%II?yzMOAI3r%tBsH2~@Ibig0EyLvFsmMj!3OaZQUge15%;9?dL2fzY_XBIjk+A3tZ&6!hme^;$5h1B|W z7g8-={{_v0!bo&HZA>~960LlZ7|jAPXthwJ;wZODp0fXKZl}m$uR| z0>8l0qA)i{!6Yq@P~8*K2Rr_UKFrX_7F@v~*Su#zTbrf6fX8TG zbNJBiDfgWbKx1T?U7jS^5ku#STC_`l2m}4v&bt{*aZ`4dWV%TM-bR@f>#r+_yZv<@ zVU|PdH-d&U;;IfztM7ewP@jgOh(ZvDDfYj)3kX&O$O75;eltw=hevMJgz?I# zCLk5DHu8kuBuP6wk6>WMCA<+Np*Ow%O3cpRR2CNd1OS2sZrgo>+X%2Af60=&n&P55 zXN%zkR)Q~~W(~j@IMu4+jN96xASL3;57Ots;kt?9(I>}dNdU(Bz;Ip(+vuP#elM>fa*AW~6@ec26U#Fr#KTS|36FCrqDCtw_@5REn zD8r<#0dX=V)j=Kx7<^C?xTdgjdb=gk7mu;N6|hMtiilGz*+hbHJ%Gu*s!tk*G2Vu`3V=Ds;$fA zjmIRQ(xNG%-Q7tiS*KyQT_)HPPb3-87WskuhmTPfhRh#W|;?}%7Ia^gm}M`-ySV|0tn z%LR=e1_%D|;3RqOeAVi;E^9 z2;m!#^Bi3UajPlYSK;q=Z-H>Y27C_mFWl9az7?a@5tTy+?rVMNKeZ9-zsV~=lO}Qq zAS?j~D_}mW1vEwoLUJ^PL6rhPAt~=u&*MjL1>8ijOOX|Hi(}7CUnR@?9v3!}p4kb>OpiZRCh zVKqP389SKh9I&|% zpu4DPP8lYKkCRnZ-Z@+oZ&WOMv=`SByVBC|cQS?tFQGy8H2h7j9;Q^c8yKJbHZc;3 z*=kaV^~k)PJC?vYQ&)hrCPEGC_RAd-7^a*x6cPkalI__kn9aI75iI+sv@u*hW6$p) zVy4kU$j}T`$~9mGz^28L)mA1Ey#&jUrGU-2CPUSZH!T1CLGN_C{Ry6Poq;)PaGZWh zAxY^7i_UcExJG~BV)zk*QjJAu_c~&&IFpE=}j4dXKZxuJQZ;q z_FWfaN6sJxs{Ea$OHGU!+v0q`!?#U$_&btU3zpAqc#zK{Fk1PVCYmM`wA+fDVL7Lg zkM8rAhMw$Ez<7sDmqY-_`X$FjddgtU&|YOesY(0=3aoG2)YR~kJ0L!bb+WJuA#3A_ zZ*beiWM&Jx*}B0Tke>wdoh%(Jj13b6`#h(LgtAVK>`9}~8Jr^WjFoe|QqN0}A<7uH zr)Xbpsf2Z~~M@J6=?L@;ez_>)FH@)q&8BpB~bfe3`&OH02G&yC+4c+;< z6EMc3zHnuNm3KN4KO9uRp#^`?UDNPs{JuF3;i=&+8t?Pt#a7iv=qsCR&r>wNTyus0 z#w(+4UG?RV9%R3w>Fhw%syA`P*O*wlL))m599fbg;g8f-#Wx)A;>ZDlcVtGhG#!H; zBgO7HXcW1hVSYd%WoaMF1dMb{7ho$d`^WwL4)Ac*a0ShoS(l-Sf~dg zFhPo$UT*iThW`!piui^kGzB*B9i6q^PK7-4oBVuSt8+qXUUIPJwPiwkMKtK5vWX^^ zD*#BoS$oEaj=O1SDX6;KW^*&EN?$YvjZMfw=i2ZihAGoC-GIqu36pa!4gkKqh?8e& z;y%x{b4PE7kYlKxXclPxZD-VV{3jnCvuHE^8G2GwezDpEavtnHBQ_1DTFHn(*I5w7 zyJp>9E@X+B9tB5QpV=!b2Zf6gwQj)iF90MQw*7ED#kEU?WmHeF;eoe|s{bDSt?#*b z?PwXYnLnrNUvq^JE^k=GbFs?)Wz!K&Z_Wq;{u){zGiM{uWz!ln7%P~k2Hz)z02ElF z`cMso#>OlX#U;R#7-3K!A_A-NCMxRz zdc!N?Tdfuq*&Y5>Hg;JAVqpxiS8*5h+vfO5B*vT;a3 z0|SqtF>0qkVpB>z`n`z5IbWdW)#exm~n>*&Yt51|F*8Siov*#5TndL4%k z3N^&ii5xsQCaD+jK36hZsuy41BK`bWn3}d^AFLxONTj-O_3&7}sG{rDdH-vE?D&$^ zxBdmy@clsS7LkNX3w+$-_j&p_^zw%W6ab6qVs@pe>6Y5HFP$QY$_1u(sWmHP1+2U8 zrvLz}cHvwhFu!YdM&pE|n_n3d!^?nGfLb3x6_VXD^z``q9}Z;nX^t@mV)pVa!vo zEcm$<@4>#KHrVOpKu?A35W~V6Pf*z}WBPMOnGxG%#|!CmpVeir_M~8CHGI0;IwStH z;7&o(q?bJrdA(yO+WFbYZ@GZ!3o;i4*6l%j>gtQfw`A#X1}akkh@MH@j;Fjtrv*rU zS$7&qrM0uE=;B?4d`a*JRw>4q!~i&_p25_CL*Tp<%4o3=YLAvL`rRzkL7-x}zk)~klu*l;DV zd+}PDZF~yM%rrRK;T6T|nXP<9q5u+O;+q^@di%dJjN{BKqBM4hN=eR+5-5FJv8)}+ zX!Q9JKr!M>MHGfH?8qq1l!H!&GUh58Lm!DQ+!J7k7V<3ixvS!D$d{xtRt)x}5u5-R zf@GG35_$R3)#j;Ea}aQJZ^>W86^20;7$&yQ%ON}2J-}kVHERHPum22#F<^NZO5u?L zt&fghI*2A-BZZ1uCVw9xxOfNCL`)&=`*DUyPoE_8^tY~R{R149bHixvLHjeF`z)v6 zUFmcC55ksg(!WHg;lDvVmHLuduV;1V3maZL2pl+xrR6Hq-Cgpl7y!Pp58}Dn_g2}S zGg^6*V3k{DY$7Pj-ozGb9)OraYpy+>2)6t{(qB>!@!!>((4nM3B?Jx`w+PX4Wt+Q_ z^20ETm6=Y0+p(pCIwldWc0!AFI_rnOlQSD~*U+fSP?d-Rm0(nzB+QVsN3U; zyAVh53Z!A1{%oKTqDMjL?k--!B8Sjsq>+wBHSvRWkqQ$ZSi^#`YY3hv#Kz3$SuCIZ z+-3-C8XDHkOCoMvB!h-GL~60<>&wX*`;%7*1v|nwk-=^6RRJ(cpvi&f*s9sWiRaVwBr#vm;udWjv4 z8{bJ#xR+*?2RYlbTdD+v%mlJcd-~D0%(Bb7=@0ix@fd*S)^WE|U4W%0>s9%*pm>7s zN;u<*DI%D;^5xHqn!3iwEk_b_*YCAY%YKC(Do)qQfdD&VqS{(3N=;P2)m`VDo z>-*Kz(g%x+`zW@s^hA+V+r z)Fgd1DFjFkq%I0P`r`e5aJ&1FGqN_L`@7*}gySMpaqb+G2&ICVi_4`(4Ef&-FN*Sn zbnxFzp1w7oKz&oSoPGYRIgx@!;g{u8=6=bTkA>ijVHEzRGI&}7!8yr&4#*eef)w-` zL)An-fiVW$-C1j>t;OmN{Ai+g}rAmnU+-5qm?4O zb3mmE-L!M7o1YScA$=hqsyoAp$2zj4{$5$^nYc1esEPDc)NXh@O`oy^q<8y}8(EE| z)UI|hEK{bhwmNkr^1L*pf%D8bo!ZVJh9-F07vvYN{Az+HIA4XQl9n$D5vG6|-)lH> zqn&*){HG(*{J2n{pR_mmA#-oQGr=Lf72tOUTYb9iM|M-VYOjq``?mPLG#=I5v%Kl! zWORRNC-JsGGA^076HWc1f;evGjiRXMh}E^uvx)#$wmY{HxCJKnuSW%c+0FPOD+Js+ zT=52f=%GLNGp3|F##lHlh%tPcZWsFKz7Kj2WvnoB=r)~_lg6O7s=v_#pcMZge$cHi zyzd|_{{Tr69v@5wyH@^dbD9kk0x=6pCXx|?EH^ztrIGpx9%DRr17yYF{-#xn$^vp1$i{#J! zsq7y}wCKIN1{a8vGLIY{I`0f!bTkok$GSG{3rZHiV?^KBQL z?%NoGx3=Jkz_$}8yin_}Mcp#O?*5t`#2&F%f3gOS!21MG7y*kOq$@)n97r)yswHVf zKnM_&kJA_eTS<)QzaozpIA_c+4;KE+`0u5htvURhI+)-*tX~1N z|G2bBR5_Kt_=o>f(q^b}a{og*%zGoJYkgJc6#Y8&KOwEPCg-CZ5J=8N*BX(}dBz6L z^QEEv0w2NnyTTBJ5w>@)77SXt<8P^Zp2Cz+>%>K5btq@1Wnyfx8zt zH*AL?Jxx?Ga+8dFaEZ)Cg2DRxy`$^Gl%e&;UWB2Uq zE*S!vL%(nfxee`8@V2${-22Vm>;3S3ILDnkkwu2q)=PgU9T=gXE*6w&uJ_sa>msri z;8XAO-Yfg`-8c2Xx%1=t=bj>-bLW=Bhho^jLv`m*;c}k88aNoHqN@VqjU(9;%iidBns1iS$8|!PKX5$YjeT7WKV_z*}8#5{WRCL2UD0tv{g~x;Dr3QqSC4m$> z+F$MNT_wvA1gN{94AVS9GcyOVFzzJqs7ShNymG^UMyt^w99bn=nPI6uZBLr@2dU9T zpfN|zlfar=?7nysV{UaiN!`=lOR5y}N$zQ)LFr)|p#|2Lfe8zzU)Y3_>_`B@EfQFX zxTz!)DC*hoveGzJgi~LG=K;z-W_uhqYv?7bq53Pn_AmWH%s9gL;Fv!e(!b9d6bHVu zz@kwgAX+O*A0_s3<40rsfE~QQ#&uZcX#TSbK3QOx5k){H&0mDfNci{!Q10A}yA15@ z{H+zwGi8-04L=k7^9f1OA2(KCQ`j-Hp4-^Pe(C{mAmPBuq@iLlAPZ;@B!9iq(sn_8 z5%%wT$Inh4`si=^mUufCJ3lL2r~eg5v)JO`J@uVDd*3q8kLZn2VSqMdw>fPehfA1n z3c{)9)!T1tV4^W??xNK}3QlMG$7q5F?fIDite7Uq=Z>ez>`-qm!jD zkrO$vo$QRxJ^6syd~kF`Mt;}JT;1g{-O^-tg~-7$W9o=pZu=9)|!{poe8E*M==^78wDdpwWZMbGt}?L0q~a$wl#Q2GGCtQNKe8>t2olrx4C(V2u5 zHXKOI`=Fe$YZ^$=tBiHZT4}=t3)i;xKhCt)AeP=dc0*2egZsfdR13DPHKMg?e(_c- zzhn;*X7jtAR+b)=-|cnfN<@ud-0)@ocCWVJSc9-`u&t1v18F5dS75Mt(MDw#SU$d> zjK%F#=)Qoph44V2fO{JL;LkHlkM&>0;Gw?|Fa}t5VCCLE`xuU#RceiLlEhK;xDn{jzC?u79dYk4x%a#xDK7%Uu>%-wpKOt|bMglcB-B_j?4lq&gptITbEEgjEEc!lpb@>7B2d@ z*kwEP)w8h?q5Buwt@;_co9`HbOslj^JN#)C2!bcI)AClv+!ijez=0QqsNUoWH;Ef5$geA#@Hn6cj6WMo`LK8p}@W{^s+E+sE z$NQc}jbsufg%lVFs}L`nDetn}lJNSIPzsli91_=)xy^EOi{Spp)OS?!9+NRVM;i1~ z>L>5pL$Y|Nomm6)G5E{`+}G4pSo%dE%0Bt~!;5Xj(x4h_~wN zU>^>L+lvP$RBGAt9Fx?RL7-aB_#cGuY*D(ORP@x>?CeqBX{FTI450MUXvnX47E@e* zm#|=7XAYrZl7JJ4s6Up1g30^@(W{_PVd@RSZ?DCGkwWtsE|LzVQMetuOSaJ^6#v+e zTXRa|ijr0#;l+1B$ZAa? zv%>V}<-;X48sB`6?uR4y%PEA?9Okpy+`wIC|2{bwE#d=-L82}UR545JZaHF2V(86@ z+^Icd1%kaAvUS7JSu0b6F*3+j_mysFqsml#Gqz`@aT3-+#lYQoi8-&suMh5KtSMVS)xILh>wan^caF9o`@aRx~~7L%GuIx zSt)=l2$a;oY+SCdd>1a@IxZJK0muKBFvG*~FTnACWhBRc2{Y6@oc}LjhKKY2CCu<} z{!7Z$`Xgo?N6Ev=Dg-;A-bl!quOzFW7prKZDg%{$l!aJJG-NQS3FN7ypmH0= z2m`(~t#E-{Deb7;tge4-LKHhN+11d^Ku z=GO^>e?ZsttD|5w#amRsNMUM(kmw(OmWH3n4F2^2a@oP zBS(i7Cd z5e-CLrJq(2JuqSSP61WnM|0#=e$L=T{QT{kC(DaR)t##VZv_=G20_rN$Cmp8-zKu2%(c{g3*S}M ze#MiCLK5ol2Ua=h2{=7InTaw6O+Xw@E4fh@N{;xPkDw4<^z=_}Pd);`-Qe_V(b3+z zbL(|2B475zZ!sDp%8Wi5?4V-We!Sx42Q5CN4#%%3ZZ2f`X(g=Gc~v!B&6NJrbsv|f z21POwgStKgRnwHTZ+u=!bDI~J?vLxmLt;3yF5dizyA&NtC&%yAiM*jwa)3C%NuF{Q z>|ygbx@B?jB7X@5IQXO@-Ac&3)WD-)hD}T=>hx?W4d!ONIve}L0mZIDu8h2)`|m=? z55yKw!Fo1pC|2fOUMI@EYm-_{Ixq>aYM}`NnNZ*=Te;oIUGg*Hlb#G1D9QrPh!2i3 z$0TMS#vlBXC!cS=ycHH{9RN*pSq()AlX4q6DX=3@Z0G#KYrC`95>2_7DK?e?Z)QR@ z$o*6XUVRYBQ>;cp1^S8)7goXbk9TkXrOG*PM14z*-~pDvaomGhZvuz4jlsMNv-$|{ ziXp$b=acPEQ7H2I4v{1%LX4t`-8!$=6`c{iDvJ5i7n8Y@xbXd7y8xfhHZ)JJKl#F; z7E%L~M7^)(FE8KL^0dT^?Y(ab-Tr=v?Dy{X%;sFi&2I0_XdNwjb1>rjg=Q~I()vIG zK^yk>U@@esu$9LG-+~Ucp{n&BND#li*iwD|_8%eDfrr8XF{G?J2V{yp6E-2CY7)qE zo5$2+0!@H|q_budG~j*S4xRx=v1;ybP=;HE^0Q$KGxg}=FvBO4O0p5KcAdG6NH(K@Yc3 z-`TfElR6<1H;8=)ejoj*kX8m?#FU+Kuz%Q{h0pL_O7eTKE})V*77Bi|^7OtQ@2iif z8YV)AkMt0>)$hQAS3%R29iYap$C=ZiT9ZDGmmFlq{wqh>EDSrbWQN$0mUwVqfw~fE zUN)X9M_1hs%e;w^w?wu=2VvYP1P&ubr4i*_Pmq)Qp2PVvNqc14fQgM*q+L#>NHe@9$ z>mCenp32s}U6rG^_hnnqn{~HQVzLFBE8M{E;gn)#o;V}ulWz_tnZB65X zT#FG-5{5m4oTg!+pfRM5MHxw1Vha2uL5y_qaEm;=t6RPnUL^GCBSPx{yU#^JXh)}v z%SBS*1fU=(QWwusG@7aD+Cg;NHB6;P|Q_sJX zS^)MJ335epj6iZIrY}*PAB@RV#`&~U>*gJ}L(b^UT_zlrUYz&RqDJ0s*F?UM8j_N- zJk>btY4oQLS$c90@C1W7_bQS7C@gyw(l%zx0Z``^(R=+JACU`BN%YePnxd6*@rQR{ z$8+iI(SuJIudD(>{>dMB06nj~?rb8pIPAj7cM@Nk&4T`^U|v8jy-zF!K3Qp1J7k}+ zaWs^hS$8CDvK{c~!t)gCu#pW{gjde;0^p*1%9>DsI^syV9ck0iBxnC=b9s5ukW4Rn z=*@@MyS2V~mB$RrFcqH1RJypjb$nD$a`?D-vSY^gOp$w$9V4v8QtE{Ova!-Q+;t@3 z79dnTZF3kU;T15ngb@(b91#&QihwhQU#NhUA+Br_Zj^_Eo~>Bo)hrAz-zphC1JFm} zg6V0O1{qteL&sG)r1z)*XIIs(GxUHCNwTPKiHPR353ao?Y0WlO%2%hwikwiL$e8fR z(y|}&U96Hgw3iDXZ=n`LE4Kx9MipmrFl42v#EzG4;X!Hob*#xEgMuoxaY0fpLKoLX z=b$;0BQ-IfFfY(91_xb!@FZVu0_bc%qv&9>Uh6ExuR7!^sCFMwE>DYm$$S$Pa!yQ{ z_9#pJ>VI#JkC!w)o9WsO(z%%o^f>Wt)jSLe*^!}~$~PiT?q@7k8%qcC;zxMU&Sw1R zy~@+1r@FCQ5tbB#OuS$bQYf&Mqs!?w42hxj3_K8`#U_OwyEM*+&}{G`1&9~E-*GSQ z{KZySP|XafcCTvWzCeZ^Cwh2{IYUgKC#|tI?{L$%v?LX~eo4WxL3`vot7^H&QsOpEDL3UR%dZz1z6F!;}Ak zlfdBb&s5C`b65-=dI0Ce`_pkR7NMfOLEJJo-S0zm{ARVXpGz5y9nF_U7&D-DmzI)~ zRo2X($Q~9j#(spjdxNKA8PdM9T%5HkcJ@g|o7C{-JIhz<^mT*M0Ub>Zsy$a>Mz@Z+ zGfB*!wb+Sw{C>ubG;Udz4L3B6DPfOFzr6$8j#XB|Tqi_B{{k$SZTrDO*Pf$dCbgFz zl-M2=S~rocs}JyFAaR!ls53i%*}R^?WQjmuWlx^$9I?@s@t>@0Zrk3I1;Qo3)%MWX zn&>i#SU(#k9x*QPJM}fa5+=%{kxtxZR?%)7k!fMJc)Ps14*?*scqM5AP1s_kDQtCtJt)ihl6kD<`-BYGf% z!D%4YG}JVVuPp~^=Ltnk74*Vzg)7$i08pP;d-|_lqls&ojC#;j>reyppxajrHv8;% z%1k?C=lhTwyxp3&-*)?7JgH0mGG&Qol$L+P@Tu*rx$Y2`0}kh}haCzbTQP*sm0$GM zet?$A^2mQF3OAj!2J?2WDK_VUM9`3p4QK~0Oxz>8K<8Tslq^2 zNNx;(jv6Q@%BfSj!=At$I!(0Y_nYO=YjM3pYDG3k<*u&kf~3y{qcb}>@56kb=$rzx4{-LMc{@6^g`y285W0=>t z@H*GCTeEIFbOUgLebAf;9lU=9SdI2KDu^`ziX&Nn9?7lGhj*+wHXY!gjrL?-eCSkp zJ3+3gru&-$h#X_qHW(NVQm_-5izu2{C=lK$q5|djCMYsVR2(FI4KUg~K+(L3HMX&# zTNXxZFpTCN^AF_6?b{$PgIXDcwZSQn#8L90Km#1B7ty%PPbbrTTi0107b2m!&DF^O zcxSdUS;;et0p;K62vSKuY-5B7T1g|M z22o3#|IUJ!#*&>F5@Px^$Q;1I&b)~OcCvzuYoCly4*xo3jpVM51VV>p@9m;ep%Ac* zEi3TN7nX>2G&Gm<<>QO+`ZWVY)%_NMQpW4w?Pk{T)>^d13+R2r+ed3`YOuYX<0QFP zCLq8_wUl7N-U-{`l^g3taO}_6#iex*;Sqcm`pc)wjW~}f!6T`=?VFx#F|Szwx&=RR zc}U+jTxUOVLqJ#1%KCCM{?5|ceoALEDAXc2pmAt(cmw>$xorRh9?iyRNiI8?!QMXN zD7)^`T$6yO-F|(H04&R@9*aK#2?Do@Da8R)uAXs@SiW91;4$PVS0;LA-iFHN`03YO z#7a1m#va05FF&=Z5{OKi_}a<<2kpr&Ha_6nAN+B&MH}&7HZ!Zw?s>~7TKVdekrBS> z`I$J2Lul8jp^=hnA%4Xbxw!SPX+gI!p4(m1lZnFqHc%|?ngVRZmgazYWJz_pP6Sr{ zw56A}V1EoFrcWQ)DgYE)rN&G(0d>&_o~AGhcxDxcB20&7Cx{qebtDoHUJu*q7aOh} zr6mpgZu0KcfHSMahX#F`U(;~ZA@y_+y>>prf5GYCh8X(;Cv0Qw730 zbOe<+mFw@CE~JvC{xzOX1Cx&Z6xk(aW_dv)q?ErCd9KdX(c9Dow~lOIXY8Wjtr54< zuz=t)tHSq0I5jnHOMPk22n>?tH~1*mJzRB`!bUwSlG{4H!k1j7*=dzrL3*&$KQAFP%Ao`qD(N z*9BMSn8AzMx5YMxI*bGF*G!@Aod`HtA$%GIc7+s>hL+=EhoE@?SG1rC&E)D?Vrf%4 z$Tk7h7%cjVd1bC{aBQ`;xqxD}pPu?q9)eW3xMiQ)5A+~`E|1weMqbd1Gh#Q_jKhG; zZ=tjdCa?pRF%ZA`%cU}cF7dHyYi53TBC@VYI)S*oQ{cx{Lqkl1n+$+qW!l-6+WsG= z-Z8q8u-n3oZCf3qW81cE+vp@awr$(C(Xo?`ZQHu}zH`pK_h*e!yT;gW)mvlLnsYr9 z(#N@gbIJs3%;WagH67qhS-C(+oF!Dy#S~SR`$>c$6Wp%s`P!VJLk|d2G0X9m!)jVe z-0yd%RQxctoK@6K1dNGMG;v2C#iJf$c4ERj5=yQqXP0RNWt1BPF=zf@g!0y=;<}Je zk*<43g-+4BL}7oFEYlD(baN4^r0|2pb6kN<7sXbQF#vc$y>ySw@vZTPxXi75) zmqmOLQ`kJJ+b9CIjY4+EN7FR+;e(nd;H95q&klk3M9C!K4J`J$?S+PI^7RqV=4lja z#J`_Wk6R}G3bysp{dEA`gkM7SAg(MX6eO!Nw^zPXCMzuEcC+YePE1=ASl}4OQ_LjF zZSyG-QBh(c!Ul*AOsE6$1A;(+R=ykl+Jo$MoC|^~*((so5FO|!=$q0w zC<21ByJx&!Xe89AW4vn_A$MJgx@%e(;iOUPY&J?T4Ob`Vlg(cOsiRF>Lm@3k;|$^d znxhTkCpMiHJSP_=g?zVTBpJM7nstwc``4e4TqomffDM2)8zIQXA>igASoj^~I#XFU@{fZ)kPrRvCqt5cLei2r@IoC_X%wUlr!)yb#*LBqwdpN+zPyLby1O8U|DnK;jLo~IKqw(2s*drezI)3py( zfp09Ng#528q^KptBvF{bxP(5kqQM`|Ic$Yc01SYYOfvYD&iK{97VeOMY-2Di5{rtR z4K(pNi*i?3edFRvNWQXdsZiO$O5yb*m10^@0>R9_H&-XhNCo#=APnKn0$np1H{!Zf zmq;DHS@7?~2Hnd>viyLQ-*oTw6<*f7blefT>Aw~*9GnBo27FG+#?YUPRd1AfUqC`R zE&w4p*V|u_9vk?{+UwSBoX~@uZXnAuyA9yi<>1~Ss2aQ@!hX3l1cOc_(D{l}skx?L zFI3HE17;SHGOmz&Xc)dwslN?YLvpmbT8&xh4_gG{h8T>M(RiLqA&?L{{)Lm@;BMsa z%8C!vxCwOcjt?8U?d~yj{C*R!iwIr1@=}qweoORsr9ev9#V!55#{u)jxiP z|D+Wma&Y|rJP<$j*#EY#1rXWK!T%@8kur5`njNLp44qv8I5qbt53+R!gWVJum@`#? zg_f$-9*bQ<;OF3nUQ5}!qruL~2lA6U^xxqScGmyRMc1^A-DpAn^6fR^KMSa$Ra(@3 zA9HlBL4~ZD(QXn@!gHays&UdfC6O}MkNA3?#%->A%--jsGyn_n*LIXZFb|pOnv+*c z1t>>Wb4OyVi#B=qVgy6`_UnJd>`M3lB$+p+!T0jNo4Gz6kW684AvVfX4qG*9VC1t! z(nbydDCIFKt!|Bxa=xt}H^2K_Z}*wTdN1BKJ0s}-y)>M|9`&v`w49FxGtCK!8X}{k z)w|{d#g7@Fidv_Q4cwdJjr0$B$?LI%V z@`Ygb=ZE=5y2cLnD81GBWQeA?{JtAhc73!_Z>hGORCP+$GgYVmt^eI@Q~CF)anRsV zUJ)hSpI?wF+0@Vo1@Q1^t&5`kuY51wv|1aUO<^QbDy=FWd4lsdozdKDc-oEuqP!GyEmn7PTyL9EHj12dD&n>Z7|<_Q~{?cHQBAUc9Js=)-q+Y;tr!fd^NGQz1* z>wr2+Fc_tmtGoK7bL=kz*QwO=B!Qoq-y=F4$JEk1)AjDv zIoQQ}Q`g**@QJT1HhD08XRrbdseTm%7f#iiW%>aRT>z&}rN`KD;mNlFr=u&F@x^kk+ol ziPDw4toyEvmU+3dE(HeKb+fVVtP7?K*q_B?(riuc=G9N2`*>t|KK2Aj{x?rb?eTTV ze;CBOF|C)vQIeb(y|^JE86N~-^uoe{7AgNjS=`pZq`0HuEzJ&Q+#wmrrtR(^b?Me% zhxTi}?5NOoJGK?n-l4OhcKtF}K?Rk^HbY!Z?0~h5EwFFQvl~i5lSRwATu;#C9Mu(Q zN0az$k?#6RYt?5x$y&&tu|R0`Uyzc9YxGL@aWGk8$X$j*3=bPmBlbFAjE}kxb}Rs4 zX;c9|)IHv`pWjVQEvYLy53z){dq%C95luf3xJ6Y3lmEgid>2?cctX9#I~o$V!r z5ojG%F#%sQbzZ13@;e$W5~i#0vUb1m7(ZOSoe}Z$8Z=bsDVU=X;xVU-1B`9x5rbqM zh%;0a_XBS`w`;^O*d8Syh*~K+37DNj6++U39BK8!Wb7)W0L&AmYUc(Bt!>|jB5MeO z2g#t;@jm({QI}!$(Ezi3qXlG#Y4Z;K@p<9(enG%I;5X4TQqp92f>?j;layMNpX(}% zoOhJ4HZb|-%2q(PiR^Z?@FtV*ugMU&C5%9DFpxq2KCl@sChQTw)RtxpO2cI}5pzbI zXTZ3Tkf~`ZtIR)BAYd?SQ0pyK= z0Jr?x>Z`e(_eOhwx_NI_Hl@9jMfILX{Z=kAK2n@OeOyqm=7U>3=O12EsPB++IFTI{ zd8KKuNse7Y}qL84_!bkSM15#*Ms)JpX{u9iv5CxHpLA>oOv62zuS#AGDp zBH2MW6(&gxjC7M_^mScGtn7DQy(8@|+n9B6&1Kr#h@niHJvm)jJ`txq@o`xovyZG9T6k}OJ`AI znUNVuK6@a+qtvA|rv2`5->b{_qxzx)w|x5VYl0#`0|};cPPW0|Yxm%Upgep}CfJh} zeX!*EDUSRh9zH6hZ#A`geR&!hgvx=E;CdRNb$r9^+y+g38-Iug9s& z#pTH)!L(=$9zy5nQ{$01`s$!Vp0%PJ~CseCa#Y3fNCa(|eU zdDlKbPda?MiwczikFK_4R7`0*OE^L`^c7(81qr=jrLulkA{i4cc;|TKN`Q(aJTnGT z#dN)2jfn5ThJ;TBNhm$oc5_q}?`~fWzNc%CM=N#UD+>1}1rf0i_kOMu> zq@qBT`6@xa*sXF=>hi-gq=YnNx%=w4^`&`O^(v8tLFrG&l{t2Iu@ReFxR#lC(E@!R!#D5-uu1`~P z4CEgcYNQsCBGoQP%~*aw=-pVK%#UtBKUpizpc)kpC$5qI z{G`z1;Q0_I7k{!(YX3yG3akS_A*&9jdIE^s$Aa^(a(tPcW5jhber(GQJ2HlcZiBeV z)cc};ePhHAnKInd<-ejWDw73fgA9+XjEH+Po>cDhK|HtHT_DP1Q3z_HOIo0~esBmI zp<}O(^?iI|gn~ArL-ICYz^&WGA)W&eO?rt^#iV=h zr8)iLL{xp$)qicu#LJ#>`Nb+i$X&?VH-A#+PJ-+Rp227(b5Q+4Xs5wt#oj?KPIMmUWrVX0AqN z+Y#Z&rs3${dP)t6fZk)EJX%f+N*u)Zgs~wKiM_#H&h2&}ux%N@d$R0R2QycD=ImG~ zvlJRZ|2Crew*1UimwAF57Fi4zTl&@jFgL~G5Q5QZSm66K$pJee z4v^ydf)-+=hou4%1HsQu891A@=#NJO3SQ3}u0uvpST4irRqtbT_gKZZ$?t|9;=5(IDqgf1NVx_-3F<8Vo*o7d* z8+NFF4Io0CH64SU2UsQ|Xa}y)B4<32M$P|* zL#R*g-ZKml<&Fpyy}$wPWnur`hK;F*3x6}YKzY-$6Ak1)8%q{Uvng>iReXxua&VN=-i=8+Nf~2)E=#7O; z>#j-y1hJ20CLBQO2UK7#nqU~#FTsRCf-c!aG%vPAKVc@S#HJ9%VBA1ig;t|&uIPbf z6gTj56*M)JGUQU#UiYy+*hJ33h9jkPq*252@FeQU!Joy)BY=(Ht4mTK9tsZLuI&M+ zwFtO%g)}bbm-LgqIy*0Jc|<}fQCT$Q4J(S#fZh5FuXv$)PJu!mo^`8C z6oV|t^x(=%ut!GjATbM9@Hm`9`{uvAQ{wKsO_mUfamUa9+9{S6h;Tcx=W%qZ-I!CST%XD zLa+|{HNwyk%gEQp@DXbg83j4hILv~B;DScM4rwK(oiOszOf>#BV&u#DVU1t^++=7y zPGL7iAAI+sE*qh=ckY@-n>0w^1e)_OHBB06z|Y-Xn*TYindRjdBUcUWCjI!-Wrr?# zl>ypGsHr9~Xn}h%Uf79L3d)g43Jtt4T*tgdSP~6!Kjoi>gGZb0E_!w_a)J9GQNxIf ztg!|Qh@t5MG%3+UbUgU02xmIRHj}BH2ye?&FH;tWqi9;2r6XbeV*Lfs zF|SVlc^Ru7CH?yl}V7$!Yor-^%MRlQ>mcHQxyGtLZ;XbKN~g3m^eW!D2C}@gsSth&G_)6 zSEnRaqV@pO#8*91IRgo0R%E1RP#j&*4uj!8a%(CYRFHiROp*C2UXGt7`FmTBLB@XCEb@c4eZAaX{NJ8=ldrh+U}b>5ir(6QUwZx z@22YM_h|d#VHzm#5-rub6`d?lF9{R;8P|MF+*?BKb<)UbJvkYcdqad7{X@5{C9AhFAMxq9{};#MMOU04p5vl_%+xajX;3)dw}Bt&Tfxl*PkCQ7EHTRB$3?`8=`L! z28*Fh{Y~u-&W3^i6w1g@pxqzB$A5MM*m%Zs+wO?-gTtXE6~>{sHUI$hi28zYg9Syn zS-cKmrlyKecbR#nTep=Nei`fr#hS+X-e)^_PrtB`R-f?3`Yt`~;1LpDi_Q58{2@PE zQ*h#m4u=ag);LD%x#%Hlz6>J`W@HD99K_n1xm7F}^0woju*F_Wx!Fu%3&*{>b(?OX zF?Q2Nm=6fc0p>tTf55UsN!N337`|!2zg^jwQ17=mo8x5C9ob`9%o>#`;f2d9SaZ>O z-d+9EtL zEr$L;yDt-9wWlz()_Lu2*@6tS@`LjUI#SB;$}b24abw zXWb|8DsTiVUZ`#us>QbRjYNmC^4q3!im9SBk|K~vwO(jq=>%U@V7_eIxFU?cCIE8s zgK4qCUE8vU4G^5vl9p=w7-q{)zJ&oQzrKSR#qq^cgwgfna79l%++l3A!_^!PQO?dB zAczt+PAP(rTbE?g4}N11Qu!17+(MVyjA^>*dq!W=Q6gMz0Q(r)`#7i=s)#Ys!{PRB z%8WQ|4tLcZs=s;vZUF~G(ejS~C>sR?%Z|$!auWob5#Ye6xtMDnY1^D=CIAE62vxiZ zykQ4?5F}CwhlUd}oHW~n&Ca=T92iQt&JHSj z!$;)R%?xHdBdV}#8;oiABORsd5EDTBt~=o7`E71-@9E&_J&wik#Dv|Fx&ovQU7aZ~ zKWlN$4KRR4>V&y8dqm?eSYA8clRShy*QL}hvh2^Z7+CY{UEiJGac;aogh2%^%!S9< zBYl?N8%PxJ6;|cR5|*LQ6!mNjD>Y0CHv!a`&p)kp~BdaJc^=w3N#$Y~^g=ENHfR{VIiwO2i@ICK3Xa6+k{<_S(3l*Mc zbS??Lqzp4#ha0s(iB=LGDOWY^H~vF^J>$fVVu)`$SG%Ez#HY8&m715X$==R%U7HHI z0<`2AkBDy6nW{SagBX|l{f>wq$oH)q?5Y~r9Oe1gWW>UqXtsaV`E_2nlri-4-aUpv zQFYQt8o;jFR{#h!E0hZ)>yJ3M(Vc==52u|Y0}W)+YwUV~mj9ALIWU;L4p#ar4;)&+ zw}}lyZo08D7~_My_e-Jf{f*?GNW2#DU+r6syn2#0CDhMs^w=r#zk~DgSRd{{gy4Yq zSQH+W_0f@@hKBrx1|QR<$Eb&UG&oF)Wgnkh5iHWH@{2S6y9;@Tv{DBuic}#%wM0i< z{qw-iE!mkb$W{zEq5qcYAWV#@f{X~Lz)Y!zyHwPGB~4rV^-<)nEB$`^Vj$iq`H8L-D+{Q zl}dQDUPq{8?M8dhz-;MC7fsjK5Pk~-b8pVWVBg-x&vOrM{PKMBO%eV2$TWKy*?-z@ z91Lx|*6M2ha8Uy|LST2ApU9fZ+IGZjomITjnh931U;(Ez*)c!7`cEu~kZL7Pu&XKq zY%8|2LU)0(IcMX(MihqK_FK9fGr7h0{7gGn{~cK`b=GLNPB6NnxymwoLbs-{E>x8; zN{hiot)`{pLgo3Zj>ka2HwwIM_K@UnqvNVsDzXN5lVu{n%_C!_Hwf;;8mY38Kc(Ku)6?g`i%mh8C{gZ2ks^q?AVmn9ckou_b|q>7cw3P0 zAT7$>)j=KwnptiyY2$m8?O9IZSqm62<73>H+l-`z;IJ^+H@stS6GZ-`1RS82d&6H5 zQ5tyDRtY0{K!d{NnS%_Wo-od#IAl+Efu(7IHaWP%ba!l$#K@eQg(6oyV{_Y8OxIZm z8X}9dlR$NfF#;{p#VC9kok+p}oSnCAUaL=DP6-%;`djKm?ZqzdK7ekOps^dgfE-mW z_>qi)Hmpq$l3z+rs4=ZStYjG!f`~g5)zpyA$2HiaPLkguFI&tquJI~|%K7y(|6Gyy z>}?PyAX+~#(vVkpZ++{!_lq@bBG6=?$-zPepa%N6tbiw+F={F=e zh%B}eRuE(deHZu~SW2S-Cw)`UDi{%(7_DLc$;-COfJ}2E^w(7TUQXbS;rOijxfzEZ z=7sJ|{m_CE@*Kn@sK-pry!{n+^b<2n4@h&#LLI?=v*6Gb)pDptmmqn|m5EuBMI}Cm z_V7Fs#!n+0=kgF#)j4NE2xR$F8W~qCe&oN15yX*l7SsEnt|Vjtlw?OHXPAo=Z3h9> z5lB;YQPA{E#O}STEeu(81M2gy@twBidkrkmdoJ3&t+=Mniu3q1r`~S28Mqxo4FkzK zOFHQld>+FklA;Zy(hSsS@qH7rq~B#QZ{!d1p3QT`c06kSHT8L@`NY|S_K1eKXsi~2 zT@lUmgKXtGksM}#M9O&eXlJb?(B?17kFO<9Z*EPt<0^z<pQ4^}Ycm z|1ty(x<=MGKb9LL$g^71C*m+VVt-yj8a>Usf@kSYN1;&*EX)=a23k(8v|34CA(^E# zgR?A5%1Y?{p;v85CNPAhc!Q}e3{6fu{w2D1p&7CYx2KCot~40fqj76Hmd)JUw5^;d zQ9#z;RF>P+v_5uXVAIr&K6X5kbr2eYR>P5xxj=$7i_Z&mc78 zl4Ar+#7{O1l}vQ#KsG6~D#ykTcLiPml2rjHY?2g!^MW;Y!<`Blb+!B?b+906JjdtU z8_Sgc!17^?MP}lJL0b`;1ybeD<2tw`4i}Xy&{Kg1Ihc@O}RmW)UNh;0&J1GE#Nx5^i1E$2ylv7VS|EA+t z@->jk8wJ>+lkN&3iNgn8BryBy&con3tjq6w{wc3C47-e*~9-UnIOBS8pRfn6$7C9*j+cS8H5 z*dX?u%XmO#EhbNbOpw%kJZY^ni77X&)%`>K0pbFXEPTs8>&4dC$;w-jxXo z**xi=ORK}Pg;>R~W^e$$s8%;QBbqn#gX+};m!#D~)!SokRZyoD-zQQa&mQ^{u&%B? znUg-hbula|W4X(h`N*{P5`=L^j`g~`XP?NN_!z9`6!`zyO-S2n_O{Ja8Fm>Q=Q`_8+*w&(VKV zx(4Rh=LJ)drhec8J*XTH%s)7R`h;I-AWW?Pm->2czb=OIebpO4NJX@E5qZ$?xu}O) zc!@tN?PB3iN@!t8hg>3&TD14p+nb(EEIJ;`m5S2_5(4Cy+sMpayB$}ne(kF`*TS7R z$CfbnnvMqDvh)3M@xHWr-a0=1BWF(Nh*nBlNX4Jhjx$jeNf#`Uzai0(VMrUxe8ido zdifU*J5DA_{u1MU&$Ib7YMjyPu`lf{oKbKL^Z~QFZuvz&4Ts)ty;~fML;zp9u|CVqaI97{Vp%Q`2$VB%E zLjEQ^0y9zU6Zmj5RN45QLjC)RAWt;o@Admr-4$Xrh2ZPOvc3Nj^pDk>%;~V87}%^K z3*jsEy2I!kx>=`1py5|r0}aO7aPDoa`8z%V7p-kYMgLf4!`8e4yAv{$cTzYlpd#X^ zqT!mR_u;XG)+$YLJFBijiTO}9FvkXgmSW&AL*tXOStt4Na$Pi~ADna-#6Y1RIqz`7 zFsuWVJyK-k3q2YgpBFKEq#5@t8EQ6xmJe{RZD3X7q)-X5l3WrV=a8FskC zyXJ(s8C3i}de9CumZvLvJqIBINLiyrLQ%s(DinR^#`kvTYsE-j!JZDHnWbVdP&Odl zubh=?P~?AvV;Ee8rwKe7w@~^bUMg$>pCSKN}$>3Rd7ys8y>X@Zcyvgc!V%Bm$;MKmeWF?MS zy=N=nn?G{sD4oX@80hfY*vPc>^6?Ddy328rSG&^A^G^~KqR&B z7OEY*;59xZ)z<z?rou%Lq%d;wVy2!j zUEXPjuoRMkiL{Ef5RG5wmjc1oquok|c#q&G>mM%;#zYQEk@=H)YZMNYxTITuHDQ*& z_f}q@iM4F-`5fj}HnEM^(^0I~e#;88mP%M$AEXC-i;lK%gH=>1kJL4VI@?Cl;lu5q z5ji}6#H4q5<7;AF5rYF22{Hvon<(zU7W{$KZy$M(KuT(0LXfc`>z9zA zTgce4NLfGtsvZ#1*>W!8@___kOGH2){l)&7rA(2%h3#%x+*{)epv6Y+LT#24wwjpe zj}QkZlcO-4Xe@SaJtY_-;gBTpV|g{sc;NxRI9XLUARZImVed9OUXtToTZI||Jt1e_@8rd8e17ZgA*oN#ly$D#eMUzn?tuMv1?r$}Y%*43I!^23v%Bp3k;j=^W$K3a`{V}0 zJx8YiZ6_#GE&bs>a|=q7BriIGpy?i+4w6x7ZH81a&jW^kpxhA1wMx!z0Wl^Fef)?7;!4(OIzLX12u z7u>9_BV3D9=L%f|GJpA>WXOv?pCZar9;3|EuO=!iD5S;P;UhyLJyA_v*tae!-#4)e z>l;XShy8c^Yj#?L!F!Ji)dM~VDB*sDWe$2wR3y^CO>=7QG3$Nn8x!9C=2zm9;3HZ+4_m4_HJ;nBXo2=s!(fulz!VgG_o7~M(& zG@gvHa&WeTS_3+80h$6;Im&I;_-Taz*McDM7ZFJ>EiVlfbWmCPmViul0~BAs+@|T) zO9h{VaGVBezv-{NI(#^rcm8}~I$ICu8`l$s2^EvETkT#S60VPas5mvm;>g7<3JYBC0s#@A&x_-lTCIq&4n`8J0sXWHwp-xLGJ}kEKQJX>eKsK6hDnek~;o z*#zUi!t`XF`E@uwH8N6OPz0ep)RO4=<6KlbSKe*)`^^#UiWZdJtTzoZL@d%@3dtP{ z?)=~2Fu&h}|3_|BnBqYDp(nBb*IL+$qR0^n4BXwCp~P_t0+2%kkzn@A>L+K|u6PYN z0rS#8YWpKa6*QxkNBny=A?Tjf#Ye|-7a#adu=uVY_8>n}h(?xomb3v#QaC_rmXCF9 zdA_)36A53W6_K@hCY6 zRzVGgYw&@2WSIH`3o-jUrQ@A6zB;4lZZ--aN4Vlvvw#^)4u@nU@l(q*0=GZP6%yh0S^@Ola~TAegpHmtlf>6`jB3!-g>e*WBb zh0{(Yg!j>da&u3hu7lY zDRUC`2IXc$LdVkY`~7ig-15ruiXEDZz@OE-1UPH1i{Sg2PxDw;U^!J7sFna}*X<<= zEf~-C#n|xITV}c383VuwqF;6V^V!Rcn?b;XH5JV*qPDnjQkm9WIzo((oJSdDBHtO3 z0sk=Bb>(-$O6t;7uiBkIVfuV{V81Ovuq<*mqhaGmhP2VX=ynv=cUUjr-Yl$o;VYSU z24L+3b={r~`JCXLox<|5njb8iE}<~PV_`;dN+SpeV;BCUFp?S$qM