From 868f28bff1cc714c47a2c1cc54b2728df06c63ad Mon Sep 17 00:00:00 2001 From: MightyPork Date: Fri, 19 Dec 2014 12:29:06 +0100 Subject: [PATCH] Added the projects --- .gitignore | 21 +- .../RGB teploměr krok 10/ds1820LEDk10.asm | 517 ++++++++++++++ .../RGB teploměr krok 20/ds1820LEDk20.asm | 496 ++++++++++++++ ASM_OLD/DS1820/Univerzal OneWire/ds1820u.asm | 354 ++++++++++ ASM_OLD/DS1820/schema/PB250219.JPG | Bin 0 -> 618025 bytes ASM_OLD/DS1820/schema/PB250221.JPG | Bin 0 -> 578599 bytes ASM_OLD/DS1820/schema/PB250223.JPG | Bin 0 -> 602709 bytes ASM_OLD/DS1820/schema/obvod.png | Bin 0 -> 51366 bytes ASM_OLD/DS1820/schema/sensor.jpg | Bin 0 -> 23817 bytes ASM_OLD/Detektor tmy s 1 led/tma.asm | 94 +++ ASM_OLD/EEPROM/t13-EEPROM_nahoda/AvrBuild.bat | 2 + .../t13-EEPROM_nahoda/EEPROM_nahoda.aps | 1 + .../t13-EEPROM_nahoda/EEPROM_nahoda.asm | 108 +++ .../t13-EEPROM_nahoda/EEPROM_nahoda.eep | 2 + .../t13-EEPROM_nahoda/EEPROM_nahoda.map | 289 ++++++++ .../t13-EEPROM_nahoda/eeprom_nahoda.aws | 1 + ASM_OLD/EEPROM/t13-EEPROM_nahoda/labels.tmp | 32 + ASM_OLD/EEPROM/t13-EEPROM_read/AvrBuild.bat | 2 + .../EEPROM/t13-EEPROM_read/EEPROM_read.aps | 1 + .../EEPROM/t13-EEPROM_read/EEPROM_read.asm | 49 ++ .../EEPROM/t13-EEPROM_read/EEPROM_read.eep | 2 + .../EEPROM/t13-EEPROM_read/EEPROM_read.map | 283 ++++++++ .../EEPROM/t13-EEPROM_read/eeprom_read.aws | 1 + ASM_OLD/EEPROM/t13-EEPROM_read/labels.tmp | 27 + ASM_OLD/HD44780/lcd_default.asm | 351 ++++++++++ ASM_OLD/HD44780/pokusy/cocacola.asm | 215 ++++++ ASM_OLD/HD44780/pokusy/geocache.asm | 305 +++++++++ ASM_OLD/HD44780/pokusy/krokodyl.asm | 305 +++++++++ ASM_OLD/HD44780/pokusy/krokodyl_real.asm | 311 +++++++++ ASM_OLD/HD44780/pokusy/lcd.asm | 228 +++++++ ASM_OLD/HD44780/pokusy/lcd_default_4row.asm | 380 +++++++++++ .../prijimac 36kHz - modulace/rcvm.asm | 89 +++ .../delic.asm | 66 ++ .../tlac.asm | 63 ++ .../IR insect/switch controlled/brouk-sw.asm | 252 +++++++ .../vysilac 36kHz - modulace/36kHzm.asm | 124 ++++ ASM_OLD/IR insect/vysilac 36kHz/36kHz.asm | 70 ++ ASM_OLD/KOSTKA-nelinearni/a/dice2a.asm | 131 ++++ ASM_OLD/KOSTKA-nelinearni/dice2b.asm | 146 ++++ ASM_OLD/KOSTKA-nelinearni/dice2c.asm | 150 +++++ ASM_OLD/KOSTKA/dice.asm | 111 +++ .../OBSLUHA_PORTU/m16-HAD_leze/AvrBuild.bat | 2 + .../OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.aps | 1 + .../OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.asm | 87 +++ .../OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.map | 621 +++++++++++++++++ .../OBSLUHA_PORTU/m16-HAD_leze/efekty_LED2 | 88 +++ .../OBSLUHA_PORTU/m16-HAD_leze/efekty_led.aws | 1 + ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/labels.tmp | 33 + .../m16_LED_display/AvrBuild.bat | 2 + .../m16_LED_display/LED_display.aps | 1 + .../m16_LED_display/LED_display.asm | 74 ++ .../m16_LED_display/LED_display.map | 613 +++++++++++++++++ .../OBSLUHA_PORTU/m16_LED_display/labels.tmp | 29 + .../m16_LED_display/led_display.aws | 1 + .../OBSLUHA_PORTU/t13-Navesti_vlak/pokus.asm | 53 ++ .../OBSLUHA_PORTU/t13-Navesti_vlak/pokus.map | 287 ++++++++ .../t13-Vstup_button/Vstup_button.asm | 53 ++ ASM_OLD/ONDIS displej 8 R-G ledek/ondis01.asm | 97 +++ ASM_OLD/SETI/01/seti.asm | 549 +++++++++++++++ ASM_OLD/SETI/02/seti2.asm | 597 +++++++++++++++++ ASM_OLD/SETI/03/seti3.asm | 605 +++++++++++++++++ ASM_OLD/SETI/04/seti4.asm | 630 ++++++++++++++++++ .../SETI/seti - pípač pro Punťu/punta.asm | 175 +++++ ASM_OLD/SETI/seti5.asm | 630 ++++++++++++++++++ .../Blikac prolinani 5/bezici-svetlo-prol.asm | 151 +++++ .../Světelné efekty/Blikač 2 led/pokus.asm | 53 ++ .../Světelné efekty/Blikač 2 led/pokus.map | 287 ++++++++ .../Pulzující LED/pulzovani.asm | 100 +++ .../prepinani 12 barev/rgbdrivch12.asm | 179 +++++ .../prepinani 6 barev/rgbdrivch.asm | 133 ++++ .../prepinani 9 barev/rgbdrivch9.asm | 156 +++++ ASM_OLD/bomba/bomba.asm | 493 ++++++++++++++ ASM_OLD/bomba/tmp/bomba.asm | 233 +++++++ ASM_OLD/bomba/tmp/bomba2.asm | 439 ++++++++++++ ASM_OLD/bomba/tmp/bomba2b.asm | 462 +++++++++++++ ASM_OLD/bomba/tmp/bomba_mx.asm | 180 +++++ ASM_OLD/pokusy/slowdown/sldn.asm | 80 +++ .../t13_PASTICKA_morse/t13_PASTICKA_morse.asm | 96 +++ ASM_OLD/timer/citac/timer.asm | 130 ++++ .../3-kanalova simulovana PWM/rgbdriv.asm | 87 +++ .../EEPROM/t13-EEPROM_nahoda/AvrBuild.bat | 2 + .../t13-EEPROM_nahoda/EEPROM_nahoda.aps | 1 + .../t13-EEPROM_nahoda/EEPROM_nahoda.asm | 108 +++ .../t13-EEPROM_nahoda/EEPROM_nahoda.eep | 2 + .../t13-EEPROM_nahoda/EEPROM_nahoda.map | 289 ++++++++ .../t13-EEPROM_nahoda/eeprom_nahoda.aws | 1 + .../EEPROM/t13-EEPROM_nahoda/labels.tmp | 32 + .../EEPROM/t13-EEPROM_read/AvrBuild.bat | 2 + .../EEPROM/t13-EEPROM_read/EEPROM_read.aps | 1 + .../EEPROM/t13-EEPROM_read/EEPROM_read.asm | 49 ++ .../EEPROM/t13-EEPROM_read/EEPROM_read.eep | 2 + .../EEPROM/t13-EEPROM_read/EEPROM_read.map | 283 ++++++++ .../EEPROM/t13-EEPROM_read/eeprom_read.aws | 1 + .../utility/EEPROM/t13-EEPROM_read/labels.tmp | 27 + ASM_OLD/utility/citac/timer.asm | 130 ++++ .../Vstup_button.asm | 53 ++ README.md | 5 + bomb-countdown/main.c | 437 ++++++++++++ bomb-countdown/makefile | 74 ++ dice/Makefile | 69 ++ dice/README.md | 139 ++++ dice/main.c | 281 ++++++++ keyboard-lamp | 1 + pov-led-globe/globe-fixed/Makefile | 153 +++++ pov-led-globe/globe-fixed/image/README.txt | 47 ++ pov-led-globe/globe-fixed/image/butt.h | 321 +++++++++ pov-led-globe/globe-fixed/image/getbytes.py | 73 ++ pov-led-globe/globe-fixed/image/globus.h | 401 +++++++++++ pov-led-globe/globe-fixed/image/logo16.h | 289 ++++++++ pov-led-globe/globe-fixed/image/logo16.xcf | Bin 0 -> 1003 bytes pov-led-globe/globe-fixed/image/logo32.h | 337 ++++++++++ pov-led-globe/globe-fixed/image/logo32.xcf | Bin 0 -> 1293 bytes pov-led-globe/globe-fixed/image/pig.h | 289 ++++++++ pov-led-globe/globe-fixed/image/pig16.h | 31 + pov-led-globe/globe-fixed/image/pig16.xcf | Bin 0 -> 1180 bytes pov-led-globe/globe-fixed/image/snowflake.h | 289 ++++++++ pov-led-globe/globe-fixed/image/snowflake.xcf | Bin 0 -> 849 bytes pov-led-globe/globe-fixed/image_globus32.h | 65 ++ .../globe-fixed/image_globus32_reverse.h | 65 ++ pov-led-globe/globe-fixed/image_logo16.h | 23 + pov-led-globe/globe-fixed/image_logo32.h | 39 ++ pov-led-globe/globe-fixed/image_pig16.h | 23 + pov-led-globe/globe-fixed/image_snowflake16.h | 22 + pov-led-globe/globe-fixed/main.c | 153 +++++ pov-led-globe/globe-fixed/main.c_1 | 153 +++++ pov-led-globe/globe-fixed/utils.h | 14 + pov-led-globe/globe-timed-experimental | 1 + rgb-led-strip/arduino-ws-anim-rainbow | 1 + .../arduino-ws-static-rainbow/Makefile | 158 +++++ .../arduino-ws-static-rainbow/arduino_pins.h | 91 +++ .../arduino-ws-static-rainbow/main.c | 114 ++++ .../arduino-ws-static-rainbow/utils.h | 15 + 132 files changed, 19205 insertions(+), 18 deletions(-) create mode 100644 ASM_OLD/DS1820/RGB teploměr krok 10/ds1820LEDk10.asm create mode 100644 ASM_OLD/DS1820/RGB teploměr krok 20/ds1820LEDk20.asm create mode 100644 ASM_OLD/DS1820/Univerzal OneWire/ds1820u.asm create mode 100755 ASM_OLD/DS1820/schema/PB250219.JPG create mode 100755 ASM_OLD/DS1820/schema/PB250221.JPG create mode 100755 ASM_OLD/DS1820/schema/PB250223.JPG create mode 100755 ASM_OLD/DS1820/schema/obvod.png create mode 100755 ASM_OLD/DS1820/schema/sensor.jpg create mode 100644 ASM_OLD/Detektor tmy s 1 led/tma.asm create mode 100644 ASM_OLD/EEPROM/t13-EEPROM_nahoda/AvrBuild.bat create mode 100644 ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.aps create mode 100644 ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.asm create mode 100644 ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.eep create mode 100644 ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.map create mode 100644 ASM_OLD/EEPROM/t13-EEPROM_nahoda/eeprom_nahoda.aws create mode 100644 ASM_OLD/EEPROM/t13-EEPROM_nahoda/labels.tmp create mode 100644 ASM_OLD/EEPROM/t13-EEPROM_read/AvrBuild.bat create mode 100644 ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.aps create mode 100644 ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.asm create mode 100644 ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.eep create mode 100644 ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.map create mode 100644 ASM_OLD/EEPROM/t13-EEPROM_read/eeprom_read.aws create mode 100644 ASM_OLD/EEPROM/t13-EEPROM_read/labels.tmp create mode 100644 ASM_OLD/HD44780/lcd_default.asm create mode 100644 ASM_OLD/HD44780/pokusy/cocacola.asm create mode 100644 ASM_OLD/HD44780/pokusy/geocache.asm create mode 100644 ASM_OLD/HD44780/pokusy/krokodyl.asm create mode 100644 ASM_OLD/HD44780/pokusy/krokodyl_real.asm create mode 100644 ASM_OLD/HD44780/pokusy/lcd.asm create mode 100644 ASM_OLD/HD44780/pokusy/lcd_default_4row.asm create mode 100644 ASM_OLD/IR insect/prijimac 36kHz - modulace/rcvm.asm create mode 100644 ASM_OLD/IR insect/prijimac 36kHz - ochrana x zakmitum - preklapeni/delic.asm create mode 100644 ASM_OLD/IR insect/prijimac 36kHz - ochrana x zakmitum - prosty/tlac.asm create mode 100644 ASM_OLD/IR insect/switch controlled/brouk-sw.asm create mode 100644 ASM_OLD/IR insect/vysilac 36kHz - modulace/36kHzm.asm create mode 100644 ASM_OLD/IR insect/vysilac 36kHz/36kHz.asm create mode 100644 ASM_OLD/KOSTKA-nelinearni/a/dice2a.asm create mode 100644 ASM_OLD/KOSTKA-nelinearni/dice2b.asm create mode 100644 ASM_OLD/KOSTKA-nelinearni/dice2c.asm create mode 100644 ASM_OLD/KOSTKA/dice.asm create mode 100644 ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/AvrBuild.bat create mode 100644 ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.aps create mode 100644 ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.asm create mode 100644 ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.map create mode 100644 ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED2 create mode 100644 ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_led.aws create mode 100644 ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/labels.tmp create mode 100644 ASM_OLD/OBSLUHA_PORTU/m16_LED_display/AvrBuild.bat create mode 100644 ASM_OLD/OBSLUHA_PORTU/m16_LED_display/LED_display.aps create mode 100644 ASM_OLD/OBSLUHA_PORTU/m16_LED_display/LED_display.asm create mode 100644 ASM_OLD/OBSLUHA_PORTU/m16_LED_display/LED_display.map create mode 100644 ASM_OLD/OBSLUHA_PORTU/m16_LED_display/labels.tmp create mode 100644 ASM_OLD/OBSLUHA_PORTU/m16_LED_display/led_display.aws create mode 100644 ASM_OLD/OBSLUHA_PORTU/t13-Navesti_vlak/pokus.asm create mode 100644 ASM_OLD/OBSLUHA_PORTU/t13-Navesti_vlak/pokus.map create mode 100644 ASM_OLD/OBSLUHA_PORTU/t13-Vstup_button/Vstup_button.asm create mode 100644 ASM_OLD/ONDIS displej 8 R-G ledek/ondis01.asm create mode 100644 ASM_OLD/SETI/01/seti.asm create mode 100644 ASM_OLD/SETI/02/seti2.asm create mode 100644 ASM_OLD/SETI/03/seti3.asm create mode 100644 ASM_OLD/SETI/04/seti4.asm create mode 100644 ASM_OLD/SETI/seti - pípač pro Punťu/punta.asm create mode 100644 ASM_OLD/SETI/seti5.asm create mode 100644 ASM_OLD/Světelné efekty/Blikac prolinani 5/bezici-svetlo-prol.asm create mode 100644 ASM_OLD/Světelné efekty/Blikač 2 led/pokus.asm create mode 100644 ASM_OLD/Světelné efekty/Blikač 2 led/pokus.map create mode 100644 ASM_OLD/Světelné efekty/Pulzující LED/pulzovani.asm create mode 100644 ASM_OLD/Světelné efekty/RGB LED efekty/prepinani nekolika odstinu/prepinani 12 barev/rgbdrivch12.asm create mode 100644 ASM_OLD/Světelné efekty/RGB LED efekty/prepinani nekolika odstinu/prepinani 6 barev/rgbdrivch.asm create mode 100644 ASM_OLD/Světelné efekty/RGB LED efekty/prepinani nekolika odstinu/prepinani 9 barev/rgbdrivch9.asm create mode 100644 ASM_OLD/bomba/bomba.asm create mode 100644 ASM_OLD/bomba/tmp/bomba.asm create mode 100644 ASM_OLD/bomba/tmp/bomba2.asm create mode 100644 ASM_OLD/bomba/tmp/bomba2b.asm create mode 100644 ASM_OLD/bomba/tmp/bomba_mx.asm create mode 100644 ASM_OLD/pokusy/slowdown/sldn.asm create mode 100644 ASM_OLD/t13_PASTICKA_morse/t13_PASTICKA_morse.asm create mode 100644 ASM_OLD/timer/citac/timer.asm create mode 100644 ASM_OLD/utility/3-kanalova simulovana PWM/rgbdriv.asm create mode 100644 ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/AvrBuild.bat create mode 100644 ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.aps create mode 100644 ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.asm create mode 100644 ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.eep create mode 100644 ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.map create mode 100644 ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/eeprom_nahoda.aws create mode 100644 ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/labels.tmp create mode 100644 ASM_OLD/utility/EEPROM/t13-EEPROM_read/AvrBuild.bat create mode 100644 ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.aps create mode 100644 ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.asm create mode 100644 ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.eep create mode 100644 ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.map create mode 100644 ASM_OLD/utility/EEPROM/t13-EEPROM_read/eeprom_read.aws create mode 100644 ASM_OLD/utility/EEPROM/t13-EEPROM_read/labels.tmp create mode 100644 ASM_OLD/utility/citac/timer.asm create mode 100644 ASM_OLD/utility/osetreni zakmitu tlacitek/Vstup_button.asm create mode 100644 README.md create mode 100644 bomb-countdown/main.c create mode 100644 bomb-countdown/makefile create mode 100644 dice/Makefile create mode 100644 dice/README.md create mode 100644 dice/main.c create mode 160000 keyboard-lamp create mode 100644 pov-led-globe/globe-fixed/Makefile create mode 100644 pov-led-globe/globe-fixed/image/README.txt create mode 100644 pov-led-globe/globe-fixed/image/butt.h create mode 100755 pov-led-globe/globe-fixed/image/getbytes.py create mode 100644 pov-led-globe/globe-fixed/image/globus.h create mode 100644 pov-led-globe/globe-fixed/image/logo16.h create mode 100644 pov-led-globe/globe-fixed/image/logo16.xcf create mode 100644 pov-led-globe/globe-fixed/image/logo32.h create mode 100644 pov-led-globe/globe-fixed/image/logo32.xcf create mode 100644 pov-led-globe/globe-fixed/image/pig.h create mode 100644 pov-led-globe/globe-fixed/image/pig16.h create mode 100644 pov-led-globe/globe-fixed/image/pig16.xcf create mode 100644 pov-led-globe/globe-fixed/image/snowflake.h create mode 100644 pov-led-globe/globe-fixed/image/snowflake.xcf create mode 100644 pov-led-globe/globe-fixed/image_globus32.h create mode 100644 pov-led-globe/globe-fixed/image_globus32_reverse.h create mode 100644 pov-led-globe/globe-fixed/image_logo16.h create mode 100644 pov-led-globe/globe-fixed/image_logo32.h create mode 100644 pov-led-globe/globe-fixed/image_pig16.h create mode 100644 pov-led-globe/globe-fixed/image_snowflake16.h create mode 100644 pov-led-globe/globe-fixed/main.c create mode 100644 pov-led-globe/globe-fixed/main.c_1 create mode 100644 pov-led-globe/globe-fixed/utils.h create mode 160000 pov-led-globe/globe-timed-experimental create mode 160000 rgb-led-strip/arduino-ws-anim-rainbow create mode 100644 rgb-led-strip/arduino-ws-static-rainbow/Makefile create mode 100644 rgb-led-strip/arduino-ws-static-rainbow/arduino_pins.h create mode 100644 rgb-led-strip/arduino-ws-static-rainbow/main.c create mode 100644 rgb-led-strip/arduino-ws-static-rainbow/utils.h diff --git a/.gitignore b/.gitignore index edf6645..495e4cd 100644 --- a/.gitignore +++ b/.gitignore @@ -1,29 +1,14 @@ -# Object files *.o *.ko *.obj *.elf - -# Precompiled Headers -*.gch -*.pch - -# Libraries +*.lst +*~ +*.bak *.lib *.a *.la *.lo - -# Shared objects (inc. Windows DLLs) -*.dll *.so -*.so.* -*.dylib - -# Executables -*.exe *.out -*.app -*.i*86 -*.x86_64 *.hex diff --git a/ASM_OLD/DS1820/RGB teploměr krok 10/ds1820LEDk10.asm b/ASM_OLD/DS1820/RGB teploměr krok 10/ds1820LEDk10.asm new file mode 100644 index 0000000..812f388 --- /dev/null +++ b/ASM_OLD/DS1820/RGB teploměr krok 10/ds1820LEDk10.asm @@ -0,0 +1,517 @@ +.DEVICE ATtiny13 +.LIST +.LISTMAC +;běží na 9.6MHz, ckdiv8=0 (zapnuto) +;avrdude příkaz pro FUSEs: -U lfuse:w:0x6a:m -U hfuse:w:0xff:m +;LFUSE: 0x6A +;HFUSE: 0xFF + +;aliasy pointerů +.def ZH = r31 +.def ZL = r30 +.def YH = r29 +.def YL = r28 +.def XH = r27 +.def XL = r26 + + + + +.def ARG = r20 ;registr pro předání hodnoty rutinám + +;počátek paměti SRAM pro uložení přečtené teploty (9 bajtů) +.equ SRAM_t_H = 0x00 +.equ SRAM_t_L = 0x00 + +;komunikační kanál pro ds1820 +.equ PORTn = PORTB +.equ PINn = PINB +.equ DDRn = DDRB +.equ n = 0 ;pin pro komunikaci s DS1820 + +; Č A S O V Á N Í +;délka 1 cyklu = T = 1/f +; tXXXu = [XXX / (4*T)] - 2 +; (ldi, rcall a ret zabere 8 cyklů) +; nejnižší hodnota je 1 ... 12T čekání +; attiny13@9.6MHz+CKDIV8...T=0.83333us +.equ t480u = 142 +.equ t410u = 120 +.equ t70u = 19 +.equ t64u = 17 +.equ t60u = 16 +.equ t54u = 14 +.equ t10u = 1 + +;registry pro CRC rutinu +.def rChar = r19 +.def rCount = r18 ;počet bajtů +.def rBitCount = r17 +.def rTemp = r16 +.def rCRC8 = r21 ;výsledek (musí být jiný než ARG) + +.def R = r4 +.def G = r5 +.def B = r6 +.def tL = r2 +.def tH = r3 + +;6us je obvykle moc málo pro volání DELAY +.MACRO t6uDELAY + ldi r16,2 ;pro attiny13@9.6MHz+CKDIV8 je to 2 x 4T = 8T = 8 x 0.83333us = 6us čekání +t6u: nop ;pozor! některé překladače labely v makrech nepodporují! + dec r16 + brne t6u +.ENDMACRO + +;ovládání sběrnice +.MACRO BUS_L + cbi PORTn,n + sbi DDRn,n ;pin n výstupní, výstup 0 +.ENDMACRO + +.MACRO BUS_H + sbi PORTn,n + cbi DDRn,n ;pin n vstupní, pullup zapnutý (+ doporučeno 4.7k externí pullup) +.ENDMACRO + +.MACRO RGB_OFF + cbi PORTB,1 + cbi PORTB,2 + cbi PORTB,3 +.ENDMACRO + +.MACRO RGB_ON + sbi PORTB,1 + sbi PORTB,2 + sbi PORTB,3 +.ENDMACRO + +.MACRO PWM_CYCLE + ldi r17,@0 ;1 +PWM0: RGB_OFF ; 6 + ldi r16,40 ; 1 +PWM1: cp r16,R ; 1 + brne PWM2 ; 1/2 + sbi PORTB,1 ; 2 +PWM2: cp r16,G ; 1 + brne PWM3 ; 1/2 + sbi PORTB,2 ; 2 +PWM3: cp r16,B ; 1 + brne PWM4 ; 1/2 + sbi PORTB,3 ; 2 +PWM4: dec r16 ; 1 + brne PWM1 ; 1/2 (805)*r17+6 + dec r17 ; 1 + brne PWM0 ; 1/2 + RGB_OFF ;6 +.ENDMACRO + + + + + +; Z A C A T E K P R O G R A M U +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + + +; začátek kódu +.org 0x0010 +RESET: ldi r16,low(RAMEND) ;nastaví stack pointer + out SPL,r16 + + ldi r16,0b00011111 ;směr portu B - vše na výstup. Směr pinu n se bude měnit za chodu + out DDRB,r16 + ldi r16,0b00000000 ;RGB=0b000, ledka zhasnuta + out PORTB,r16 + +;tělo programu + clr R + clr G + clr B +MainLoop: + rcall GET_T ;teplota do SRAM 0x00-0x08 + + cpi ARG, 0 + brne MainLoop + + ;výpočet barvy + ldi ZH,SRAM_t_H ;počátek řetězce přijatých bajtů do Z + ldi ZL,SRAM_t_L + ld tL,Z+ ;načíst teplotu z paměti + ld tH,Z + ldi r16,40 + mov R,r16 ;defaultní barva - bílá, 40 40 40 + mov G,r16 + mov B,r16 + ldi r16,0 + cpse tH,r16 ;pokud tH != 0x00, skoč na mínus + rjmp MINUS +;PLUS nebo NULA + ldi r16,21 ;tL >= 10.5? --> c10_20 + cp tL,r16 + brge c10_20 + ;0-blue,10-white + clr R + clr G + mov r16,tL + lsl r16 + mov R,r16 + mov G,r16 + rjmp C_DONE + +c10_20: ;10-white,20-yellow + ldi r16,41 ;tL >= 20.5? --> c20_30 + cp tL,r16 + brge c20_30 + + mov r16,tL + subi r16,20 + lsl r16 + sub B,r16 + rjmp C_DONE + +c20_30: ;20-yellow,30-red + ldi r16,61 ;tL >= 30.5? --> c30_40 + cp tL,r16 + brge c30_40 + clr B + mov r16,tL + subi r16,40 + lsl r16 + sub G, r16 + rjmp C_DONE + +c30_40: ;30-red, 40-purple + ldi r16,81 ;tL >= 40.5? --> c40_50 + cp tL,r16 + brge c40_50 + clr B + clr G + mov r16,tL + subi r16,60 + lsl r16 + add B, r16 + rjmp C_DONE + +c40_50: ;40-purple, 50-"UV" + ldi r16,101 ;tL >= 50.5? --> c50_hi + cp tL,r16 + brge c50_hi + clr G + mov r16,tL + subi r16,80 + sub R, r16 + rjmp C_DONE + +c50_hi: + clr G + ldi r16,20 + mov R,r16 + rjmp C_DONE + +MINUS: + neg tL + ldi r16,21 ;-tL >= 10.5? --> cm10_20 + cp tL,r16 + brge cm10_20 + mov r16,tL + lsl r16 + clr R + clr G + add G,r16 + rjmp C_DONE + +cm10_20: + ldi r16,41 ;-tL >= 20? --> cm20_lo + cp tL,r16 + brge cm20_lo + clr R + mov r16,tL + subi r16,20 + lsl r16 + sub B,r16 + rjmp C_DONE + +cm20_lo: + clr R ;-tL >= 20? --> cm40_lo + clr B + rjmp C_DONE + +C_DONE: + +; mov r16,R +; ldi r17,11 +; rcall EEwrite +; mov r16,G +; ldi r17,12 +; rcall EEwrite +; mov r16,B +; ldi r17,13 +; rcall EEwrite + +; ldi ZH, SRAM_t_H +; ldi ZL, SRAM_t_L +;NextEE: ld r16,Z +; mov r17, ZL +; rcall EEwrite +; inc ZL +; cpi ZL,2 +; brlo NextEE + + PWM_CYCLE 255 + + rjmp MainLoop + + + + + +;G E T T E M P E R A T U R E +;Přečte 9 bajtů z teplotního čidla na pinu n (PINn, PORTn, DDRn) a uloží je do SRAM na adresu 0x00 až 0x08. +;status je uložen do ARG (ARG == 0 -> CRC se shoduje, OK; ARG != 0 -> CRC se neshoduje, chyba přenosu) +; +;SRAM po vykonání procedury GET_T: +; 0x00 temperature low byte - unit: 0.5°C +; 0x01 temperature high byte (sign, 0x00 = positive, 0xff = negative) +; 0x02 "user byte 1" +; 0x03 "user byte 2" +; 0x04 reserved, 0xFF +; 0x05 reserved, 0xFF +; 0x06 count remain (default 0x0C) +; 0x07 count per °C (fixed to 0x10) +; 0x08 CRC +;celá čtecí rutina trvá obvykle asi 550ms, max je asi 750ms. Během rutiny "W4C" může být prováděno něco jiného s pevnou délkou 700ms. +GET_T: + push r17 ;ulož používané registry do STACKu + + rcall TxRESET ;RESET + ldi ARG,0xCC ;SKIP_ROM + rcall TxBYTE + ldi ARG,0x44 ;CONVERT_T + rcall TxBYTE + rcall W4C ;čekej na konverzi teploty + rcall TxRESET ;RESET + ldi ARG,0xCC ;SKIP_ROM + rcall TxBYTE + ldi ARG,0xBE ;READ_SCRATCHPAD + rcall TxBYTE + + ;přijmout 9 bajtů, uložit na 0x00 až 0x09 v SRAM + ldi ZH, SRAM_t_H + ldi ZL, SRAM_t_L +NEXT_B: rcall RxBYTE ;přijmi 1 bajt -> ARG + +N_B3: st Z+,ARG ;do SRAM na adresu Z ulož výsledek. Z++ + cpi ZL,9 ;je Z == 9? + brlo NEXT_B ;je-li menší, čti další bajt + + ;vypočítat CRC, pokud se shoduje s přečtenou hodnotou, r16=1, jinak r16=0 + ldi XH,SRAM_t_H + ldi XL,SRAM_t_L + ldi rCount,9 + rcall CRC8 + mov ARG,rCRC8 + + pop r17 + ret ;návrat, ARG==0 .. ok, ARG!=0 .. error + + +;D E L A Y +; r16 = d = poček čtyřkloků zmenšený o 2 (dva čtyřkloly se vykonají při nastavení r16 pomocí LDI (1T), RCALL (3T) a RET (4T)) +DELAY: nop + dec r16 + brne DELAY + nop + ret ; po návratu je r16 = 0 + + + +;R E S E T P U L S E +TxRESET: + BUS_L ;stáhni sběrnici + + PWM_CYCLE 1 + ;ldi r16,t480u + ;rcall DELAY ;480us čekání + + BUS_H ;uvolni sběrnici + + ldi r16,t70u + rcall DELAY ;70us čekání + + sbic PINn,n + rjmp TxRESET ;není-li presence pulse, zopakuj TxRESET + + ;ldi r16,t410u + ;rcall DELAY ;410T čekání + PWM_CYCLE 1 + + ret ;návrat + + +;T R A N S M I T B Y T E +; odešlou se data z ARG +TxBYTE: ldi r17,8 ;čítač bytů = 8 +;nový bit +TxNB: lsr ARG ;nový bit; rotovat ARG: ARG.0 -> C + brcs Tx1 ;jednička - skok na Tx1, jinak pokračuj + + ;-- Odeslání 0 -- + BUS_L ;stáhni sběrnici + + ldi r16,t60u + rcall DELAY ;60us čekání + + BUS_H ;uvolni sběrnici + + ldi r16,t10u + rcall DELAY ;10us čekání + + rjmp TxLOOP ;skok na konec rutiny + + ;-- Odeslání 1 -- +Tx1: BUS_L ;stáhni sběrnici + + t6uDELAY ;6us čekání + + BUS_H ;uvolni sběrnici + + ldi r16,t64u + rcall DELAY ;64us čekání + +TxLOOP: dec r17 ;odečti 1 od čítače bitů + brne TxNB ;zbývají bity? ano -> TxNB + ret ;návrat + + +;R E C E I V E B Y T E +; do ARG se uloží přečtený byte +RxBYTE: ldi r17,8 ;čítač bitů + clr ARG ;vymaž ARG +;nový bit +RxNB: rcall RxBIT + + ror ARG ;rotuj ARG C[=PINB.n] -> ARG.7, ARG.0 -> C + dec r17 ;odečti 1 od čítače bitů + brne RxNB ;zbývají bity? ano -> RxNB + ret ;návrat + + +;R E C E I V E S I N G L E B I T +RxBIT: BUS_L ;stáhni sběrnici + + t6uDELAY ;6us čekání + + BUS_H ;uvolni sběrnici + + ldi r16,t10u + rcall DELAY ;10us čekání + + sbic PINn,n ;PINB.n -> C + sec + sbis PINn,n + clc + + ldi r16,t54u + rcall DELAY ;54us čekání + ret ;návrat + + +;Č E K Á N Í N A D O K O N Č E N Í K O N V E R Z E +;čekání na dokončení konverze teploty (nelze použít v parazitním režimu, v tom je třeba čekat 700ms.) +W4C: BUS_H +;až 700ms dlouhá čekací smyčka +W4C0: ldi r18,5 +W4C1: PWM_CYCLE 200 + dec r18 + brne W4C1 + + rcall RxBIT ;přijmi bit do C. + brcc W4C0 ;C=0 -> čekej dál + + ret ;návrat + + +;V Ý P O Č E T C R C +;XH,XL,rCount --> rCRC8 +CRC8: push XH ;save XH + push XL ;save XL + push rChar ;save rChar + push rCount ;save rCount + push rBitCount ;save rBitCount + push rTemp ;save rTemp + + clr rCRC8 ;start with a zero CRC-8 + + ;begin loop to do each byte in the string +CRC8BYT: + ld rChar,X+ ;fetch next string byte and bump pointer + ldi rBitCount,8 ;load the bit-counter for this byte + + ;begin loop to do each bit in the byte +CRC8BIT: + mov rTemp,rChar ;get a temporary copy of current data + eor rTemp,rCRC8 ;XOR the data byte with the current CRC + lsr rCRC8 ;position to the new CRC + lsr rChar ;position to next bit of this byte + lsr rTemp ;get low bit of old result into c-bit + brcc CRC8NA ;br if low bit was clear (no adjustment) + ldi rTemp,$8C ;magical value needed for CRC-8s + eor rCRC8,rTemp ;fold in the magic CRC8 value +CRC8NA: + dec rBitCount ;count the previous bit done + brne CRC8BIT ;br if not done all bits in this byte + ;end loop to do each bit in the byte + + dec rCount ;count this byte done + brne CRC8BYT ;br if not done all bytes in the string + ;end loop to do each byte in the string + + pop rTemp ;restore rTemp + pop rBitCount ;restore rBitCount + pop rCount ;restore rCount + pop rChar ;restore rChar + pop XL ;restore XL + pop XH ;restore XH + ret ;return to caller +; +;END OF SUBROUTINE CRC8 + + + + + + + + +;cteni z EEPROM, v [r17 je ADRESA], v (r16 se objeví DATA) +;EEread: +; sbic EECR,1 +; rjmp EEread ;cekani dokud neni EEPROM ready +; +; out EEARL, r17 ;ulozit adresu z r17 +; sbi EECR,0 ;nastavenim EERE zacina cteni +; in r16,EEDR ;cteni dat z EEDR do r16 +; +; ret + + +;zapis do EEPROM, v [R17 je ADRESA], v [R16 jsou DATA] +EEwrite: + sbic EECR,EEPE + rjmp EEwrite ;cekani dokud neni EEPROM ready + + cbi EECR,EEPM1 ;nastavit programovaci mod + cbi EECR,EEPM0 + + out EEARL, r17 ;dej adresu z r17 do EEARL + out EEDR, r16 ;dej data z r16 do EEDR + sbi EECR,EEMPE ;master program enable + sbi EECR,EEPE ;program enable + + ret + + + diff --git a/ASM_OLD/DS1820/RGB teploměr krok 20/ds1820LEDk20.asm b/ASM_OLD/DS1820/RGB teploměr krok 20/ds1820LEDk20.asm new file mode 100644 index 0000000..cb794b6 --- /dev/null +++ b/ASM_OLD/DS1820/RGB teploměr krok 20/ds1820LEDk20.asm @@ -0,0 +1,496 @@ +.DEVICE ATtiny13 +.LIST +.LISTMAC +;běží na 9.6MHz, ckdiv8=0 (zapnuto) +;avrdude příkaz pro FUSEs: -U lfuse:w:0x6a:m -U hfuse:w:0xff:m +;LFUSE: 0x6A +;HFUSE: 0xFF + +;aliasy pointerů +.def ZH = r31 +.def ZL = r30 +.def YH = r29 +.def YL = r28 +.def XH = r27 +.def XL = r26 + + + + +.def ARG = r20 ;registr pro předání hodnoty rutinám + +;počátek paměti SRAM pro uložení přečtené teploty (9 bajtů) +.equ SRAM_t_H = 0x00 +.equ SRAM_t_L = 0x00 + +;komunikační kanál pro ds1820 +.equ PORTn = PORTB +.equ PINn = PINB +.equ DDRn = DDRB +.equ n = 0 ;pin pro komunikaci s DS1820 + +; Č A S O V Á N Í +;délka 1 cyklu = T = 1/f +; tXXXu = [XXX / (4*T)] - 2 +; (ldi, rcall a ret zabere 8 cyklů) +; nejnižší hodnota je 1 ... 12T čekání +; attiny13@9.6MHz+CKDIV8...T=0.83333us +.equ t480u = 142 +.equ t410u = 120 +.equ t70u = 19 +.equ t64u = 17 +.equ t60u = 16 +.equ t54u = 14 +.equ t10u = 1 + +;registry pro CRC rutinu +.def rChar = r19 +.def rCount = r18 ;počet bajtů +.def rBitCount = r17 +.def rTemp = r16 +.def rCRC8 = r21 ;výsledek (musí být jiný než ARG) + +.def R = r4 +.def G = r5 +.def B = r6 +.def tL = r2 +.def tH = r3 + +;6us je obvykle moc málo pro volání DELAY +.MACRO t6uDELAY + ldi r16,2 ;pro attiny13@9.6MHz+CKDIV8 je to 2 x 4T = 8T = 8 x 0.83333us = 6us čekání +t6u: nop ;pozor! některé překladače labely v makrech nepodporují! + dec r16 + brne t6u +.ENDMACRO + +;ovládání sběrnice +.MACRO BUS_L + cbi PORTn,n + sbi DDRn,n ;pin n výstupní, výstup 0 +.ENDMACRO + +.MACRO BUS_H + sbi PORTn,n + cbi DDRn,n ;pin n vstupní, pullup zapnutý (+ doporučeno 4.7k externí pullup) +.ENDMACRO + +.MACRO RGB_OFF + cbi PORTB,1 + cbi PORTB,2 + cbi PORTB,3 +.ENDMACRO + +.MACRO RGB_ON + sbi PORTB,1 + sbi PORTB,2 + sbi PORTB,3 +.ENDMACRO + +.MACRO PWM_CYCLE + ldi r17,@0 ;1 +PWM0: RGB_OFF ; 6 + ldi r16,40 ; 1 +PWM1: cp r16,R ; 1 + brne PWM2 ; 1/2 + sbi PORTB,1 ; 2 +PWM2: cp r16,G ; 1 + brne PWM3 ; 1/2 + sbi PORTB,2 ; 2 +PWM3: cp r16,B ; 1 + brne PWM4 ; 1/2 + sbi PORTB,3 ; 2 +PWM4: dec r16 ; 1 + brne PWM1 ; 1/2 (805)*r17+6 + dec r17 ; 1 + brne PWM0 ; 1/2 + RGB_OFF ;6 +.ENDMACRO + + + + + +; Z A C A T E K P R O G R A M U +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + + +; začátek kódu +.org 0x0010 +RESET: ldi r16,low(RAMEND) ;nastaví stack pointer + out SPL,r16 + + ldi r16,0b00011111 ;směr portu B - vše na výstup. Směr pinu n se bude měnit za chodu + out DDRB,r16 + ldi r16,0b00000000 ;RGB=0b000, ledka zhasnuta + out PORTB,r16 + +;tělo programu + clr R + clr G + clr B +MainLoop: + rcall GET_T ;teplota do SRAM 0x00-0x08 + + cpi ARG, 0 + brne MainLoop + +; ldi ZH, SRAM_t_H +; ldi ZL, SRAM_t_L +;NextEE: ld r16,Z +; mov r17, ZL +; rcall EEwrite +; inc ZL +; cpi ZL,2 +; brlo NextEE + + ;výpočet barvy + ldi ZH,SRAM_t_H ;počátek řetězce přijatých bajtů do Z + ldi ZL,SRAM_t_L + ld tL,Z+ ;načíst teplotu z paměti + ld tH,Z + ldi r16,40 + mov R,r16 ;defaultní barva - bílá, 40 40 40 + mov G,r16 + mov B,r16 + ldi r16,0 + cpse tH,r16 ;pokud tH != 0x00, skoč na mínus + rjmp MINUS +;PLUS nebo NULA + ldi r16,41 ;tL >= 20.5? --> c20_40 + cp tL,r16 + brge c20_40 + sub B,tL + rjmp C_DONE + +c20_40: + ldi r16,81 ;tL >= 40.5? --> c40_60 + cp tL,r16 + brge c40_60 + + clr B + mov r16,tL + subi r16,40 + sub G,r16 + rjmp C_DONE + +c40_60: + ldi r16,121 ;tL >= 60.5? --> c60_hi + cp tL,r16 + brge c60_hi + clr G + clr B + mov r16,tL + subi r16,80 + add B, r16 + rjmp C_DONE + +c60_hi: + clr G + rjmp C_DONE + +MINUS: + neg tL + ldi r16,21 ;-tL >= 10.5? --> cm10_20 + cp tL,r16 + brge cm10_20 + mov r16,tL + lsl r16 + sub R,r16 + sub G,r16 + rjmp C_DONE + +cm10_20: + ldi r16,41 ;-tL >= 20? --> cm20_40 + cp tL,r16 + brge cm20_40 + clr R + mov r16,tL + subi r16,20 + lsl r16 + mov G,r16 + rjmp C_DONE + +cm20_40: + ldi r16,81 ;-tL >= 20? --> cm40_lo + cp tL,r16 + brge cm40_lo + clr R + mov r16,tL + subi r16,40 + sub B,r16 + rjmp C_DONE + +cm40_lo: + clr R + clr B + rjmp C_DONE + +C_DONE: + +; mov r16,R +; ldi r17,11 +; rcall EEwrite +; mov r16,G +; ldi r17,12 +; rcall EEwrite +; mov r16,B +; ldi r17,13 +; rcall EEwrite + + PWM_CYCLE 255 + + rjmp MainLoop + + + + + +;G E T T E M P E R A T U R E +;Přečte 9 bajtů z teplotního čidla na pinu n (PINn, PORTn, DDRn) a uloží je do SRAM na adresu 0x00 až 0x08. +;status je uložen do ARG (ARG == 0 -> CRC se shoduje, OK; ARG != 0 -> CRC se neshoduje, chyba přenosu) +; +;SRAM po vykonání procedury GET_T: +; 0x00 temperature low byte - unit: 0.5°C +; 0x01 temperature high byte (sign, 0x00 = positive, 0xff = negative) +; 0x02 "user byte 1" +; 0x03 "user byte 2" +; 0x04 reserved, 0xFF +; 0x05 reserved, 0xFF +; 0x06 count remain (default 0x0C) +; 0x07 count per °C (fixed to 0x10) +; 0x08 CRC +;celá čtecí rutina trvá obvykle asi 550ms, max je asi 750ms. Během rutiny "W4C" může být prováděno něco jiného s pevnou délkou 700ms. +GET_T: + push r17 ;ulož používané registry do STACKu + + rcall TxRESET ;RESET + ldi ARG,0xCC ;SKIP_ROM + rcall TxBYTE + ldi ARG,0x44 ;CONVERT_T + rcall TxBYTE + rcall W4C ;čekej na konverzi teploty + rcall TxRESET ;RESET + ldi ARG,0xCC ;SKIP_ROM + rcall TxBYTE + ldi ARG,0xBE ;READ_SCRATCHPAD + rcall TxBYTE + + ;přijmout 9 bajtů, uložit na 0x00 až 0x09 v SRAM + ldi ZH, SRAM_t_H + ldi ZL, SRAM_t_L +NEXT_B: rcall RxBYTE ;přijmi 1 bajt -> ARG + +N_B3: st Z+,ARG ;do SRAM na adresu Z ulož výsledek. Z++ + cpi ZL,9 ;je Z == 9? + brlo NEXT_B ;je-li menší, čti další bajt + + ;vypočítat CRC, pokud se shoduje s přečtenou hodnotou, r16=1, jinak r16=0 + ldi XH,SRAM_t_H + ldi XL,SRAM_t_L + ldi rCount,9 + rcall CRC8 + mov ARG,rCRC8 + + pop r17 + ret ;návrat, ARG==0 .. ok, ARG!=0 .. error + + +;D E L A Y +; r16 = d = poček čtyřkloků zmenšený o 2 (dva čtyřkloly se vykonají při nastavení r16 pomocí LDI (1T), RCALL (3T) a RET (4T)) +DELAY: nop + dec r16 + brne DELAY + nop + ret ; po návratu je r16 = 0 + + + +;R E S E T P U L S E +TxRESET: + BUS_L ;stáhni sběrnici + + PWM_CYCLE 1 + ;ldi r16,t480u + ;rcall DELAY ;480us čekání + + BUS_H ;uvolni sběrnici + + ldi r16,t70u + rcall DELAY ;70us čekání + + sbic PINn,n + rjmp TxRESET ;není-li presence pulse, zopakuj TxRESET + + ;ldi r16,t410u + ;rcall DELAY ;410T čekání + PWM_CYCLE 1 + + ret ;návrat + + +;T R A N S M I T B Y T E +; odešlou se data z ARG +TxBYTE: ldi r17,8 ;čítač bytů = 8 +;nový bit +TxNB: lsr ARG ;nový bit; rotovat ARG: ARG.0 -> C + brcs Tx1 ;jednička - skok na Tx1, jinak pokračuj + + ;-- Odeslání 0 -- + BUS_L ;stáhni sběrnici + + ldi r16,t60u + rcall DELAY ;60us čekání + + BUS_H ;uvolni sběrnici + + ldi r16,t10u + rcall DELAY ;10us čekání + + rjmp TxLOOP ;skok na konec rutiny + + ;-- Odeslání 1 -- +Tx1: BUS_L ;stáhni sběrnici + + t6uDELAY ;6us čekání + + BUS_H ;uvolni sběrnici + + ldi r16,t64u + rcall DELAY ;64us čekání + +TxLOOP: dec r17 ;odečti 1 od čítače bitů + brne TxNB ;zbývají bity? ano -> TxNB + ret ;návrat + + +;R E C E I V E B Y T E +; do ARG se uloží přečtený byte +RxBYTE: ldi r17,8 ;čítač bitů + clr ARG ;vymaž ARG +;nový bit +RxNB: rcall RxBIT + + ror ARG ;rotuj ARG C[=PINB.n] -> ARG.7, ARG.0 -> C + dec r17 ;odečti 1 od čítače bitů + brne RxNB ;zbývají bity? ano -> RxNB + ret ;návrat + + +;R E C E I V E S I N G L E B I T +RxBIT: BUS_L ;stáhni sběrnici + + t6uDELAY ;6us čekání + + BUS_H ;uvolni sběrnici + + ldi r16,t10u + rcall DELAY ;10us čekání + + sbic PINn,n ;PINB.n -> C + sec + sbis PINn,n + clc + + ldi r16,t54u + rcall DELAY ;54us čekání + ret ;návrat + + +;Č E K Á N Í N A D O K O N Č E N Í K O N V E R Z E +;čekání na dokončení konverze teploty (nelze použít v parazitním režimu, v tom je třeba čekat 700ms.) +W4C: BUS_H +;až 700ms dlouhá čekací smyčka +W4C0: ldi r18,5 +W4C1: PWM_CYCLE 200 + dec r18 + brne W4C1 + + rcall RxBIT ;přijmi bit do C. + brcc W4C0 ;C=0 -> čekej dál + + ret ;návrat + + +;V Ý P O Č E T C R C +;XH,XL,rCount --> rCRC8 +CRC8: push XH ;save XH + push XL ;save XL + push rChar ;save rChar + push rCount ;save rCount + push rBitCount ;save rBitCount + push rTemp ;save rTemp + + clr rCRC8 ;start with a zero CRC-8 + + ;begin loop to do each byte in the string +CRC8BYT: + ld rChar,X+ ;fetch next string byte and bump pointer + ldi rBitCount,8 ;load the bit-counter for this byte + + ;begin loop to do each bit in the byte +CRC8BIT: + mov rTemp,rChar ;get a temporary copy of current data + eor rTemp,rCRC8 ;XOR the data byte with the current CRC + lsr rCRC8 ;position to the new CRC + lsr rChar ;position to next bit of this byte + lsr rTemp ;get low bit of old result into c-bit + brcc CRC8NA ;br if low bit was clear (no adjustment) + ldi rTemp,$8C ;magical value needed for CRC-8s + eor rCRC8,rTemp ;fold in the magic CRC8 value +CRC8NA: + dec rBitCount ;count the previous bit done + brne CRC8BIT ;br if not done all bits in this byte + ;end loop to do each bit in the byte + + dec rCount ;count this byte done + brne CRC8BYT ;br if not done all bytes in the string + ;end loop to do each byte in the string + + pop rTemp ;restore rTemp + pop rBitCount ;restore rBitCount + pop rCount ;restore rCount + pop rChar ;restore rChar + pop XL ;restore XL + pop XH ;restore XH + ret ;return to caller +; +;END OF SUBROUTINE CRC8 + + + + + + + + +;cteni z EEPROM, v [r17 je ADRESA], v (r16 se objeví DATA) +;EEread: +; sbic EECR,1 +; rjmp EEread ;cekani dokud neni EEPROM ready +; +; out EEARL, r17 ;ulozit adresu z r17 +; sbi EECR,0 ;nastavenim EERE zacina cteni +; in r16,EEDR ;cteni dat z EEDR do r16 +; +; ret + + +;zapis do EEPROM, v [R17 je ADRESA], v [R16 jsou DATA] +EEwrite: + sbic EECR,EEPE + rjmp EEwrite ;cekani dokud neni EEPROM ready + + cbi EECR,EEPM1 ;nastavit programovaci mod + cbi EECR,EEPM0 + + out EEARL, r17 ;dej adresu z r17 do EEARL + out EEDR, r16 ;dej data z r16 do EEDR + sbi EECR,EEMPE ;master program enable + sbi EECR,EEPE ;program enable + + ret + + + diff --git a/ASM_OLD/DS1820/Univerzal OneWire/ds1820u.asm b/ASM_OLD/DS1820/Univerzal OneWire/ds1820u.asm new file mode 100644 index 0000000..5622dba --- /dev/null +++ b/ASM_OLD/DS1820/Univerzal OneWire/ds1820u.asm @@ -0,0 +1,354 @@ +.DEVICE ATtiny13 +.LIST +.LISTMAC +;běží na 9.6MHz, ckdiv8=0 (zapnuto) +;avrdude příkaz pro FUSEs: -U lfuse:w:0x6a:m -U hfuse:w:0xff:m +;LFUSE: 0x6A +;HFUSE: 0xFF + +;aliasy pointerů +.def ZH = r31 +.def ZL = r30 +.def YH = r29 +.def YL = r28 +.def XH = r27 +.def XL = r26 + + + + +.def ARG = r20 ;registr pro předání hodnoty rutinám + +;počátek paměti SRAM pro uložení přečtené teploty (9 bajtů) +.equ SRAM_t_H = 0x00 +.equ SRAM_t_L = 0x00 + +;komunikační kanál pro ds1820 +.equ PORTn = PORTB +.equ PINn = PINB +.equ DDRn = DDRB +.equ n = 0 ;pin pro komunikaci s DS1820 + +; Č A S O V Á N Í +;délka 1 cyklu = T = 1/f +; tXXXu = [XXX / (4*T)] - 2 +; (ldi, rcall a ret zabere 8 cyklů) +; nejnižší hodnota je 1 ... 12T čekání +; attiny13@9.6MHz+CKDIV8...T=0.83333us +.equ t480u = 142 +.equ t410u = 120 +.equ t70u = 19 +.equ t64u = 17 +.equ t60u = 16 +.equ t54u = 14 +.equ t10u = 1 + +;registry pro CRC rutinu +.def rChar = r19 +.def rCount = r18 ;počet bajtů +.def rBitCount = r17 +.def rTemp = r16 +.def rCRC8 = r21 ;výsledek + +;6us je obvykle moc málo pro volání DELAY +.MACRO t6uDELAY + ldi r16,2 ;pro attiny13@9.6MHz+CKDIV8 je to 2 x 4T = 8T = 8 x 0.83333us = 6us čekání +t6u: nop ;pozor! některé překladače labely v makrech nepodporují! + dec r16 + brne t6u +.ENDMACRO + +;ovládání sběrnice +.MACRO BUS_L + cbi PORTn,n + sbi DDRn,n ;pin n výstupní, výstup 0 +.ENDMACRO + +.MACRO BUS_H + sbi PORTn,n + cbi DDRn,n ;pin n vstupní, pullup zapnutý (+ doporučeno 4.7k externí pullup) +.ENDMACRO + + + +; Z A C A T E K P R O G R A M U +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + + +; začátek kódu +.org 0x0010 +RESET: ldi r16,low(RAMEND) ;nastaví stack pointer + out SPL,r16 + + +;tělo programu + rcall GET_T ;teplota do SRAM 0x00-0x08 + + ;uložit status testu teploty z ARG do eeprom na pozici 11 (12. bajt) + mov r16,ARG + ldi r17,11 + rcall EEwrite + +;důkaz, že to funguje +;uložit SRAM SRAM_t_H:SRAM_t_L až SRAM_t_H:SRAM_t_L + 9 do EEPROM na tutéž adresu + ldi ZH, SRAM_t_H + ldi ZL, SRAM_t_L +NextEE: ld r16,Z + mov r17, ZL + rcall EEwrite + inc ZL + cpi ZL,9 + brlo NextEE + + + +;věčná smyčka +F_LOOP: rjmp F_LOOP + + + + + +;G E T T E M P E R A T U R E +;Přečte 9 bajtů z teplotního čidla na pinu n (PINn, PORTn, DDRn) a uloží je do SRAM na adresu 0x00 až 0x08. +;status je uložen do ARG (ARG == 0 -> CRC se shoduje, OK; ARG != 0 -> CRC se neshoduje, chyba přenosu) +; +;SRAM po vykonání procedury GET_T: +; 0x00 temperature low byte - unit: 0.5°C +; 0x01 temperature high byte (sign, 0x00 = positive, 0xff = negative) +; 0x02 "user byte 1" +; 0x03 "user byte 2" +; 0x04 reserved, 0xFF +; 0x05 reserved, 0xFF +; 0x06 count remain (default 0x0C) +; 0x07 count per °C (fixed to 0x10) +; 0x08 CRC +;celá čtecí rutina trvá obvykle asi 550ms, max je asi 750ms. Během rutiny "W4C" může být prováděno něco jiného s pevnou délkou 700ms. +GET_T: + push r17 ;ulož používané registry do STACKu + cli ;zakázat přerušení + + rcall TxRESET ;RESET + ldi ARG,0xCC ;SKIP_ROM + rcall TxBYTE + ldi ARG,0x44 ;CONVERT_T + rcall TxBYTE + rcall W4C ;čekej na konverzi teploty + rcall TxRESET ;RESET + ldi ARG,0xCC ;SKIP_ROM + rcall TxBYTE + ldi ARG,0xBE ;READ_SCRATCHPAD + rcall TxBYTE + + ;přijmout 9 bajtů, uložit na 0x00 až 0x09 v SRAM + ldi ZH, SRAM_t_H + ldi ZL, SRAM_t_L +NEXT_B: rcall RxBYTE ;přijmi 1 bajt -> ARG + +N_B3: st Z+,ARG ;do SRAM na adresu Z ulož výsledek. Z++ + cpi ZL,9 ;je Z == 9? + brlo NEXT_B ;je-li menší, čti další bajt + + ;vypočítat CRC, pokud se shoduje s přečtenou hodnotou, r16=1, jinak r16=0 + ldi XH, SRAM_t_H ;do Z pointer na uložená data + ldi XL, SRAM_t_L + ldi rCount,9 ;9 bajtů, včetně CRC + rcall CRC8 + mov ARG,rCRC8 ;pokud je nový CRC == 0, byl přenos v pořádku. CRC --> ARG + + sei ;povolit přerušení + pop r17 + ret ;návrat, ARG==0 .. ok, ARG!=0 .. error + + +;D E L A Y +; r16 = d = poček čtyřkloků zmenšený o 2 (dva čtyřkloly se vykonají při nastavení r16 pomocí LDI (1T), RCALL (3T) a RET (4T)) +DELAY: nop + dec r16 + brne DELAY + nop + ret ; po návratu je r16 = 0 + + + +;R E S E T P U L S E +TxRESET: + BUS_L ;stáhni sběrnici + + ldi r16,t480u + rcall DELAY ;480us čekání + + BUS_H ;uvolni sběrnici + + ldi r16,t70u + rcall DELAY ;70us čekání + + sbic PINn,n + rjmp TxRESET ;není-li presence pulse, zopakuj TxRESET + + ldi r16,t410u + rcall DELAY ;410T čekání + ret ;návrat + + +;T R A N S M I T B Y T E +; odešlou se data z ARG +TxBYTE: ldi r17,8 ;čítač bytů = 8 +;nový bit +TxNB: lsr ARG ;nový bit; rotovat ARG: ARG.0 -> C + brcs Tx1 ;jednička - skok na Tx1, jinak pokračuj + + ;-- Odeslání 0 -- + BUS_L ;stáhni sběrnici + + ldi r16,t60u + rcall DELAY ;60us čekání + + BUS_H ;uvolni sběrnici + + ldi r16,t10u + rcall DELAY ;10us čekání + + rjmp TxLOOP ;skok na konec rutiny + + ;-- Odeslání 1 -- +Tx1: BUS_L ;stáhni sběrnici + + t6uDELAY ;6us čekání + + BUS_H ;uvolni sběrnici + + ldi r16,t64u + rcall DELAY ;64us čekání + +TxLOOP: dec r17 ;odečti 1 od čítače bitů + brne TxNB ;zbývají bity? ano -> TxNB + ret ;návrat + + +;R E C E I V E B Y T E +; do ARG se uloží přečtený byte +RxBYTE: ldi r17,8 ;čítač bitů + clr ARG ;vymaž ARG +;nový bit +RxNB: rcall RxBIT + + ror ARG ;rotuj ARG C[=PINB.n] -> ARG.7, ARG.0 -> C + dec r17 ;odečti 1 od čítače bitů + brne RxNB ;zbývají bity? ano -> RxNB + ret ;návrat + + +;R E C E I V E S I N G L E B I T +RxBIT: BUS_L ;stáhni sběrnici + + t6uDELAY ;6us čekání + + BUS_H ;uvolni sběrnici + + ldi r16,t10u + rcall DELAY ;10us čekání + + sbic PINn,n ;PINB.n -> C + sec + sbis PINn,n + clc + + ldi r16,t54u + rcall DELAY ;54us čekání + + ret ;návrat + + +;Č E K Á N Í N A D O K O N Č E N Í K O N V E R Z E +;čekání na dokončení konverze teploty (nelze použít v parazitním režimu, v tom je třeba čekat 700ms.) +W4C: BUS_H +;až 700ms dlouhá čekací smyčka +W4C1: rcall RxBIT ;přijmi bit do C. + brcc W4C1 ;C=0 -> čekej dál + + ret ;návrat + + +;V Ý P O Č E T C R C +;XH,XL,rCount --> rCRC8 +CRC8: push XH ;save XH + push XL ;save XL + push rChar ;save rChar + push rCount ;save rCount + push rBitCount ;save rBitCount + push rTemp ;save rTemp + + clr rCRC8 ;start with a zero CRC-8 + + ;begin loop to do each byte in the string +CRC8BYT: + ld rChar,X+ ;fetch next string byte and bump pointer + ldi rBitCount,8 ;load the bit-counter for this byte + + ;begin loop to do each bit in the byte +CRC8BIT: + mov rTemp,rChar ;get a temporary copy of current data + eor rTemp,rCRC8 ;XOR the data byte with the current CRC + lsr rCRC8 ;position to the new CRC + lsr rChar ;position to next bit of this byte + lsr rTemp ;get low bit of old result into c-bit + brcc CRC8NA ;br if low bit was clear (no adjustment) + ldi rTemp,$8C ;magical value needed for CRC-8s + eor rCRC8,rTemp ;fold in the magic CRC8 value +CRC8NA: + dec rBitCount ;count the previous bit done + brne CRC8BIT ;br if not done all bits in this byte + ;end loop to do each bit in the byte + + dec rCount ;count this byte done + brne CRC8BYT ;br if not done all bytes in the string + ;end loop to do each byte in the string + + pop rTemp ;restore rTemp + pop rBitCount ;restore rBitCount + pop rCount ;restore rCount + pop rChar ;restore rChar + pop XL ;restore XL + pop XH ;restore XH + ret ;return to caller +; +;END OF SUBROUTINE CRC8 + + + + + + + + +;cteni z EEPROM, v [r17 je ADRESA], v (r16 se objeví DATA) +;EEread: +; sbic EECR,1 +; rjmp EEread ;cekani dokud neni EEPROM ready +; +; out EEARL, r17 ;ulozit adresu z r17 +; sbi EECR,0 ;nastavenim EERE zacina cteni +; in r16,EEDR ;cteni dat z EEDR do r16 +; +; ret + + +;zapis do EEPROM, v [R17 je ADRESA], v [R16 jsou DATA] +EEwrite: + sbic EECR,EEPE + rjmp EEwrite ;cekani dokud neni EEPROM ready + + cbi EECR,EEPM1 ;nastavit programovaci mod + cbi EECR,EEPM0 + + out EEARL, r17 ;dej adresu z r17 do EEARL + out EEDR, r16 ;dej data z r16 do EEDR + sbi EECR,EEMPE ;master program enable + sbi EECR,EEPE ;program enable + + ret + + + diff --git a/ASM_OLD/DS1820/schema/PB250219.JPG b/ASM_OLD/DS1820/schema/PB250219.JPG new file mode 100755 index 0000000000000000000000000000000000000000..45f9ec42cd44e6a4179afd30161e1ccf002437bc GIT binary patch literal 618025 zcmeFZ2UHZz);8Kh8Zr_E$$~^hG7K35NphAXQ3M3ZIR^s{Sx|6Dl0iU}s34${Bq>>v zNE(8GWI9>Ks;hR_bnWgMz>HwNi8)^Nb+`#Z zs;YbtAp}7r5IGD7Vgrng7=kDOrw3dC@Kb036IBC ziHAdYKnMy83F5{dk0>0}#XI3CfWs1wZD3%Oc!|WnJHG(*_=(5o`T;mR@wk0_&=%1N z$2L!VVnYb}5SR#ucEI><1Kb5X2$cT>-h;AE`XD4I2+R6&MVjC^C%g&%2_N@_f5M01 z;Ab6sSQG239Qg14V8?|`1Q71Y^#YgfuWM=y&i?0`S_1y}YYM|TZtX4uxLhav!|C6R zfy)O2!1_lQAqm(&`iU*qQopXD4J_n9MLaNrASe>Fjr9_CD z0rvPOJPU9F96~Sx2r2{|j)U`?R{~Ci1Bcjv{x#sxspBg!4mj+D&jOBf!oLHKd&0K> z$2)c0A5as*2OQfkY$7n&{lxl_?k~L~?DUD=5vBmqpYWT2pE-5> zU6%oEGM+lVo}enk^f%6Y!k>aE%JMfo>)$xr-#GgT&$xumIpN&E>9Qwd zxdOfuawl9JaBM0z0H4p;<1>I`9R!WSNf>C@u=m5`gzW)n2z#gdH+Q=~R{vgxf;%Sm zgQ37sFw)c0Rza`8x9fo7fT9HYh#`cQF|VO7m;+2Vrs{X&*x6JJT!x)3SU`|1#Hq*n z#!H{|jU|}$#RR0dh8Pd%H1rD+0Hs*3VZBB8`-8Q|-v5tlVx1KKTk{Wz)%_>^#{>WI z!2c`{2nz}cN(l)`35&4_3rdLyO9=}euh|BAY*$p3RrM9s*)A(;T-8-%`;-1E*V5Kk zy^Jl_5;(7}e+9ZMAuMQM^2dyg4ct>jF9->Wi2pSsnWk>uy0IVI>TfgI7ApiH$Km3bbja2}!?f#Kimt!MFY@~>d z4S!!6p!;9bpG$-73l^_V`gI-i^9UDXi6O(B#as~;6cPPv=KWVQ6I4DK9Coe4c82t1 zk@W!C-<`uskPLxu{+>V99{T|svHi&(6KpJnjiErqASfv+A}Jv*`tRq=pK1^`g8F;H zMo8FHa&lg*zkjE{&SVICggFHbVLV|kF+VYB7)KZ*cpjq%&nwvI2RxZ!!)HA3d<6Sl z7epz4)@bYyd9Gt3r29n)^!7GI}D%$_=p{ZoUQ;-0Cxar z09HU5At*Zn4O4+@Ap$}qLZCYfbh4nl1fUI|3m_1n6O^lfa%wQiy7&Vr3C^Vp$Tom5pdSOay#SN|;ou^dW1l_2lMNh1wv0d`fy@EY0H6evtpU4H&=w08z}^Yy zfH$=9L(nx|u=WG!0$c(0 zuw%!L7hA^{APwkJKwx^v2Kou?vF8m3?d|~S1Iix&V6Qv2eLgO*c9MWK6s(D7!Ft#L zUR@7^b9#X?KY$Pb>~+T4rULvY{l^3UT@UJ$l=xKaLW%^`I#%$rZZyJS3E6}kmnxcRbwB^$6tQ*>CnBahP0w)V z92YkaFQ2HGxP&A^>hhJVO3Es#YI^zxhDOHMO>AuKZra~+aP;u>^7ird^N)y(x_j^b zgXqMhN69IVpQNTe%gN2lFDNW3e)+1hs=B7O?)AI(A6i=5+B-h>^$!dV4Uc>o{Wd)_ zJ2#JBSX^4)*xcIQ+5Ne<4_33WpKWTuR8UXeQzi(fvVd?f#jVj#Kp8xpnN2evjyXZ$RPyk4E&@fc_c}rV0CG z;0tBp!q_1kN*FFB4rUZQ9D}VJN?b}v9@-KXY0o#iy7TlVa=VSyWW!`$gV{+*uYaDG z6Yqzjka*C3@U!wn>jwBM!_UsG7u)YX|5}vG$dM;QIZTj0^J4vO0lr-FB^F$Ny%#}z z@lj*fDi0l>vlDL9v}yXJ6&O|yTcMf zZA50AsaJjD-D4L&c-o9oics!l+N~eRnD<>;m!OnNCWvR0!m)ykPySHkQwtnJuj zvk<~JRag0}|CNYXs_yt$4+i2TrgR>7(^x9qZf4E;+JGcBvFYD;-;%<6`}gTN3v{8w1^W6*XZOJ1?s1#x^>$jtk#lHQDTc z{aQ*tx$dUx{_X?2x>zTt`!wQBt}(q9;xjVy1+_y}(i-CZI*EfU4B`1SDQ+35X2hLv zi#!$8#p-l*j!^v{_Bt=0xoISy)GC;n3P z0%C_-S83Po+dlMnaB+QlUpNabE4A;TYcjE{<-??BGR+;58rhMowdPfpCv!7SYx6*L zE?1zOtHi;FZ*27Oy<0-B)U%RWm2Z^VOgNfUUfeW2$X8O|%gHC`AFg{=SwZ>KY{=;7 zbu49sg>AKj-lQX4bz+_Bxl4UKw^!t64G)uU4(eBMQV9o4r4>`5s$4KoAK!Bt-IrgF zh&XVUwWTr!>k^`-*;-pAedTT!7c36%>w8mLGqAGyy`Xq8vo~KV@5xYRr7E}K!m@o$ z->ag3j!Wr%cnOQdX--dCbdQv|ixacS1GI$rx-rq6HO9`H^4uwT)l?Mo4$h^Gl?TiL zZuTWN>W9jV@6pd%RaD0L&weaNsE)2zl^^;@32p0_x}*qw_qyd>^rms}YwQ*8F~k91 zpT%_%sv47pPFtLGc%FLU;KNCP$azp|E1725FYSxt9R+H4UY z#-Tc2K0|3Qtxa~|Q+A_h(5IfGJTn~wO`Yy$ofn&aQIn(^z3x)Zz*$3@<4YFT(96U! zsPw*tjMT?_Ie&7`hwZV0tpW%Al03!GYX|K|_T7XfrAM|1UVhaC&P_9g48!DNKSuF_ zwH#8v9@Xt)|JX*k2shH*GgqhaJYPYYsz;!-cbtgOum5``LtZrx+5Q!Rczt!l_6;H;_|1V25vGJaQ>=qvVLYac;DJ zZ#lb4-U|`<76bi+XF5`96^*|(8*i=dtkabokEkV`T_1Y>)B3Bfs=(H=X{WgAG^uR@ z!_$N|TnGbgN4eV#+7KzFrs9X(eIf<}5|gNl_?=2pd1xp~nmd_xB|E^b@92mIk4C3M>% zA=`(Jw;tWFu6}o+hSQyQ!-s&*1~GAPSY#o*(ZLYYv-ghR7h+FIq?KG~EzYr4wIF18 z|EP*3(pAfgtY-D*>-$d(-kJt_ykXd%K^mF7>|lB7*z(Y&?ouuWA`%8q5E`D^A@$yy z%L`^_^CSxrR=9ovhJ_p2!i(#11zzZOc(YYEfzvmxTMY_P4eo9W=&RKBdIYOchO z-VeT)7A7!*fmm_pgF6@7Fpx>9v6-q}2+gVh15FlU>{B>}R@YJTln#nrvSUn{*H^~G>FwG z4}RS^Ak6zQa%(d%C&450T;tp~kMys-lLeiJIY%a-Ll`Jy=GWVdjIS|nqjEPq)((S} z^2n(64F>YwCc^j>AF0gN-bgnHWy{=s)$QLhR8gSyEX?z4oIn44Ds3fl$@aD)c%#XF zJ(<5wJzdAuorUtBU)KKI-*6)Jz9_BD$6{) zj@k;2I)4t|GbkEJ3je(4hkGf(BXwa+S8ppjUu^{qvU+za)XN~yvsUyhr$unDnEM6tVS zv1G@F5O3Wfx0qN;!>>AAY5Y>~)I(I-?S+dZ{Y*9g^)HH?7^qaa-1?1~7D3Hz^Vo9_ zW*Clk6j`&xT;^3ds!#-65_&(+Z0xO;ZX9YB6^@Fp?7xZ{j5P7e&fwP(S(WFthRdox z_ZnEuH5kkNl5);fW_YR5r^azZ@X>^^2cpV;?>3_2>UXoju9VkBDz}}vm8y{vX~wSY zxv|oo`6Ry%TUt}C-gqCZ1~-W88Rf;kFVZ*bci3q0{2tYn!^`=)u{-v3%`f6~e$8gx zC+tUy2#y5}2M3>d!`h?I{iS_Z?R?Wth4_9r<-ZGgZHc|tBYtEWrS!G4aqHtlv?DLs zXU}yhGo=pVvzqI|G~)_3Z&)?2-ul|?V=w#iGE_%(8rI(X=$EQiQ#EX-?28)B(mU#< z1@1&p@`+y+5~$2p$)i24kR=*G`hN1XVYC zT@)RcW}j{AU0Xd=-S3Q^L(18U6)#Cu`e%NbPqvJqynbd#gwQ6@51mM%rB<}_>#)6~ z&`hNz_VauNgH1}ith@jIa7iT6fF`BU$0It&#W}q?ayQZMv!mgsgC~^jFTRsf=tP(! z6-_U*#Y5m8C^h{yI0ES=Amn3+fjG*H=G4bx^?fN{3M+`7*7ZjY7kX6oOOkopJv1JC z%-Oz_4Q<(u3jItnPYmTSxX=#Si+MSFxqO|y!LO~}(NK9h<*MR`hnq_8i(kxAUhz15 zb6F&r5ozxWUw!fI-jrgw*!GR#?TUsq3gtZv^q$3_M9baFGnUem@xzw;<>MC3Tq5O48QxrIoQlyXPPy_*OJF`lsZN;wiHmTd3=?e6 z^UzVzck<5H+P1XDjR70_Ba6WfuBBgnuY%(mw>NnCZ!276_~t&nQr)`z;eFW}dTliG z_PW_*W!A?JYT^-s^z`V6vC+y%gr4JAaN}G^%02yC-B&w1SC0}5+s{k*xY9imU4O;* zG)q{KdEXxLFiqK%tn0BQeN6oR^yT9lg{O6<{P{U&>(}0ajRgyCrHt41m&8?{C2%$c z!h*%yc;zo zB+cTbbLhjsEpp?|$#F~H50Y{UEZ1CJ;>ybl8XZ?|PlSA9)%7PL2u`JWfq_2k$)zkI zRyM`XD>@4gzUp|OC9jt7@S=-sNngd92CFT5)Lo-{~S)2aMmcQ z^3Ld*;_S~GAkU@|c<~WR@{?%~W$sw(>DrkYEnHar#u3v;S@Dcw{!-Hq-&T3nJgR%Y z&NKgV#z643jbCk@v?e;4#hZ-_7LQr1U@J$qN%Rk55$GSiH%vm}^8*jFiBmbwUAeHh zyu3DA|Fff&*R+*)ulX2gZb^EZ)?)O|%Xi%jNP=V^ z7_~i~|NgJ7XUTgkD|8nBj!nGx7WeRB`Mc`G2FB&pn&BM(z$C5tRV!USF%#2}1g3JD*B;$; z1IE^FJimC(8CR?{H@9i-6+SHeNXL1HDxcy@$d_%_-YRE4;Ybd#S6{yN-dLWuW3bTh zJ{P_^Z9I`jS+JUEo%hz=$=KtRLx=24@F zN}qO>=+37IucoTb+-SATCm#q9`$o1eslT;5H?yNtt=pN9@gn>Ed7ZthdueKvNl2T? zHod4T!~_sMJx!||xPN;yNiXRKT)X+S$H^C&+B1zpFJFc&#${*OIz6JtEoeB`G2z6+ zpBcZ?>o^}kt_ybFd}?{_q%Z@S1Rte5^lEz zS9L@bX)UcLI~%OM`fh$@Zy@Jwi?vwMos6sTZH;dF$m~&~4xNk%seQf3(U(Go4e9i* zK75e8T2YWm&e-Ovml6t1Sh!kwpekTH%wBWei|#$e<0r8p>;{1i=I#&ANBB=Et!5j^ z3T0I48M_$W8e0?1W8kTgi*cBokZ0Cx{Wyz(Y+4_mHk@DX*n8?e^@1t0r!F8M$bX3c zvD2Q+ClQYKe8G5ed3F&cYUw7ixpz(%n1eWgY*U$YhAX*-ErrZ#)wyhFOI?LPVFMX2 zwYt1DU1cA>GQ&kuQ0+P;L*iAX89*As(H)>$q9#f!=|r;gWySu`QM0&QGUX`wq%3qsOix^^?{ZXy(gNG6$K)h4Gs`bKaRKYKFzd zZZX|-4L*Lk<%8;9&+R%T1Id?r4=_*Vjr?NUv_1@B*pBI;F55>h|uNnTr$(P7}EKA|> zGr`EN+OW>sT*OV_UKd5oBnFCdGss*+JOa19h?-81mku7%w{Q01if0viwipW4w0}4? zBTK>DHn(QtbB%T-y&|?kkb(uIb#}8_`FVzwX=gr-*hg zhV=|d3J8+>GD~k4pK)xMEDxb-q9Wol^oDc56o~eNtHv(9MDcYr&=_X!?1ORYrQKk% zb7{vp?By=lFm1Mshk#R_4%2{mz$pEFK4N98 z%G{1n?W4=6*uwS=={xgcK6RNT4#PDjft00{4rj+pk}tP@@*g(+HX7?k&kY?2`=9v`f|0}GcrhC>cI=GpGMEz5?-JSmURTT=<{(SbB5C1 ziT4-;EvIS7w%-3h*W@0-s-{C*rZNI6vscQrxiYKsO2v6?wbYhmvnKO2v;K_FG$Z#B z9>2OW?1(m7FRJS5fXiZO>0$7>fNt^0g&fk>#a(lux)3?S9kFz~f{C_(ASx~LUa=b& zostrBWSL<7+r`?^-W7RL8Oypx{o6{33#WQK{5aG^((l1S*oW7-(&g`+pL^VWU$(y4 z+wXvbHot(WIC*PMX?9JKFWAkz5XE6tV zyvIS&`1yz~$(-&ogbEcY3Y}(=lQEf`bpG!2;GMI?sbV|nV$p4`1)+#t((Zcy^RzrFZ znT5V%*%*qu-tAb)7@2*#I}ZbW>&Aa7OQyyt_pAyTd-DNa<&W)`wxW{q4fso|?2&^+ znY*Qjj<)(L*KmTg8ZONq$`)T^=vd+tm+{IEeb^Oshwi<#?%TPSFGpsY zm{07LQgbzF=*Ay>4_d5vh7*K=)E*RH~{?c!h7=)kbo?Shzci-Um z*^jmQ#Rertzx7^@*8$f#wkZaB@S#1)8LXYOQ4GqL0O$K-2hNCX?RUQF*t*2L*x|l#jUiZqY5aZsw}&IlSFFAfdjM$-a|Diu$I9QD=A4>?hyk7dZO-}39%6E#+Z9CK{R_A&jJ<8iHH_1BZqKk_7dEPk#1y(=OAR0cAQ z0NA#Eomj2??jr_s?DF5P0Pgth%xI81x!iyB|En}FRy=m!U&mut13SKBTaf?9XX$VJ z__F<@>@TKMJP0n|_8tA|%!Eerf z|C0XF$#%&>vGvVilke(BJ9*mB0VNZq$JU zeq#0eG@+2f@BE)m9cLiu(r*H6X&{^!U>ZsY%t57->VM4sSpAm2U5EYtgU`v%9vmN^ z03Q!dKtKR?_Xvqkkq{FR5z~-Uke;HaWuQMzdz$VH^EtLNOdL#fr`gW4b8zwS@$oUR z3J6``5j@As#{+{C5)u;;QXpve(&$$e}Xst zm-~A?1d>hr{f}`|dZef8?^O(lx@+E|x2g*R3%Gu%T5T9&B~3g&KzCx~k}w?y-iEXu zoyePv^o5s~-`>M~+6s-}6zXBbrTI>}`E%Xk>S|9z8?2dWM()wm%Ns)daV9K&D_l0f%?yPWJ?w#+p{*BNbNcG6NF#!ZZqIE z_)O&q*brCjYVepQUbBf)tDz2swafl^*N}J9wSj~Z#Vr$O625d+zjk{`vgLNdJv~hS zl8X`EzJGMLE#FjV8@zDYxb4#O8mSdNAusFaW%?1}vi{rM4kSU*#MQ2Fy&k97XR4L4 zu{S^E1)vv1R5ug-7z4;LP|VGo!qo@RIZXU}@xrQ$+VQgzA9I*R`YK~Go_9Y;A=OlZW|;IXJU{Lkv@Zm; zkU3eE2;Z`+t&_ZcsxM~o;kz##wUr--4WRs|>+_#VO+G`BCanzgTevaw zc18XO;x&_?EyI<>vv->fo6H@z-SfM>L*pNzpbRG^fTp%ZdsK<@UNte z*oI)Q`Zg_>>H@@i=qN@Thxxo?_#id-I)q?WMypk*w#m4w;-+otYNQ)6b<~o^OEdWt zPj^ify2I5oX8KB!Z-2-|TnG;8T3d|vjbyS3fskmN^hAPfnkyVK6*B|#`Si>tNiX3= zn7*ACAHTG*ozaGKGaMz)S3kdF)j~9D8Cx(Kk0TW-J;)VG5?BvY9iYw}ifQFtP?`zn zfe1P#+AQ7D@Io;CJL54QeVB6!v#8n;?JH&k(>9!>u|W&;W8spbX3pWsM$C%61dm=` zEH6;=vApC$lMLg0kN)Y3kFfLO9IXf!$_UWE9@~Z)*cpuJ^0U`j5YoEUzNc!q%gi5D z8Pi(NZkEmCHlfA!*v2L{J-Bl9iCIGFSSM$CWLUH4yIM=%W~R@cL`X{|6+=t7Ra*SJ zBg5|jxNGVZn896&^A!+jW}b3POj2*zRad$B1t+QEZOLu!G%Z<%FN$-1aswt>*@gs{ zz`Ho3t*k4G4DPP}#1}pZTziFEuDMshw{oCiWKJZH8QP`dktIinwR{>e3#M_l<}JN6 z%_71`n2&Or3Dig|h_*^)ethgg@nV(7o8)2pEQ`nG4tCa%q5}`r(ENZ{`a0Q{4%u_ixAu0K zz5xm_59Y_+C*n-#{McjSuawbm7;nfWe;BsOQR02l*kyIKhkyp9`@otw@0(QynRJEm z!`>P^xK3C`0WQt6jSf_fc=t%N?At1GXHWj*mkchYZ9;YEIx}4&nfI}68CTWwyro&e zY`JaeHf~=k(ZlENLzyv%ZqO_tuY$`tn*K*MDAqIDF<~^*}BE^Zi>Aq zE!>Iy{3uyE*aF79c=z0BT|C_aOf0Y?>I-IYM@vA6=OV8**4<~WXy&55`J;A}HD~a< z0?`pDf4zgA+S@FVhW?W?RZ%_qI4ZX@C}h0zUu-dL8hNYq)N;g1>$y`{DE4Uj>jgD| z+u6IN(`&GH$U4FH{+8`|L>rtIZMO6xM!Y|^j@AFkX2&Nb)4s?ux+QkMjy<+Dq&@w% z==4n&H73eL3X?pR8j@VW2M@+64eqy@P3Lrf?T#dAhj!XLE{EfN#td&KZxZgM*7$6T zZg4ii=~r6$BMh?pM(aq32sB~b1)>R@v-7&Sw&D8w-r3n^Nk0{r+>&bcb?JzkT-vw` zdu~;|FQU(&qFAFrvfPa~zx0Ix&g|o+rg^yn+|*oyolD~B(F}#NX_9Xp2pMEGT(4I5 zJaiPDR3chZ3eXFjcrVEzIn`(6PxA~vzVSlU{Wgo*{5e%g&h^o>JC=&^irXzpOK6xW zd|*@K0=RCUw@|g*-ln+RxJ3m*t&g1=<%jx39H`8yhMpbI9GT zO?o9!J6NM#iI9tnL9y%Z*TG5uR-2{e_v2P8}!67<-g%*M9Sei(Yq%ouGP9>C-&<94mS z0yk-a&)_+Kg%1@()`r;4N7}PjC(0@uIF1caFDPm1NxNk>^`&QDrjv0S*v@U9SO8OY zO>#1*?MLjb#Z0%@s-9?J2(iMkF>^C*4ttSNNDzHYk@JDm9k*&W7I ziGUmdYdwZZePl?IzTLSRQp=g>KEtYRu?esmZ&eJ}u5|O@#S*q^I+eLdnw-T zX?NsorrC7-GZb~NTgx?*sPEv$x%KT1D`&Xsg1d%#Vb=D1eaVj0Q|l>@j39_+?SjW* zrk=7w?R)xVCJE4CT7jQSz!%j#XVFV4$t!dCQitLzx?4xJL+=8U9lI53|VY#+*B z>~gKA3K-zRMYBHTK+M|Uvd-K%&A=_1`aPe>1z8~7AT{vI+s4IR*>Uy#8_i*^{K7Z5 z?h-62%SJQSl6$U7!dC-?9KQRNeOO0J%2HIREnU}CQf0Co8t%VLmx9LheRoN^Wfk37 zt6e`e>-n6DaoEP`-EK&OuW6B9xFovDGY__$kbvESuPbrjxb>Yq>Y6Q+f8Tvqaz+lh zD@OF&*3K@G&duO2eMl{RifA9~<1S97SJpAoowaW0=s?SyNeT=LR?tE;iKc%S z{gsIs*gofQt#Ia!`uy_}IdTQW+M|yo6zfslN0Bl#Q(0Wa8ksHEM?0uZ<>4 zVk)TULFmqkCZ7V!K~hFt7MPSfm!geU*JHOCNpXjneanS+rS93=Pb|hhDeS!0-flBl zP}suf7W%ZthF&K6mWXX=D7hrTQzMdHoXA*JJU3MTvnv-_yS~s{3OkrIdtiokPLcOs z@@w^ozT_wWL2-Z6!p7v57cn=kBxhV63EOQJMGkHbI74m{33;oEEOFA;a9&;I^X`#6 zQ#GY}r>15&w>WJ-xizgUN%hsTf+$e;zDiT8l&yAqZU%)#w!(CMXSxwJ+?%k8^_vRE z`BZSDC|uOog~>U8;DxCyqx!@6{1$=~WaX1Jo{;^zghMbDnE~PHA(vm+%(GhDGE%g` zeA>BzQ1S?CU9DUf(8B#0a%PkI_R=lwfP~te{ZGb)Oh2RzYCa{%4C0BeBW>2ack`%t z&BBF-%W3NFSxzFB7hHMUthVK9izz|SSMef|Kw-CVHnJm>`SGHKhw7@QnPoMfsz^f8 z_zynUJh#o8X=?9XW}0RYOxsyx-BI#kG#!i)N#L<7b&QE3tJj1j2RyIrjK^szF8J#U z`|UA`&#)w;E5CeVT|~5=mV1`Tka^3f37#nBv6VYBFJY~S!qE&8x>kc5?vF0jIct;9 z^PN~R-Yrz=meULD5ZaaXK`J_XNgKdVyUMlFhU&MGaxLM%(?~J}xRyXCo+A8t0lD$mCI$=p~Xv&1T`CmiymY(hT z&s#81T)bO^LfAEx5vHW3X%uG)RkLY1GcOVZd>kBW?XbH+eAH+HZpW$E$Stv!x|c8J z98A{=b(Pt?3oNICQ$<&-pPcgBm8)X+9BY?5_*BVhHM`Z619#|vhaq(tK=cRxqsi+2 zz8-0=xHz@h1(7T*p&Hjn=mR6ZaBHpEuDUCOI2ug^PotUQT{G(1AS;l2&)N6 zsN2_alc#wvjKPfA7*jsy8nl?Npk>UYq(Lmm7{l>^%9W}sq|3Gg2jm!_su*z1C!<*{( z=BI3%vG^LRxb;nl+X)%2yk(z6!s=p`2#l&k!OR%k5xNz1+NFC$X0?qLs;*JYn!ppV^oSnOik^h$UPl(J}#gcNFS~Vu(7lyZbZ7b^TO(PT5+L2IzdU zY{3b4&HA;KD|cPz6z8T zA<;w60vwp@JmM4_Ou8J#Y%u++SIZxBT@&6+#7Be~qRaE#M3X8$2gH0+l7RK<>7*KQ zWX;gX8Yhp^_x898D+x;vUMsq;LMW0nEW5O4+;>xUHj!WOU8v^Lhq~k^f$tNw^!5x) zFW(v+WyK84xfrV6B&6u_ZMPO$Hcb(tiBW|ApzXHHtC3}R^I`CTcm*eu=j^~bRNN>+ ziKH-D(9#Q=cs7l1AvBy8eiKhzfl(Q^$Z=A(>-n}F(Gw&(p8(N)vt6~8!=AfQ?JZiJ zRTJD2w;JlV*1837P#dnYPAw`+ObwcvF!*LxBKc&*Q052Rr*UTX4P29c2Z(HG@VCh_ zr=VpGc_E7_`=rq#p-d3yuu-m(H7SZqqNgm=L)7VOR zp>Z=y|C`CKrrC>&T8iYj+_AxxxE;MrKOD9w!KA!oikPysek{4xOW#y}?pAEVTUYpt z$gLtXIOLPX))O$wL#;nsnd3ju^uv;OW5&BOT=n*3@W184f)H7&m(8JU5H zligx}kDOv@8g9_|?$`hGp^DOK>2iyix=bJnmZ9OraoPj(d9B=v4TLZyRP*Auf4rA% zdQO}4hV1TQUscsU6Kg#M?IeMbfvX~(ZGfS{zKDtQn9kRW>KjUuxnmLE;WC?MV`Js?;;j z(!p;^E|1Ulk^WG-`(2T>R4%l7;E`$v8Pb&i9ys^}wZ`o4T{P#zdKrXup7L>3>e;a( zEq=XMJYyNd4?bl0?qp9qqO&imJ~@k>yyjw>L(lE{X4$HonO6# zW5cw$rys4#AbI39e{NujN$_n%gMhM`d(bbv+A18CrsWpF*4|xPYyVIJCB8Hgq!2=; zUEiJ?mn(yM4zJN7V+(a^47_aF5sOORi$`tK=kY+e0aSI(+@*dgDoeG+)=OpyVUyvf zGTXQc@k!_x+=)66c}zB;3NvYpcrQg|C21E{G^_ z8-MKLuBmnVld?^`a+O>pD+ue}H?g@zWFT@m$)CeGVVVA$ubE+}Q+2XJnKw$MeV4~d z`Ny=!bw7k5S+jF{#e9}v> zqrFXZGE_!*2K9?r{fFvQ?4vSv334fSf8AM@OcQDlPxkHfFUQL^+>+V+nq4k`*_|kV zWD5VLv@e@yepOdRJ5Gr$GMpT-mD^w8!2bE#`N&sF?R9HIs80`!Vs`q0Nv#$8B_8a@!@bhmw>cD-3L3yBIqM{5u|z`f;5 zdA<&i%X( zm-sFg8V5d%Xzu;g56v{@8Ky%ZmJ_?=PtMySTawIS_u0TNyQO-0X5a%Nd=N@3{v6eT|_DUnyMvo^3dPM0^g%t#1Kl+2f~ zh}sOHOQz?2Tc?9myWJ|wvp;vK5kT#@%)ZM?pA$9RgDS2@^0KCj_35E#Af~uHNU2kZ z&CacMYb$T`(mqOmti$>?D0(e z;itouOQpHAGwo|RNs*fg%PJT05a+_Dw$?PRiZZo>8`X|(!p7`j$o{~4*E%TtvMmev zIh)@>L+l%~1OZjP({nR2v5I+i!mg?eTh9)j+2Co-Kej(lAJ*wAm&|8M^?^T}-fN<1 zJ|lE84VNIM{d{gjbE}&=H$9r`Zq=tGFx%J~1$(ta?t5l2#ioZcdCk`!6)4alB-^cFPO4jfgn9I71Bnsk#sa4c4+ZKI4a-;<2(HQ87wS?nXffUv#$;4eJLx6CXF zNx#4)S=AJlzq0v^@@|YP*5TTE_4w<-8D@%RaJNM>vMWGXhqB%7kVzwiyeyOv3}pm& zYTNi2?st+)j&<{LyQ1U${rk5`VmRkKhT)R*G($*nk)NTr9#)55az5KGtz?RvsmW8R zILk$Y>~9!Z{Q_Q;Y%dTl_#<^`XPG}_c!c%UGOuO#2dPY`TB~xOm+a+A<;*iKY?nJM zs%*&Ls(L*Um2a}Sk^tf zS#-h*vS0148J)C8tKnxuj!8i`t73X+yKbe^_-h#rt z=j~&VJ$$)(V!eS`e9kIpQQEG<8B(RwtOf$0K(7x$+s@H}NgC%`INd8{gf`OFP=y zYnd`sigiEctnu5$5;z$bI1?HT(%AErBVAo3#qeJ;+@ZY$&hcq>#oJTy?R~K2R{4nK z=l>!^(yHz1G?A4wps*<1Vu*kOtWrDGDuE(CoO7mA}d%0MA8aoxrm$WGa7<; zabp8KlG1ei@xfhk>pKQ|2`$DuFu%2^Yhyr&O)m#&X4f@M9XjCJ|9qjPmcLfpT8u)X z4uc$iy}jY;*52I0D61qRCzO=gnsJUS7STr2ubxr{o_Dt|y!X-a_g+=o9Eo-6kowL} zh?ay;KH|giUE(u*&l<&@M=C5^Bz~5N+VPva+}$Eg`FnSCx~*il1-LQ8-}7S>7!}cz zg>#n^kU}n6IyaaNhwGNxv@gc%v3bfw{M5C1R^o;t-PbMP?6eeNEMxQza#G^jJF;pC zsP(hpMbR4O<=X78hZ(IrrDyY4GautfF2hd9hlgv4nn51MMndUd1qfu7oH8_;x#?u)S@fuDRa*iIa?*@6U4u=&k)j$>OJ>T%4^ZAdVF;H zxeZBd`i6sec*u=XmS^%{_xE1SrC{eTOs<25*CcCPzHIu>*MImPTN0Bf_?!ke&TKx* zoyHlOC5_9|`+CWAB+f-^rd89yz^_;CXUPQd{?avi4tjY%zZqI$ZASiKV}J?Wg!FsRR7LRy;e7r_o5Plp*L{4e#rB_eiUcS$ag+2RN;bqE_yD@ zvCGwYA?TNNuC7vlJLi$Xg%K#3yYPI2B$_4{64{8?;y`o@SaD)^LdNOh zwjQzX>bpPpF}}8s)k5T_($c4NY>^z%+{n6KF@J@nAfq;`n#86Cnoi$>H6hV#$Qh2I z$52w{`)KDSIC%I);_hl$+!MWb9#zCLIKS+XhZCA$$*qH=9DVF)IZKKzm3|Y7XI>H| z8$xRRbgt+&?k6adTESZk`X^qpVJ-MSlyyeph13qAD70HV$At`G3NfLm0j0hV6$(4WO44Edp(2n%2#=_kFb#T&@i=PZ?wIcDHqb2p(ORIKa#~H0iCkd zj$YQ-$Ta{PaXWXb$6>A!ElRmRma zf@rpo?)Q$bg=Y*%<2T`^=|+ZS$lj`I0!#H_fxOr2pj&K*TuCSL6-Jxo*^_&#nZS$`%`9jYWWNjTKUYn4A&J ztrB*(cY-sh&x|iBz|7j9zsLr{EK#-GctXi#Gl9jC@g$quWR)|_i!}L@nnbc>dY>+x z3T0Z4ZB&EBw2vy1E$Ze<1dud+m-5PDp;k6(nO(uUf(gi1Okp zJ2M-)m1b+W8N}KKMyz^WDhCa%RV_t^X+(v_2+4Ya7CL0x3e*f?x$6V=lgZbKnkD5P z-7t*;8~?jrzvK??1X|8;G_V;P+Pqe>ihWi)Fl=M4c#s~shL&ueeiqUYDg8jkWAYy7 zr_VZNT%EWiytpzM`KWW=y{kJj`4lE*rKfFWneWf3%p|Q{OUgiJgzDBP-U2%jJBN+; zhEiK0nVCGd%APO<1L;(v-p+)*O|`lIi=!)#XZrE~V>09n<=9YLxk_#uo3os`6Cod0 zIg-qrlX6#@xl7EDTM;EDDUuCga>r zB`Lm#f9l*Fh2Aa&79pSzf6qq#@m1eudI!CD_T63l@no2yfQ5TQzqS8W z6P7k3SM=w)9160*HJc@Q*Tk${EH}ZhV6j3PD6SJMz&7Kg3O@qabQ5-Z!u~58!?exL zkOV?;iXhfokJ=~89p_~CKvGZpQDJ(94_tC;>OBf(Gc%Jd;IjsCqRI8INMZ@3gT%#Nj8(IsH@Nfj^5{`eG<)*vs zA8hvT6?1RdM%D!7^h27>m% z_n%A;_Oi1Msao&JS}zbxHu^XKeRji`wn&p^MryD9qxm=)b#{6S@^+$4@XP_wREAId<8)=`k$& zL$y$LTO&rxN|pC601*8Xc|WxhMz`+HBy#AI-M8=B_?`2yM1cw3!g=phSV)B}^5?RZ zox@Ez?}^7^AV1$0R28_SnPw-Fj-cvXd46ST)NQt3T)<8mHV@y8?dm7nt&cSv1N7b< zllQO>tmi)+K7QH2QdRF(J|3PcN0@#fhFR2GoIdjMR%R=v?#cKonJDRn-s(f$f-K_r z392OV_BBjj)uc~re8NMA7zhD8S9q(t8)s8FT=W_k#p|PkY9{bdOzfaeuUnD93v+w4 zt9*`L)bU@|NBY~~i8}|*N7+~v6kBc#vEjQPdBn~c5RdqlFYle{@@o&1R|2BVM=*jm ztt!thZsLy^Ok(bYfo@Fov8n=t`p>!z|R|E4%4K-^=W-DZSl) zir40<)B@?>Fnm>f+5OaTM<$>MmzD<_gjrFgM&C4%$gfylv@1PSi&@(6mbE$;;vwRP z!N>zkaMXD{U-kV2xRJYle%Ms`%|TM+!KWntg&*ND%yipz#6Nj$C;D+Rl=oB-TLb#k zHJvzn#%BdL=1=|!h$k={J!HJX?n&x&%*i&kwq_Ho$#N9SRWhvnEH^oC;utrpM ztWzoACHeX3iO;}4khnCXoWWr8$hgF}F=n}Vh^oDBtG%n$N~MP}o1Vs+| z({G$DFrP>DD&YQuyQofa%1$Wc_&>zbOM8rPYYWGuo{iXEmRY7Sr=XlQ{qd&(A~{xF z=xs0RQJcK^`iONeQ~a)EsCW`a%d2phl?zZaJNwk1bQo^d zngbnxQ;%u@y!Yt?u5fr_dNDNANJq`zdE% zgJYEStm%8MATbi4SlZ(%!W75ky_DD|?@22VMI3@UK%r84v&*)D6`^JlT|*Y`G8dx7 ze6W3aq};xhAY-R)bcwrX%T#n5&}CgrYlQ7F8Aedy@=3yEVfIKbStn17LM{)I`n~i^ z|JZD^ps>2~bT?(bu5#$MWACoSHwD%(^G7p3L5Ugv&8Hn5QQ?y3zu_s~2{1kXIWmCZ z?kgQ`(l?V3=M-DV$C$!!VN^fftR1I(ik9W>dYMb)4L%o%hzud;UAg}hVt^yb#qef5 z{b3>yrfEEQiHWS;kA)t{S?!hOZKd|1AclFn-v88-R3<@CkW=lKl$;ZfpDe~zWXda{ z1Ik#u1%sMEFn)k3Hj!(a;dbv&bBDWlzbw>BV64OL=f@Jf~f$9+Lb7Hz)r0hEjZIW&W$-eI zLs$L>s>Ue25KR!(8jF%^-pZZAPD)Ju6Mf%o=d|rgw3|q>&uUfhtHelyNzRZ$2meDm zb&Y|RMZJi8b}5yw65^Y=q|Bd)*pt6k$~A=DkE(nE21fkvvvyh&NstLjXhyeyhhio* zZ)|ShkV3%ZNTGR9mA3let44`5YSR}%BjDL#$LotmVF`^HPx)2Ry| zJ~$sQGAyW}2DL^V9~(*5?#w7PHDE$VQo+lU+LtB&DgLaSn5xteJ^K*ys~$gWCX^{E zg`>kORqpdjxBHd;3Hp&=re$D2%19oLu7Glk?w4i_A)(0=DcM;$AT}}BBOU~xzN2|1 zU#a$$8drP!JW0C^$8h21>8M94J#NA#$U&1`SFZQ}kl1)jp-G(3(NVQK_wO_P(oG}? z;Sf7&ZuX_blt7ms@4MjKSq zX~v~tnp|}BW>BhYaz@+tLfd8y!N72c!Y*cMs*MRU@ZVGkCC`stS3);3H#IJZv}3Pg zgt;WZ;7wM%}O z%{Rz}X?!>N7Oq*%gU4DKGBr*Z`aW$sJo|E*v~+P1-AH$`_?GT!831%55X^)9K6lu; z*j>k|_aiCG)9=KazaAaJPmJCiLkh&VRs2FLmjcYHV+L>6NL&XOTVxoC(!~hj$uF&cigQ0>F247gmrQ{`ODj!dY*FLIQ}E1!-%L z483b!Mo5Tto^nc4Na9~65S1(V@3#^Y1UcHED8dceTwf0et{|_s#g%|vwT!`ZZ1*4z zAlU%ot5(edEnxx$DnyaLuV;KTi;m;tja>++(axkCyL!Q&jamt^A{gHe5lgl-M#17c zL^@O>MN?0lHW3;vy#I`uwLq=#zSC*Be5sgRd8GuHjNe|SoYLiv-OqHkSx8XlHP~78 zbRAo!^hK*cxvds*Vs(xT9>2-400odstWoCAYzQQ|4y{$oecz}Wx%b7%zPhKMnLbxw z*K5BiaNtFu*z%RC>cDQhlOu&m(7}SSyzgWo5r5n8L(@t3r4f_nEEgOd_bwUDZJkIWRJrqTP(=s;rpF7I9Glw_! zPmsu&E;yL*Lp4z+D$*^=~C)l$%w6Q0%*WzWZJ4tJ7jUl>baP9F|qS3-PjlaYmZc<{K3nGN6Z@6{KXQp8Sz2w z>Q+PcRe0yj#|EIg<6Rhg^yvG5a$IJmoA zq<~VWfT^)B7@VB0mPJ#Qi>1lsE&6TmpjpZ3+6+@7_LJMDWx0R_Mr%+t5vWn_a(K<| zs%c%=R3F{Rz%^U4Y|6U4barfnl!P0dPFwGz6pQ%$9KGz{2P{M&<;zWL3P*(BB=;Z^ z^5NC6VE>0br+}8YGhY%5 zSG5-Wa6!by!XHw|R*;@K*=v$zL7w3n5#931eqtwcTeC(L!{)iU6MNOm}Q= zh}aj&-d%kz%PC$4$#0H8oLa!+EJXlCx6Nw74@ZWLl$ovfU+Ef69dljOV&c9ZD*W;jbq9um+SWcGa)=i$N!v_ysnm4L{8fX`SlS%r@^&iuue}X8||b_ zAEyyUv|Lg8)(lo*I2xRt`Ml#y1eb}5k?`B*(g10I>oodmH=uS0Xr-nDmK%x0xZ(Cp zXClVHweZuJk{m^G@)anI_wSnkO4;RmSO`z@_pS<&6=@u?L**G4<>?j~qa-xC0OHgF zC}w0At^&A6wk`K8OC!My*GJK~det0$o^;up!-e1@J$SzcBmIi0lxw%xfzXk%ct#Rx zh#BYH>ZtMIY9`pQjV=*@H9Cu0of?J!P0}Zk#H|^Qvvffyyhvjudqo!Mr;0vF<-%s# zjm>w8R2$PILQ{^TkOF0vYj~$07yk!R(FcVtL`X?5>{MR1GP?5)y`xp|8v9Qy%;dS*lvHeIWh}=eN2t;sk_6A)>{F~4lMFzdBdIfB2!#po$MV3pj zO83`ensxAyKhgncCFT&ed3h5snN$2u_$NJH5^>Mk4A)5D;=xZA?&@16!2wZ6&CZQe zt)e7w3`|UVq`9K;*>fu=sQs@GA#Q8p!M*GKHJ#!KjN9625zvQx6+b?(B#N%O0b@IG z@oX=ITu_Zjy=Ned8|{e27u&jJ-THt_rb}02b93h!&YHTVK(Q0$(QQLwTthK*_bAHo zku&|s8wtwa!m*CL6rcVZzXP%Ds%8LabNO}6)xZ_L2+o~M&*IM}Zufmp-);+uToU+! zRIu09z$4KYSL!6U`L?g4NP_A6$Hzxuvgco@#piet`vR_cv*wf#lRp>2QF zyJojezfav8|s+G$GvX z_t>G&B`U-OqCNhrYh3YRW0$^b0jkTC$STIU@b&-)k(xispM`h*Z=ajtU4C>N*IRq^ zJ=3&$$kizxaLi>owjoYm!ZC9$wjjZ;3_fV;^C zkvb&(YttueR3pYx1!wivm#A4GHl7Nm^o7$Yr6A&5vz7XzoFp_hbzM1va}Os$jsuuM@rzW~E2_H%Y0*LqdbNMJpBn|CvJxHi0T^;nkcW zw@Wjy;=ym(Em;5>ZKhJ_nH)T)lK*A3VOzt|Por@}Y1w8?XyD$mj?vi~=+ap1tJiNlY&CGXuw zdw)l2b10EOL9Sm=8Nd}!Eq}MjsS&7J%N=eBzn-(|SK9%4{xMY=vtOkr-N{ZK#E6|) zai(}{QMHCtWmfD265dv16SnMYZ7gubV<&ONrOG>Ns=}h%D++SDB}4f(&5UUMOOFEo zH=OpFw+9j^_vgAT{5(^INMRB4>9z)L(^WN1Ql6>3eO@WJ^t^<+4+)sqhJp?qjly{A z+#6pg>lowiNI8z_HK8<~RM<=Rx&FSR4LKK*q*5kTrG8b{Lb9*iXmlYc&o*xw+Euv1 zVo{c_Bqpi>E8dNqgUFp)Ne`@Ig9yAnrqG>zJy7ucT?kRr4yjExd+65=M{fZF!82jm zjj(tX-!?R^b46)-;?%)CH*UKa*z&tTD0>kEWiOjWo3-2p5E|1gcZNu*zS%ou#60!p z`m$er1|0rn*jb8MlE+#d3aY+g!r$ItA>9YucyJIwo>teInalNi)pgaG7>*rO`-@VP zqPbhGh5@?l&ZA<9Z!a{4ur|B*ei*ahXs{PLG|Hn^JJfRh5c)gf_mn4;JNC&mgZE8} zZq#mP1%rn68!Zgd#XuGs5q=1Aajlz%Bgv1H6I+}03{b{)9uyoo&y$$_1v%*Wa9V?0 z4Pq7U_h&|Anx7sdpX#HkL#pw2Q~P+bTh|W?x36MCBF-dQhSs@sh&b9gIld$T{>R@Z z_ggjVIz~j*A#Sk&HDFayK!vQfFiU;rzlg?rdE3mBU4Rj7DEBp4<61qt>Iyb`Z(#GbjBj{_99iLN1vL zDF~PV=^v`S!ieNQujo82Xy1)+ApA;@AgqbYboO#)toUo5RYa$!vOvrTXgGd?G#zZC zT5wUA9Rn8b`qPs4a5(Ft`iaz&f$( z%OD);1wI_C7bUGyjbdR`2lXA&{iS=kGM7~BjHz1W;1G459q@r;$NN8{eKbW*6^ASO zNN4I23i&^fVRNF5++%0jsiIGbK+n8%4ixIrg%*x2eHPsLoz-%EsBJt-ZAbAfA43Td z0jWnEe_>stX)cU{7y5brVSq{g*uAMP53RMibgFztgVT>*&0Xj+ zB)R5qz%D_OW1X{*s)XID`ZDH*FT@vFf13Pt0BQV*Eo>tP=IWKpdmxzl@|_fW?vV;Z z{M5xR>4n@cq+y@`?1!HEcmkkpBsnUKYqzS|J2}Y}WzZV&+>mWcJ#C=Tr>2oaBjHCA z{1K`eeM8bO>Gi7Wl-bE(JHe|sYOwKWTbF{8=dJR#Rjc!9$@y+AyjFX)d@5nxJYm=E zl7PK-4;tqL^^a;eT=XD`e>%*Km2TD!KOM6Y$l%>4*gLP;sx5X=-4hy1kHRcD62ziT zq2e~o@^f{79-rLZk_kXKzpvQ^bQ;?YY{e0NiInW=Ok=oKmL;FtUsE#iKY3+o*z#qL zO79&2Ag3mA4F4#Q z&WfCH_EZ6{t0FO2_sZ-RF(_8(A8o?fHm>O=|gk#yX;73RMZRLUD`IdCvFPF5#sx%6s z>(D;>M_kcBogo!osn4ue`d3K5mkwAEHVvsc{ zvw(z`d6+csy*^}zv%4(qCK?MF)dm{@;0+fxm^FoYeK8={^U<|-$OXJ(;_4uRs#63r zlQVF*dke6$j~z--R*CV(*^D-^>vgpN+;$3jhf}LTH0N91@XS0HqRlC#QMRY^I|J`| zGuc3Zy7*fS^LF#v-7o=lEkcR4DTSS!c{}f!Dj-(v(og$~lRGlhK4nkJjem&Xi`u3k zwhDDsHuZ%yY;_A7Aho5Ob^Dr%PF_w|pWNSf*8gctL33;oGXJR6v?6cnKyD|^J>#sU zw;~_32%$my%Cg1fNQA^iqr zx`DldzyGyNE$;<_Sdsy#!KI};A%szT z3NRHuafbU%Sc;+M;mTU_2+i*+2HW4g;-VNUFOP)@Xwj-MK^1q6lws7MS+Aucv8Do* z?<&&f>gM4}19y`&@gml@o`yF|J~&1dHW0YbYdM#S&F!nj1G3x*=Nd_Y!A$Ix{u_C0 zX8ug8q5=n=**RH^7;3)z;2wh%_CC-1xxq^+*4FENllW!$9q}B96W-}h&I#5c;)YR7 z0NUk>l}Le0Yr3|BxGXl~4Q|yg6i4_D*5)+nO#+7kb~Z;B{;m?X2uA|V3!sw9*}a(C z`Q0CD$IIpHi~$XbMYM%!k=cY#!>*zk4dLAw^VpilV@ULRIEZE))SkAW>B(e$3@s;J zOQSGmT-_zruaIKJ^y)E2I}&|hTo((bsX~~zBl9+DdO43j1LO|a)h|sl6CQyu|b;D zOmTGOWHyOsdV6n;qQr=j@18xwSQNM=0HHg z%GFaIi3;4|;r7oZQgSTpt+gp&q1ksTK`fGpUB0S0V0ZqsL-l?z6pJSBP$^xILyu-^ zpG#LaF~*0Ju3ejHP13UC*=~oX+wC59yIyA)4uM1En!mg}PraCc0?EWRU0Jh)b2VbK zCn3bVQeNC@$@`p_z5s8$Gg}ARcVi!KPY6B_5*5g%DM~J=))Sk4`(X}z6PvS8a7c-D zxVI7JX7acbk$XQ=t7PZivq8|G!;!YWp~6z!fyySud7R&<>>lTP8M+nRUQfGg?=Mq zgd%*3b}Png^4@{N`ImwhY)d@33J(PEPs#qR|LV6!R#@2L>vYvSE+zy!#E6?X(vdpG zUWf1B=jc4rjAv!{%~u*elm7HGckTlAB#{Jf*d-OFgg|h$842YKWrY0{>LDvr0Cih z1XO8d_CKqC4buAPN8~KFL;!Ww>%gT5A(RY}hnt*^-Zm38Idp%gw&kG;>mj$mvFswK z_r*?c?`U>ml*e(;OQH71-F7;cO_S8EAjJ4VgR+0k*s-`%kVNc8R-o}@t-;tJ#`3WZ ze`XE+5+>-w*B5qScG%^MQ`CpfV|%4yq_RF~>iLt1<5v5)B>M(@VW>tn)ebPc2k8NL ze0bN4iVW5FgAQMFnDO`)TCKBqxx69_{u}~^L$~axUt6X6mlSZ_{OUJk*6NGx{c94-bld`M0 zx}}GhpZi78wH(Z{*$a~2L)Juop=jvYzFsM5-mJ~egI~^p`We>?9))@LcW(XIGA`z# zLbJQ}%37zQ{;93m3WlX@iBck$g8Zu24UT?@R38nmyTDdp5|x({E)D4?V3!?VCNPAi zb|kJ3TN+<7mg4o&N>UGUiE3hlA~&eYnt;N;`#cXhWDl!h!C@J(Ll&0UGz4x&Le1^_ zkbSUR4J1EQ79NCLOp3e9wKS4n5U}CpV<~+}{5EG`~MB$4IAC4qqv(ATww?r&iw)e@t zcHSjpls`XlqX6wue;CP<=oGQDkSNjvQ{#Dq?g7e~dP(Z3FGZE%ZgcaHb&VeET!KNT=3!?#2*mMztpT=_3`gaFm zgyElbPWe--ygN9K)uOzvDhxsPF-zQ|w&nYYTyP1mPGBVOxfWhz4+zGISl@4u@oX7J zv7o+`D+jX66lL$&PI{#>@Pqik36^9m_?tr9Slw{R8@>Yc?NBj9)C5KU>LkeJa)Vz&osLnyTuz5) z*1POGq?|%$7Tpkf`7&T72vw0p1M206u@4#IxtJS0iCVL;o(KH=gfNTep~`8dS}C(R z;U1{UxDKAIx&afKVil&=cs8Y1j-9TvqQO6;zqQeG%0dw&Qm@*c2oy?IXEH(D&dYKd zg1Mf?HZyGw;f3)nnZSgVDSn^wgmJc0hcbOE&K`H_07;)JI?dPL?xu@RP_DiKN-5{P z{TW77Io&IcxZ&4V^RV)aJ)jr7klKM8{t?0)N1@_rD4MtGP~d7uf||a3jfA9exTRlb z7abqfsPRMxcp0ak6s-2GwRk>=nN9kkyr0_V*k7KOuBezWMYGOSk>Wb6Ylq({yRxJP z*o8UoB{K(}y%FnLa7Hv=LR*`%6u5}j=^mGhtuMiU9sP#PpE`mJe(ZCRI)^b2E`E3q zmC8lwq{hdI)az6ReuVlh8906M2~=nJ=NNTMd;+K&8jcur`9-r4fC+E(uORzCn=w`U zfh*R6E#E0LYi8SMecy#RVaB1}-XSg1EMX(}XmRmcpCn%V2*E#+8s~Vs>#3;j#gaSL zd?94kSVXx^B7g!z?_zLsx$rRh?JCOI+D~r*B?0i(!X>C&L@8caqJ-Dd5z)j}DSD{# zt!5skw=l`&_=@7g0hdaI>5y6j6s--x2Y~2Y+oMq_ zLdV3i6B+MS2qx>5&z$F5+3R9`EAiJo zfEyB*Ec7DP4kyKcqv5rKflCuz3eHKhKhg6qik2C(eUy+p|C?wM^h0*`ZWOj;Cv@)K zoOqe(cUd#i5o#O(PIT-wA2E|ecAj`G-cn@{>_`ds1VVGnasItfxFW1>J^wTIx?i;U zy`F1&@^50LmOW0IWB4!{UjD@^TDPkKhNKFS{YN>W6$MY<-?Y&3&-{tU{?u*ZDRhy6?e8bb4qAPgCReW6#ge>cm82ULK3=l&Zro9 z{Iurfc0cWtHM$1gL&D+uPE2Uc5(ZfYjDZo3-j2L=y$WzRM_{wEjM1v4rkiBpocZJW zsYR3aZy`;{Y0T4)PvexPmeaoZX=Q#@5GMw^T@8TDz{z1j4t8gqF9#Pth^i;?qLxXK z^*mjm?d&0~xwk|Sv%Z{{|0p|4k0W0*F9b;G1eFXpX=Uk`Soeeox%E;^;}R^{&`THk z#<(0`axW%E93RUI7v(gxxd?2Q{pXEvnRpYAhZXaALJ3$pVocZ#mlR(&KA+OBcTCO$)<^t|scWCj zy6x5npW42Z`@&B$AZkb)%6jg2=@FL3li0Ug9T0E%!U)(v0%xMsK`0}Qr;TiBy3S&U zaDJ11D~uSgVnRw~nD~(SVakzmDIIioNAhDZGyqk`e=ppm8-$|Q)b#h#xL)tjCE6_F zwlRMk|0d6*N+4|zv5Nh$w_tWbU z0zN$c00g*xe#l&l*j6_jE&b-{cW;_X+?I7kNdY$(BK*4UGb-{?pTYg!N{1*GR(mZ4 zSR-~@wxf?~sw2DuS};m#GoRm#ctqb8X?t#9RuLG5PBQs!2a%7--Ec}UT@WOIFB}7j zZ|8_y*fK9~JluK3_}b7sXr~rU3sFsF2Qg@x`@=OBJ%rW2y%_qkg6V7@Q7MI%1Oi z5Ct9~UY*i`Ybqb^LhV+)$>I9JT&!#d&ZAB2c3}=Q%%CR5dN9m=-DpubB{LI7sD>XWWG{Q`kDGua{M?~`WIwNqY9?7mVC7WhD}K9P&x z8MN1#aszPPMJM(*fH#6Ma~%DRckw`d9axWa4l7!yNE zDQ{7%{g!_KQ5l`a1M9iB?9yxK4=^%&f;=RljWx(M zJAIj;`CLDl4`O}5+f+wHAv_$=pCEu(AKR_Erw+OO#V+UxZ=b;d8S5!9xDvr|zIhzM z59}ZR(A*8cJ^S1q-;S{DR9-bxpdwcbFPyFUawZ)4C#Xtvz(eY{@)|(i?dD~`J2PTn zN+<0!rR4Cg!fZR1jQwe5#uQ)`&eg2xOZN83a{F|x(?N=iO9BEiVV=1CVa1xFm<`*& zJ#ji}(0~i58HFON!$Z8W5@liGrY~Oaz)R|};l+AV?2n)m3EdQ_DpZtei#iw5NN?5Y zSAJ=zibWU(;(pt-bU&L8xuzdC@|pjMZnj7@m>j6q2Dq!+fjb+MoG+vnU0znL_C`T& zrWeGW=~1jUaNS?Y@J)E!h(0fK6H9DfPkJ8$+r$C~C)-<59ej80tp(hUGuVo$cKpRiJfd4^)cPJDzD+IL2M?FQ;5HXZFQ!i z8yArZoaH`L#Z{183|-zmO0i~`d$)IY+0H0Jq=Q^4d{>#Qk=MtVdv2?=^UOym3lr#|rv!Qa&0%MPI85It6% zXx7hQBdt=gR+xy7OMt(TBaU=cAeS!jRHoMo#dEM&eML?9{b8Nsmq!;>3BXG^{PKa6H=*b2lm;|1Y0&|x~5|E7Y++;#jgT&6*5(j>|oU|FQQrz9x-=NQ` z*LgauU!dn~(_H4v_`~YjaFa=CIQCph5qOabRsZD^Rc8U;?fyDVa3h2i&=IN27X?Vl zeVxx>qz-|aKQrb38}E?$ySd=4jN)N!J$00nRuKX85P5vR@{6JyftE2>x)RwuXD;QK ztw|8iM^v<&TuK*z>$Z;CgQ=8e-l|D>csf3Kwk@PT?XGKplx4mps79KV>#DaIKNx#y zCE~gtK!KF<$*aSme}cL(8u>$ZwlVR+XrN$VlYhh;rCp zFZI}Y;v?Y%0g^C3%{uV@>zf$dDB9_Ynob!|qT zoN!rClKa^p)7QLjyWC-Y^M(QS~(;3LEB^9R?F`mqtCu zKd|H5UU{ko6fWP)bc4Ccz2~00-_ze4@makz{H~kZ)z!6p*;PO@ECw=J=>Emwyk!9` zJO!85$A(TuLZ7%f-sO6PmU-XOJ4YVKzAO)tgd=`Ax1{+{d1K|CG(Ko61MC9bG8}5W z*5L7To|~HXh{>FibCHbu@7Zl~`Ik2(piCmAiu~kiw19M*$Rzq&Y0N%U85V=N9ys;b zGms#xL9@r-jsgi=N=aPN2p$Itb|;1rjg~iaewF~HgScb5o@*3~4d0n=5oG?*a6a>8 z;DgH6lrZO5^lB!ScV6^kZbw>UA29Xyuq2B=B-_LJ3F`o)Tz{ld8lvExWQ!3$oZ%7p5Pe9lc{7`E zivmNIb?C^3h$tG}V`V$qsH(C)UYka#@T%yST29-EVYh z^yK4@YSqqGd?URk`*y#{p!vkzBy*RGVwqk+KUz3K{1TcZIg2~)PWbJ~^c!xbv5z-~ zoni@i3yO>ffK5hrJHOp~&u-9+sjAD}OJi&&kHxu?iCHl(%w=z)vxkD^)uMhqy9@dw zQVFeXs!~Bz{tXdD{SPGLYW#!yQ%x5b{L03&Jmj$eRWH{1!_JvGQjYhZ0pk9${o|~E zFmH2}^83xfwSskt2t{(Y4tuuv#IycqcOpihzsnV_0d&^dm2y6-Uv;0kb8mV#o#;Ob z16e`jakHFJ#%8^}Aw z*UsTn5Vb>h1c4U4PO~w4GY{5fB)kFJZnuijz?3Izmk`^dY;LWj7{sV#D^xIGju@A) z{*E=52Mv&BzYT5%j-!nOv)5A)A6d!J;_NoauH5t%S?;s-n;Qm&7!~4(0i2^!mn^Q^h$DIH0_q7VWI13JKPJOZ^ytLcGEThIR^a zR`eZH6}L+HP0uH8`%PjF9e2=b&h!mxQ$6f-e%q2qGa+Un9UFE2bBivD`t@Q-0Whog zTr-o)5am~$k${s+L5L~fW_~uitCX#)Cp@JP zravNizs;G9Pqwx0br^@F3W70=lXs@fGrJIOrQUz z4>|2b$axGN$x7m9P!7hC%?(LQ7nIn*e_qI~09E5(*m8_JG_?sa>L>231CHT67eWq7 z`UKfKm#9xjU&wg;(*Ht*7)_h8)BiUjIE{yB-22*3LO zV<`0I6AgdZpaA5u)5&eCq-O|O6A0{jvRB%7p>2{iREZ(HCKHk~2(Q(s0i(KB?L9J0 z?ga?jR1M!=g1ow5nx+3MC%E3*|He^seyN`0ErMMyOsM)J!)JkuPrLc8mNUsz+}K!u zxqO@yTk^w*`5x~SWKK4S-z0fa(bMlBUDjA{=w84cX|HqH^4j@O5xGCX&Xl$80plGxq_dE zYiif|g10R2J~F@3G7U}5$}kf;X1VMbl4@1)JN<;%sX;WTkjy%)UAT6)}`<#%p_gsndPF1rXAct`t5{?2axw`EMYMo`*0)A5Xt`oPg zjS>JQgHK$&1oajP6;k!oGu_XWy28TzTzqoG+Ozgv0`l~1d`(Mbpbe#Ip=m#60YBW6 zv<~7d37zQ!mg!**nzHh=llIjz7MgTEtXSXE1w({Xa(yB_HgvF%wZL1g9F_SGNu4+F zkFI(I3aDM>R27DHubi7yDT@hdh3-^rOTXY&mtHLiZGN>l7G(i2DC@W%+a+FOp!U0i zUBK1adb*(!Cb_u2cSw`v+MzW_-)*&Q-y(jA^i3HxO+ z*l|O-ISZmbw1%h=y$=t*$P!0Ousa+K_yt;p`kT6Ju5 zBF$^RE*oqQz~83FG5#OEmmDki3!p zyW>BIB7#%5C`!wkX_}e@7{a9~^Gx87(4;MaP>tkEAuheKJg@+5ofl~O{f{8f0pa?ca)r}Jw;A31Pca$fHOYs;THn3f0VF2@Y&Tmk4p>}LQTB1daHvRPCsI{ z87ZB5S*z-Ntcl7lro&bgSN(!!+O`Dv1Bt?f~UV5FWe`;`OTdbf)flS%36Ux#D@gnPwHW?e? z_vkH{z)M_VvIzeiMy^fa%zf_O3bEWQOwiYEXamG2U7{iV72p-+oOJ%f0-|`|!mF_m zGKbZUh{|VQNV4i&iJgC`<_CQ)o3P+2bmgp{MTlUgKHZ=%8hVdbT5MIO}#>xN`UpXaBJ?_nw4m7#D{BV+R!cQ$rELPRiHG~ z#z?LCbjEb|g%Dwr143kt0F+l~ID0U#{c+2wQ36pDORq;l`eqX#QoL!O&XfRqjf=Fx z*3XMy&8b{CGT&hA{iTT5qmCLsn8MiPLCa?eC3)QT4l!kUmI61VsruaZ?oNJU;;GOG z&a<)MaT@o#?gY?sq49?Xb^F^wf`D*0#(8u4ZdNBTR!UOA*ez!;2MJ4!J!~E&0&K8s z*m>kt5MY8GY1ln?54e}6w!Sl}K%bR+m!TFgc1W|Pvy)YMJc7Y{=fZFW@EDDAINlz? z-@8zlI+K^*_U+=fe?RRHS%nIO8UcTYsD5$z$yj)+_UO^_q%=Uj1j0Ppg5KU7d8H-g zx%b?krKETcMvnC&jV`1|n;8L!5V%jXwH9VntoZohLJoiLOjl2A#uvXD|LimbtW*5A zB9G%j&tjUdC8P$pnV@={k#Ab#5Uw8{)Zfd?2PJLUEu@L&@XImN)hlg;O?K*l+ZvO* zNn3=RPQf4XLMqk-%?hZn9rC%}5FG7XwPvvlI>f1qydK!vQtZgYJHU_vr_}m@cAZ&%=RQewS3T?Ms z47J}>GLl3Pfnc_Y<6VALOv%h!;AQLH&IJ%ZtPW*59~(mZ*0<^u3mC8N)H_+KX*U>} zt>$KKRoX;ld8-gDP=6yY{lC8U?SbGd3b~qYb_~d^o)24!@C@=K;mDNA&q?Mew15ok zLyo4!X_L_QJJdvyA3`Mfl1cal_K&Ir(_0^aTYEx$!1V2=WK#1@gmOe$p{_!&i%-;o zvb27VzHVg0tHB+zPwzR2fOo2I!0WYBv0aDdscB3mr@HjtJu-XGQFA?<=e6!$7N+)% zU-m@ShXpJV5Lhdq2M*j&!AM%ARJT7HxuOJszKK2cH6gYA!{Qx@Iv+xhOEJkpinB)U zAv{C)`J%*D0+xE{_&rHuI`{-xUBrKp^ zk1zuu6i%HlAa?C>$=qN%`A7(rx2Qv`S#58hkixn820=+*Vv>nLpf!d6I{LKP5BQ7w zO?I?5;(1Ihg0IkwqGwiZfN<%^9#;b9wG$MVOBX;s_wQM#*_y0J$_J5|oKR(yFjzUf5)|6Wpcbl19a?Sl(l7!qsX6_=F zs5Enn#LWFx5k)4{0@-#@^^5AU;cp0DTYd08Wx1KZ|6zKg}a z>DbMFjnX6Fz7lUHd)~K*e{jDmy?aBs35KVnS!<=YJ;?-nxy2T-@BgI0-}t9oCMhv6 zWDdfJhh~XC=o67wWB0*H`VO@Y70&+%h#da4w2q6cBmtA=US814Z6Hpa&m=H=fDH|% zySc1{x#5&bhC<_uYQkqK*t>AP2;D=w64ntf#!<%kJ9`z5P>K9TR+V!4q}hke{0+rt zco*Is6<`nlM^noMaG;E_Mk?<^T;R_L_B__~AzL_{+fXj zK}r>ZT-pZ25ASYa7FBGKly7NmQ05l(MAwQ{kJ9=-Mx82e}XL-O%?MB_hk~wN>a7 zC6yC~lGD(e`td%!8*J1LiERW`()^J21wRg)y4^%vD!e|5yWr|=r!KEjz>QnuWplK4 z?qho-`YxZ1*0FD}9DH0lWXVb|8CugT9c+UADthc%d3KKp#6|<_R%dbml<0C>Fuddo zj4`9h3DKLzZ-pwq{2f`JGki8}AOk`6`V()x7J8hBBkb+fYv8Lxk&?YUH<}nyI?hg` zt$nb04~Em4;asoS+6%{w1-GgSZy(+E*mw`@P@J+&*xIAEYmeMvZzxZd8&6ftA4#ND zhTB5Q{SkW2gMvOk#m6ny{?cd|X zoag)0<|_8;{hCLotEvIk zcmo*C$rmn{NR`35X}x)|0?2(ov+k*T=UJ0s4*e7~Rg6Bl=I7P_deg%r>|||!D2dBD z2G6D3qAWYbFm55y#r1MI?``(eCr`|}ce|xIdws;ubp)Ks3lwZ*c>A6OkgKvsJN56# zMtR7s<4k{2oFBgF?yGDr7oNvC!rhGS}RhGME&1tKYw>ilJqn@M!YsC zcy>4|Td~Mw#5%?<_CW~ek(@Tk+JVUnk#)xbIP!V#SJAAZK=K9ckC?gk-_F(g#K5~s z_;$SFWhSE6uVYs-(Ou)`&(kV85n)FMqqfbxlyEJL(`+=(;QYbKy+p z)xH7t2AFTof&DX7Ci}u$54#e#yI;OsNrX}~2E1O1PMNuol20d9f?+_KhB%;!H-xBL zO}D=FA6ankUsCP(`TD);xdwZAJb(m$Mw_jRq-Z((j@W2Jd8x#n5zs! z&=-zr+D0qEJ+3BIY$e&aod`JMxd==K`v$ifF-@5WW`PxH)vfOG+&+i|U!KAh%`hv? z_`vS|d`TvET|H0q<4r~Hb2U<5T37{W)&k&9q#wy~@=5C=DT{PpTyz6HtVWF-SuT8)Rc|V2 z{irnZ+XJ}}OxEEr{sjWr2V~oB<$dL`|DTaRUpRDk z2DwbuMDSngl_ehN5JT0|B5dl>3T$^KR=0)0@rut?(2gM?A^vln9y_AMQfPE7PN6WQ;oxgwAe<)h@(xS?w8G(bWcfPY6Hj&hJVzNQ%uX_qi|2rd$%OR_$#IT4eeF4!t2Zang?k%;1GT`cW< zwR_4=W;!doI}h9<`g|MU73XS8{Zt!q(RY|Hn-wHJRymn36EEmIJy-4vAiz`!-kDZD z%zvXcVI@J5LZ2oI=3~~v>r6VP6qiqj8l3$-bzSq)E^;{=;Cf_G1Ase+%sl< z6l+4V)3Cn5O!V0{kgZw>G!$&%|F9T9fHxIv5X-pXiep3EbF2?T!~~G?#H4^{erLw@ z57`lH>TR~2}%8(-uK8~}jZt|od{IkNEF2EP>G z{-_7Ki8vQXdJ#KL4Cnx$*TKDCP8>S8Hk>dN$+M-113Yb&bwm;>dDw2$KV4N;oUawA zkeDd20+M#n#^}zrVgUVRrzQBlN3g0solyJLB?BbniS6q=7$lq>VG5uuRj88-5-v43ElLthC)!l^p%OXj%3H?XW&MvS6>8xm?VcxJ6zc+hu%y?=7c>^0>6@Y>K!fW-#pV z4!`8Hm-TA5i0#?0bWT31RGm)a6$pKfPuLl6wOPqwHOsWICCW2AU zw;h@TIYG}%5o<9%`SF&&2wBuSV}Yn$;p2S=s$Zc?{`3LlnF`2#Fn3;u;ZiUKE#a?M z_N2d9d8Y^4dWBmw#DQQrx0!+i2WH2QGj!S3kBdyj45Mg#~FL%SDX z4i{g>jk)h}Z^%A43T{^FeOAJTkJ>G5)KXStu*bwV1tBScF=Ku&E{y^}Z#_+)>SiA; z&Z7+x0!?Ii zYn6GMbkFIPr^SQBJNv5R%Ye&{r9ThzEjF?UF$M3wl&<~ashD5BP2!mDuq&Eulu)N} zPM|Nho-pYR>JGkeUtIhJ6T*uGS~lI|jE7fJUYJOEKC|>7e0wl>C1E|wksY+0ccCuw z#tEy{*7RG5;=dE?`(6Setp zPU%2e*mUvh01V1k;zx*@|J0Jmg72O8;nwD7S~Uu-;~v(_d%XY%yVkQ8Ur=Hd;q@Dj z%LE{og?X3Vo$p`k)j)De7a}+a-^)~F1o-ChlhrqeC5DsqFK&xmoD z>$_-#j<@{+mWhAd7D9PxZxZ#r&hKFsFuTYgN^scW&T#iXfGLb;cR$62m+Z<_i z(}eu{l?^9bfq%&b;PP}f_QYo4qYi0?oNf#jRbF$kP140v%=2e*at_hh>{EJ4$_x;R z-OQina{jZUDj4Tk=2sMA^s8hSTD`)X%CV3D)y-}nfr{Y`;5gq7Wyt!!Tl9#JK-#6E zYF(hRfm{M3?DQ%RywW*Hk<}0Ub#Gn){d-yLM;B>x$73W&HvMX)lD79@%IZWViV94| zLU-cBb#}R%kFTSmfQHLOLJMbBv)LIn#pnN~kiD?1#(ju6I(o4vg-?AbM9oHX@p+L^ z;;B@p2YREQPhU>8*ZB)NT=Eo)557v{exiinfE^CcCa6@uv|J>bQ9v0EtIl8m#r;wM zdMhkX(^mCRS>vMa`p z6!b^Fj&kCV@z`B9KRr9S`%i0!6<{zUgYP+fAfb_x$nWhxFE76ZU5}jIv^mJt4@|-s z=cD){1Ie)DepUsN1FcF$*Sr=Ue63y5Pd{04dFIU=_=aHyW(gQG_Qgz?a^5gL|A*!b zc1*I{*~?3!2FLYDoBz=YjzTd>#wf1tpXU~-K$NVe^AOplO6f()fM$@eFAq08hvH!E zr=8svrBNXHFH3ey7$g7_5c)uTU}f<;`@`P+n2bW0)WjoTdh5q3IKvG>yBO14>?zz8b}{cpi+ju zx{a8*{#&bJ;qwb$S~snRZs8_XiB0(o8Z^o1OLV16AoI3H?g#R|#QS6z){YsaYZtN4 z1uXIRMH8itzA{^yjXZ@sdbR^w?8 zePg8|A0H=Fc@~W6)b?g0K>YLJy2Cv{((wM-?La5FSNO#gF9~ip%#f;^&v(&?Plusq zRaIBa>`7FMAh&bK>d?+hN7;Xykb6s*_2UnN*&c}(>53rQn}*LDN^bdHDka?ZN( zu$vuJ7efsUuc=hK2pOHlLqO$WJiyV2+u1?ZU^TG+>XnrSB#Ya8#8wC>wZZFlUY1-|e4g`b9Qk zNQXI9FjU$)oz2s$Gr_eMCV)2%QJGJA|KV<(S*1}JxY#h@abkL3pa2maW53aBJ@eaN zyCyYT{N19B+aaI764;W7^U}1!0XNc3=#=O>9|B(QCl80`$-f6WiGm7exFd7=L9io$ z;*?vpsJz~MB_QFJZwR2{w*2Jnky%c_91i!U{-VgoUV!S3MBj}Jf#K*H(KukH97%}y z3*xg;e8es+Szi34dYlxviLF#a$_lnI++(&TX_8_>3Sj6Evb-`izi=$^pb(s4Q+fUq z5sX;gbQqTG8%VL?Po^}8c7@E`so2@xDsX)Ts6NM7+z}`fTJn%-K!||DqxHZ7rzi;gU!Qm;P9_&(Hnm_$W)%JBbI3 zSa&2Q0^<7d-I>1U15urlVA0Z|P;HN6LtxGcE_@x=&uSv?s@3^^ad8+sSmVX9jq{%f z!F_*0es-k1gUep^0po`cESaY;_-b7TeLCjPxyOY-ht6&;Sr({YA!}5Fp>QIyUdm^v zNI%IbL3e(B*tB*X7gHdWpi+aLmVXyxU)1J8AR5Sd{iq%lhvA+}&35eI9Jx)z*>yJ< zdGdfaz2wqWfI43H&NBh;dna!*q*xM73->>5(@R+Omjde}{0vYCNz8w&7q^C0Vv?d| z#ve7JA-C-)x|YxOmvnqJ zlCwjRL!p3LOaql%EA_pIw9$(ouiC_M)Kt*fOg2K|R88nK&b{&mVt0Z7<2O>{roQ+u zzuS)g{1mKnLg-~{Gzm75Ubz_keqReC@_EfTN@Lt$=zJyPwYouVDIjUn*HsY(KFa!@*kn1+7+TK2yg?g@i)r+7=UQUcbLwp@(a>2TeW9qf4@z-!YU*=EkE+sD^ii*3 zyyjB)S%UwFw$AsygP*WHy7Gf~?6P}|x#Srup!Piat|A$F=tIQ0NH!3QYf2O(+rm%g zr^t!)55kXmjqXlNTvh+>0+^D}p%gOpc^_ zU`e0HzY8Cm?K%63j@V}h$CBVyiQIjs$hh1?!GPGJ;~iR??OFsq^~1vAY# zg<`&IOBO#tRC3~rmV`Tu*)`y~e{wCpHA?3t7m?`IuA(4ow$9}OFc9yxe`a39p8a0v z40D7uzDUQJb+J7`?^voLrB4gdZA_H2xD_IGyi@-LcxikVJ8(**Vn*^BhfDO_N4`Cs z#3Z2X1cJ_^l74EQK&b$SWdBJr*e}cca5&TK(|*Ff*eAc1*5-ZzD-!#BBCWu*<)%1V zr~{44zSx=D;E$C0o}*xE(7#*R8~w{42pZW>P#vYu>xeaL<*bUJ zP<2@MH%F0ajnp}3OKqXaG~_u{=_meD2I05j)sX&9c#G)4nHR?`Mc){7GKaBtPag(~ z-dLu%y1mc-HthCkc67dRo%r1)%cXY?VLHAP9|=;cX%JFM}aFRbf}s53anP zi4Gy~N}aXOaw=y_|m}=e9mHG?o?-leXscVC$D#n56%S zGcb|i-9g^c8D4Q2Sma?E?-Vw(ys_K>Z3EmWx~+2Ob$3iRd1P)pgo=F#%eq@}43q*T z)6t46c$I5U5L7Vwzp}0f*usNv4d$zxmZ~Jr$fPgJxGkruZubvsGG3VJ2_@wBBr~Y^ zR1CpOyi`sdfLz=+b+Nr*c#eT_KYSDWwGM+8A08fILo62um>5$Bgp4{RqpDI3_nviY zZ5S-KrQu8$T7Rw$OuyX~)ySujcGA1xuzk^dcFP+NSFfy{C@K0nb>z{!wxn&dwHzcy;din03Jo$JUZkAR^?jj36 zRf8X&(r3O=diNkh^J|gJfyv$bS;Y=l(C6cZa*yTY4!xZXNq&ibmmAJ);R^tF0>`S8 z6+AU)CF}3-R0b|2)U?{d6jVPWgGN5XPe={*_+O3sCMp0j;pvJQ0nZ5oG^>NPf= z`d)m{AF>f`iy3(s;!L3qPFF=$D~fMY?}RAI<&Yk7qjHgdL@p)bLj>LLq1WK2 zt=Tw7Pb)rxnTa03Kfa8f#oba8?2Rya zJ+1`+u+_6)8~Gnl@$We^jsGU;;xJ z84y*7;y@smy2D?}tgq;LG*aWcnhlwp;hh(9`IdPew(iy3{80SVTrM8^%si7NbB7zr zJXr?!knULmyN;c@5&e(y6~W?clS@kDMHi)*$f$|ntG6pgy!0=oy;oQzES7||>f)q$$rWW^{GVsw zQABH(B-P&mm?LcOJ68O+EAD*(Zp_nH*z8rdw1u`9O$;>M=CSL3LnL}O~_URA2Z1NrxEi^L)>(&pn@cc98+4o!zA#D?{t zN+xy7D&qsUR{JX>riTR0_Wwb#@J!X|BuGqFqV@TmWAv=F?TzrJa|V*u*x`|d$O!PL z)6V5AGUQkB4Sn0F%?#J3)2GoY>ejH_z9CdI@4rN^?T!P{;rtEks@njL?N*SlM)!^}oa0qkAgVO#p=IiGObaDb$qTXAauK|`#`)aVfATF}CmKqA$vT(t^jB{(u?pGXo6ZC-9l$vZ4M}*U#6I zJLS{9`7Ozz)nGw;gPQ!}YS$Wz-Dt1aJKYoOHnEJ#Vdlff6`7| zfncc3XnnggY21j?n+gcnZ-p@d;HzqR#Cvs~&O9A#=+R8fQLo5Tro#?*QshExyaqJ@>D>6<``5q73y*)E2$)vLd{52E z#Pndc%gg9_phsltDDBNWQLjnk_;G-9)VBqT1EWZSRxpCk+F$G5hZ+#%L!vbBp+88- zZ0N#9gK=F8GC4HO4`DKnN|i>focp_#KAnubWin@hzw(lR!$!~7nvncl zM!S%wDaPd6aN5O8wWc@}6R!xrl6Yo~hkD({;*?bR+fy?1RCzFhB6cJp#q-k6jQyKD zK-Twp&+0+lJ|5G#;YYtMzts~m?NFj(n*#fpW2LTOZv;}E!Y8(!cwG}rAWl~6rf1JE zAjHS@S}7b6@%!(DE);Ppg0R!IgBr8_z;1O_o)EO-P zeUW`!Cm?)lTEb-y=M>W>pPc;UFz+B4L7W!XzwpjX_^tHT#%o%E|C%jA?Q3 zg@Eiqx?aFep4&!Tm#>f|wx*(oKHN&PBcg%mysmm6P>EW~WlMzcy(AEhJaEY&2rNE3 z4r`7u_P@?&@%!thuiW97_zX^fHGfo%%Qd~XdFJczyka%wGz6NJJb`DuT&SPZ??iUK zM6GLush|+^8)$R-vfY!6NP|nSj*bDG)^7I$NR&9*|4yZ@S7p?oUUd-b_$Oci?4y-~ zgW;bpZ(2-5vh{5B86J<+-C>n}0>op`y^1#eOKI1r?%VCl5v-aqhy0JC#Id_Bv_*ewL? zp>Eh+*Q>KL(*9;+sIBqNJvUH$Y#I!+Q>#2o_~UeR^gLE?J^^AXneOFobK+>l20|`D z?bhInLf?x=41$F-%ag1DiSh@-t9D;4G!G}2FaZ1;U9Ou{KpvRNjo$-; zC=T~tsuk|r(HtT}Kd35i(|3Ao_G$jo22*co&dAEQiq?dJ0g~lQBS4RgL6yF}N&ONo z`WOeoq|dPJ)-&|zZ5)Dqg8T$PCI~+;6vv-i%X=*hTMR3dA(fzM)>T>=Sb!Fgc+-%VwK?c7Iyh)in%QL5=LC;>`HDLoj&7_rC5M zl=|pZ_lR^!7w(~NIDtc=Kl?i#A4x2^=aTCZXBuVZw_@tT55w~^KkgQt=t#}{rrgNk zKflvsS&h%%ebzRa`Y&AT*PTD_jm(Kp!7p{gULuynJBDoXm?WvWrnX4Y*(|C|Wc5qC z^eA%|)R#*zTtu}gw>0XZBsJiRa7XT6E7#4oLcMe-plgZT9whEY zl#xMFs9|d?u?dv$)AkGeee*F6fW*>ph8^pt25=O0d;D?g_X-ivz8MLLIilacN*JBw z;^|BdmT~Ac*9H1`9Q@94#clf|1-QS~Y1IQl{{8ZkukSRj*$tl%FJH5fFIaewwd1vf z?e2@Vd0prgk~EDur-D*X951^Wc*BNnGOvJ}_x=&1?eC{rQ#VX9EFCaKic<0s0em7*_l}*9AYmJT{reEeGmb)8%V>17)1j^)>aM_p} z0ViJw+0h!mlPYV6WoD@^a!lwTD)L6rJ9>vri3;Zi9b8~&PJy!M1y#Gpl9-8!BL$V# zAzcYECtNd1fh)mth8?6KWt-j#rHFOKLDKJ=tQEdt1ViO^dW;q>x^Nj`GgTcWz`5d7 z=n$YtFzW;69hq!}Wgo%)BNK?BKc==ZwqEI;DCm89&FZ>Q#Q*V@l=^njbSqFtL8kMC z+F0IA51CmC^mm$(z}t8Y85?LQ0~62A^5C_fNx^jIDMarP8_7_teab-_q-1C0$+;)o zz4KWK$1kkIZ1vHpY~?_<9pEQ=MnQ-#oAp_&gT?ho_1aZTqZ0;hI1o?^rS0Q@+pC2n z32=4eV`ff# zU-f!%JztCZfZI8P?Zh^{Z=yjH8S8W!(ey}kJb0C2Z5Dd zLs}6G)`cR)AwVbU?myLC4&be_7t}rwGaIca)=$MWarH5g^~y`(+`Om;{h_b5RX4>7 zb4ZvxsLW#Sl>YgGd~mHCGtJ}<;G0#?vS-vEgPKD5`~Sd49ROciEwkEU;EJv7h)FrDqJt_9{{HQe!m!%inU^GyaZGNh|OV zp{*_sxZ1UF;JI}QNXs?j>$y;1fs8UAiWx(KpQ`YalICGCW7sRXV$qW670RMKyhF!f zQj0Id`u;dADh33vhiX4MqvzAF*RdkvS5kkeaY$$U;jY*&pjO@ewKJ93=SWZDrL(tR zUBx!bsGU>&iuM}<(M$R@+#=xqdwG3!mG)}7HphCw*$7aeQz7g6I&05yJVwufi00mq*(9fqz+**eZKW$g9m0w z!D{9V3Ot=*VTX@id<6KPKC_Hu?2+P>(>Vi%Z0Gx4o;VDM(C9vc@`bLN@XA4=)~5;r zodxDWrt(t-v~!_4`y9=Vn{gn{e1}f(sI516V!N`A;sqQ=(0>xI6S(AyP7tk8$?m8e zzjfEN3Ds0N0>LB4dZ(piikF!gRauuSLv79JYG zy4m1t_gy`ccv105?F%>IRfoVJuxy*@^f9QfS82`aV@@aQrP93|SIOol9T8Uzc^ToW zJ(u+_2Z{I3yLiXKUR`t%&H_qk#GdGQWFrT)Sgpjx*mKffOXaJ_+pe8hn)SEKlY6zn z2`P5{SRQn1cn*km8fE=@g-bpApVyDlOIlDauz05?LmT^wj3KmOi7~WmqJ)&r&9wS5 zcotg4Bo5_tMc5--&m*+r#I0-h9K+cjLK$AfTqmYwCWgE|RTyCuNBujtaco%|q;q81 z84#*gzqf8)d_K3f9Kfh?JZcicOGQ3c#Z`0uIbF1&_3220#AX&1DW)707_191mrqMS zr@DIL`~Y}vhMCphFgn4 zNH*&8^k65(rq%U~+GsxAJFs*CSJx@X?hB|j!eF~)RLr5!bRT*foi6+H6V=^H`8g0L zx#wOV1H&a+kfxxZ_dRJlnmQ@{3OeEaF!wj+Yb;@e%>o_ z34!VYbv=6R1NEsC9&4hvb}8Zsj6vQP832M zzS!R*8<_FrWxzRr=o{I~Q2S=)Q6fbcNk-l+<^tQeO%O_c7P*StY@O#bXwRaF9z{T+ zs8UV83ta}}ar0Q`CXo}L^TcQL=>;Awx78yHy0P2E*IixDeqaJmDxBB(=S(oEFRFif+;Hj#*dwZv?cfvfH=;bR6EnX<{IBQqFHOikn`Lrv ztizrEdXaEBmgsd`Aog}&RR)s@3>!pV&0b>FhwQlMLwk>$VIH%wF6m0gpDWhkehQ$cq95zx{Tfx5-vydrGoGAojUIIM0*U?wodT5d zB7M-RW)ZJnsp#0fnvZJX;NpN2Mx;^+y{F4&2F|Egg36bHXLjGStF=57P|LhvOT2L3 z)t)^elsg7%ss<7$e}kPPXeCZaO;(4Ga=`0AJAGCE&{T15I$H>kyk388(0F^e7S(HG zUpOYV@C8daI{d+t2y=0&teE}<7 zy^08UycJoX)lfQ&6I;wDi%f5o?L*1}p&I2WWLPYp2>%12L8=9G2ZsSFvPSmR)TgU= zDmfXxnv}(01a2Q*;O9eyzjvc~!d|_~W?LOExcV=kg3n@KGQo`edxmzeZs*SPWSm_M zphuzYUsp-d6_5___o2XiNGvUo+mXD(dAruFE}(Jlvd2rAz*K<8NSXXi2NuTVwnrp8 z17W^tSo2<+Z;2*lz)E=k4C^q&U-Z7N^qoagI)xAk{C}BDwoS)Qh$@h&omiDTD$KJy zexD)BMwa)dvnnKP`aO0$h7ckCiBmnL$D#8R%|4v*COnz*de&RoJgT7Dy_8 z|IYsa{?fWVY_DFj$=5b9G)mXO+$p4Wo!As6XQTsWDMsry>}y7RvfxM-K@BT8OGA;LQaRNP&rC}DIna$$Y-!X;gHp& zvq+Q|F4Xjf*>r@Lyx!;r$RQGxm^Iz3Q6iUZwxMd?SPa}5aB+?WsQZ5!Nm}$wRqDs6 zdS!UoHz2Gg6EpspMTfmHWR(xNmkIYkE~s6w@DohnduflnJ^m=8X2c|#&vd*X8XRoi z8~->5U_DuN_rmW$c8@gC<3pp|TkFq${!HpTxAv>9zw;wqlw-QeCVscsz>`;C1uA1` z{_~5*>}xgR&&ylDhkN#FrfKAq8KZNCoD1!|@Gc5c2Bk*&V;W+m;4MX9YOawIJ2V{Uu=9JC}kUmnYz^WN3a0N{R})Y z6_nWdYzNjRNrCP@bFp5l`)uvCy&YOzI;d`ye%+2U8w?{pHlI4`RUPkDjbG8@{(cz- z;=b!jM0P*p%OS>05ORDkmaI*(qphNz=pu6f$5$3?|@JH$WfmtxqT#o-_b|mDB zgS+Bv!{=bN>IflAhdfO_(QF%#Z^wnE<86|S=IEFmGyU1N+u}rt+(+#HJ^2AIw-)?DtWzeVWowoeNN}5ROkj;X7==Ju|6@1O#ktI0Q-rAh? zd{_%JgmFJ7{miZJowYj2NhJT<#TxR_D`FJN$ULDcPU!B9;vb9jrKoZ;ZT%D=x8G}CZ zJTbMhK6$3$)lqaGgTp?_T1}{=CZH!nG3S0iaARyYOdMdRsJuF**x$cmTLduJBNR4UggrUInsWkZ=z4^Ztc6@h_#iv8mcp z*#8_}MJgP`>~A_%J`}r}jqO!2L24`nCgRm63APpYh6O^SR`Km4M?rhQFZmWQC{OJ9 zBIF~1E-@#{M;qz$ZUs9!k{54WO)y97qT`z?2vx!aAeR1Y4Q0c}?Z6w@#f}hOxSo<= zCwOOA#5}l0)}94wJse1QZp;wc4-m`@?-l1)Tj5NsAsCy3#_X8=mq_K{uyni8;C`xw zJr8pBFKD9tBH&nYlTt&9mO5s}gfID*2$sW6SP`}+#7h9a;PW2s^kodr3sH`lChKnX zL{Al+xvt`oI0R7)wHC5G{521 zSAEQMGrH2!<9x97t%o0br*S*S;mrsYnfagL6_uDr6H}QbUUT)|#RA72J}@d8HIm>78@s){XbAwskDe0H0WMuN!lc=-*P(2Hx>Uaa|a2_Ia7`=;mY z!D6oEQ;BP-a|GHeFIc}W?!q1O^&NZuE09YAW*fYlj@cW^#Q?B+^BTJFWT#3(maqwn zpt>@ftXdNTzjQ?J_ekHAeG3pSt)5Brly}?_M8!MVaafyNRX00vn8)V^=KBRxWuRMX zV!z`aJ(<-5_=B;e*H_cwdQF1lBt&HmKNI2kX<~Hbpff)hhXtBY>xZxK3`joYZ;EjU z0hR5K`T`g$uF!8Au*MJLJF-6fL4O)_mUv?FZCx)Aj}F^7xM0IvE%K69C~y)LhLPWCtFqD4(K0Sy_NPIR6@u3b?C^)*(1L|=P z)3ko*Q|VE|dyOPtE86*nGfDOJOH0UZ*KH8g8M*7zYg1eHn*;D-?->?ePB4jKl?X_; zKVP$P{T6f3}(p%;Xx<*J~;&cq?_UpQPlYZ!XERY=|RXIAhx+ zd_N?_VTP!vMe=ktXwg-Wu5)5>-UaRsEr+&*=ncinM|zlSop^Rqwoc@6u+Q32bU^D* zYZE)iJHj4ZAeAfuPv{O( zPEw1E+_Vx=ls-3aDyam1I||@14h<7SZnJ(#MGM70Fbw|Iqaa9Dr^A1_2A)w;q-6s> zN+zh6XSLv{pvhx5CJ~Esunf5HsMx`>@Rb%DBvb+pZ`Ssc;RZ|}n%ZUnvKiUU!{nrb zl6!?#EwdeauaV^vE>Cd0)){G)a5GJ{{nBe41G7(sslaox2CdsvURwfrwVz_>qoeWO zZPN>GUK~=nm+Vf>JS?zz!Xb}D)G(sSeB9AX=-&zp;9JFiX{(Fc-r1$9({hY4R8_w0 z4Bx)AD;*c6vpM?VU1rksP`vxtr-0+qQN9^3@5YP|ZiJa^M@W2Q>;$`p=C7ew8xjrw z$I+GeGyVVZF_|k8ip-UjtHTu=<}6oki6mcFxn<^@lp~a8?npD_u3SY3Ma4+wTF!-R z%&jo{rsMm2_wyIbN=mNU0z^DZ+Q3jPWiOR@QH?UcD`*|wf(A+x;5 zH3BZ_0;^4_1>EJ`J9C>uToEh3R?nZgdcaKn;mTli;Kib8btm8)SHV}B;hk|ipq~0r zu^09)t43=>LWWXkr~yJR?p1Wr2^xL+dA4>TWYG4sjG7V!(KUw+h;$I%NXlaFkNTe=7W%B6Xk~({#^?tXgnOD5o&iz*a1FcW{>cVb zqb3^~Q1Ol!H}@g!O=Yk|6rZj87tHLF7mxd>@?r5M@(J0twS3yc&1GOk&Z=l?5r0?7 zOVdrtvOUy`f`q{8n`45TB3?~0C6RpQ3iSc{H-ds?MS4NzpGv8)x)>Q+IyC-FFBlK^ z@zooZJRTd4I>muj!+*Ea<7;;b6ZNh?_x!5Zi~)F6aC11n@h4o&ghFhMZ6)drOv6u; zPX$^0xCgB!|AQl`^|=vAkG3qQ{WSY?C}+1h$cP*ez%r5EE_alu$Y8ErY5nKw!{@F} zkL4Bk0zzNFmyFnV?s->eWpjfzyC(*Gmqq3XyY%Bvr9Q>1qXYZ@wP_cKy+>80g;o(; zL?1*zA**#1oKLt!1!YF?vgJu*A%{4$zr@i>$1< zjrvZHSM-FRVS=&?DLKAFLi<}tri>b)qxj^_7tQrZ)a)sU6bgv5nfT@n1*7 zR4gGJ&NtLZ9i!F6O4_Z-pYO8o`5`ZyH1fI_j;t|$MJdPc^er^KSiWcgDsK{1BoRW^ ze6E294xYP!K_$S6*%2Q0h$@rOu940i+vH4)jUbDcm3YMT?XFnv#}}W8aqOq@f?+^3 zN`kfbv>n7P0V;>aoI+J6EQiWzYFLjd;&L$j=ifd?s6j7L4lR~}R+^S?JDcm&w?&Ne z6KKCVhH>;l1(eQmR607ZbXr)`oly4Y_C%0@5G+CL!>J z%)NfV$o|`;xzmZl9eB1>&4I=n-W}U!Qh{lG%dK&F>iTS{mP;e=cw{|24%Fh(xX`9avdjs3!bT+8h2DAbo?GO}f80q;yp={h`pzRMNw-#Zihlh}^Zw!v55A6wpi78p z#eKXCZV1~uBCcuYNGzv@?ZwB5Ww?`SLjj9TIkc&Aq>Uu%-r3>NACEY0m0-6<3v&*o zZVBTZ6YzrA3q93$D;HqLvl^7wpL@j!bUYs;_sZ*+C(?=4h(nmZ zK_%<=otnjV$764h1-nR1{Md{~1v@5*;K5BU6kOt;w3A;oQc%zY1`Z=^1BJ|)d(uUU zikP**u&YbAZ5xhj+z0yc_A2{%Z>CiKvjKwt`0z<_rNImEz4p z->HqPH(rrh6xccE*HY7<`0R}c$P1L^Py$TtMB&VHjw%H-09;5E$)s?N%QXa(s}B^>zenHXDz(Pl0GhEH1EU{zbD$Ds-oh|yg|{a z9qeWnGcDSsqWgP7>zEc2CoGUZzl7=zpHLSl_^8cJ*M-d6#7IcDR?vjR-E!6}+zxm@ zlqHlUZCNWQG%Tc_zXM8(lB_eMkxQDI*nde$ml!gn-`wL9zH|61f7Xt2qWMx*$^s@6 zg(6oVo}Wp&>MxHbAlIyvE55f&lXf@N-Y0EmM#GtD{7+SN1ZLbK8=)X5pS(jAVgoWg{dUTnO7xl)iwu^Vw@RgN=wV?f4|@8-~f23_#m zo0`dgaox2vJG{1hE<8qy&C`c_2s!GRk2k18{0qov0W{CpnB zd02?cQ@yg1u=pM0NbcvGMtWzM0{=nI+U9p^x++S{PRehNgp|EW1>=i5FM2se?MY&i0utSLMf-(@NNYlqM+9R+d2;o#uXda=F+){#2#KsS z{e5VtgH4C*?bfW|eRCAT+V zpK}r{I{$+_{`X!#Qe3=87qm-7vRz=$R8hSl4TaXAjp+?nq{>U76{iFzgw8<)vWOAK zNeF={sC*ks6wB|a*QJ*67)en!oD2z#^Ls$G277eYC7P*FNNWWGj!7DFbVsvvx#z_Y z*>7!{9$TC;Qb2bePgc@*udmNLZnOZB1ZXxmnRp+rPanO zDeHCafWNxC{X&G@xXM%Eq>RgPSFjdbI=jV-P!r#++J%KO$7{?kLdtOh--(2~4#7yb zWM~%Y$J0Y!9vdP!HCFZ=5?b=;E7R#C+6LgRavu)X4e`w4!T|Ar@aByU1d5-VwKK~vCB6+##D+z!%O5{+94E3~Kk&4)kZu|S)<$Fu4K z4zl!mt^2pe66~#b9n^+yjlXsod^90U2h9I$2yd^^I+o=B&NUru4p^rTGiLqm8xgM$ zRw3v4-X=A`dOxGTQvxY9<%K$zyW1{7$w5M{dLJN(VKFOLu!5h`Ki*YP$Z#Bg*3MuS zmC&;+Iwwb!^s+falOX$4$%@-*@l~1c>xV!0o?a#UWPtaH%;QXRh&0w(;1L|pCflhD zd=4NyUNz57r)e7v)w7?qw)?vyxpa1D?~hTiJ3*D9;pRSW4AAR`0v$4NC`cT|hBI-MjE0ULwP4iD*{3 zjMi~}7{Mn>^nAgwwr1rr$@mY#1LG{T+)rD09{fulM%)>X7Zj4QyzJ+-POHj(^P}p( zJuB@HMO7Q!&!-`vtZMas@1{g^6*}EOT*v*~ad&N}t;uYsAbc@WX6{OtJc=JDcF5qK zn0ZU}_2|-etoAue7^1v5@g6zuVZMfkG24GkbG^kK z`xt4@{6i%cj|BaAt`yl|{CE;NA)0L>(k~e1GS4Dc@Io%F^|6*7$O31wy{aIA%(#1^ zBiNl{5W%>+=8mS7UiLRGsHBeLMHnE)N9oaEbT^onZzJQKK9-f4hRW1l5GQ# zP-yFsm2ONr$uC4HdzTFw!EQrC+3&{v+{|+6cZHe2GlJbw z4<92xH2l%H=Jupn0oelHDWShBZaS9Y`0v=52lakd?zhm` zOJNrf#HMs2w3&|T4+Z48Xc?MPUD8>tIxuo7# z94C)%rWvV07M-PPt|ce$yd+kyq+QOEg!;qA3BDc;y@ZnzsJ^8fWnKGF0M6M-#}oA( zOi}y8b#0Ql^Ajo|OYa4F5qu_zX5tGen_R(M!9`u9Fw~X}PwRZBUr>}+t{_qZjRDLX zs84*{&fqZ)0LI{lY{gNGLZ_iGLWI}}vBAk={g_}{M8ynAiN(RUE3vHOAgH!mu6mln z%`2ZKq#)6?Tk==zzs@S}=kgt9*C{;Ffks9$A?zNtyA^NT#K3HWx>Z8v4zZyLFDtp3>Z?(JoOvs4Da{Jg_0}4m)1LC|Ehb*fHC9i*V1F_+b9dFHP z9G>i|CHj*52DD5yhM@#S$PAudtC{EKmh0z-oo*@RcvFur{ba5zbKT$rw^M&y z>g@7=up|pt1VQu~A?80Bj7a!c=SM5h%Zgv<5B8dNv*@Dd@}Yucsh!!@=LAZy**#;S zy!-U}PThcw`Ap0NYU$zq!(V#3`@LL}Hmua+Z?8#*#zvKHl(Y;>^nMx2S{amGwc*xS zv)rnl1#3;#!|=)Xx~76L3%M4;ko3vxQbM@-cAX%3VA{nEc!@AWplbLzn&VlUs^amR z_A|``K}bc(L_0y4h=-Dm32UPnUkD6lQu1%brPn89Kx4!E;19Nm(<@Gj;-IUhfvJzn zv|GpChiYUccdhMK$n%9kn2_D>N>ZsOFFZug8yVnlpD^j;J6pgr5N{*a2!0edd`Pdi`kuD%x^Y-u(A*80v)iNLf$-Juz+Cu{JgMKY+v_i$ zUOpco|I6=!@C)KAkZec|aUw*^62Ch|3|oLUwpkJM;cD9&@v?M@*be?7JYwEiPm{K6 zwRDclboTAGKX$k4 z2bFF4z=eHCLR=TKQ%(Nrr+>RI?WeIkOkuP-480nx|9Qo z4$I_iCUUM%6sFEk^;%PhYC&<-0JYdCZ zpR&!|2!V`mqfL23Hv(1)4;>OSllar@Gq6~H%NN2?$o^Vam4ABORx-pbiR!_yKe+(o zZf0pTuiNKyH3SvE|8d!80JulnXhn&P0Bp7SO9{2({y8P;jA^Ve&DmTSdGoOZ`Sf_l z{`k`H%7fR(wFVw`dr~l@-Oo+wE(Qf3b{C&%{-Y+FCi|dQZEgIKtV$P!hdE4DbE~6q zs_k+=GiO$ru%3;-GXT#4`Q{(DFhk>ID%~n+J5Tnd&ae;u`@(g4&tk??)@y|y zdKD*3hWEH$A*=@>yM}!pV^xAcDI9CH#3Lip8%?0{aGYa`u7TFD7g+KufLYk7S9TTf}mv) ziV|5CI~*={dRcc>8L3dJhx-<{a`D!45Ioq0UgaRghmdG(02B-#|UTXD(= z3M1{ZKxF+WJ7|PBaf~)8WQSx|`~oJ8bSQO{4z1-BLF#{5o{frlvIm?D*%_K5-83_9 zq6U?K4$RYi%#EEUy--(z%G|3gNTLK!Aq|q{XIly$ViD}?AmRY0M>aH`^i85=i6#27Npy(yXrA$`g+TzT3S0 z10JbaOMO-hP!EUb<852%+zQLgFzjp_Dv0nPJthlvLmYbY@m_@klCo(gcGT~b&6vF6 zyUf@zD0xWpM|;})xd3_~H?mSXTAVRF4YpBWGFc*R1H&2_4o)D&dcp^LgneNorFL7x z=>4%5H2(y+BQL`TA|w?%%B5vJInQZkM0B*!{nxszVXp@8%t!a)JMYPpOhf&{B2{6) zCwPKHc?g;JX_0PPFp`ND3UYEg;Ml}LjODP%b_wHEAo#sLYM*c)dRslN-OgrIBh#GX z-?CciHzJxo+7TSg-WT@btnCfpKJx2^dzgidsD|+srI$ zGTVspz3^)zRUpbI_$M{k@U|0_OMv-flw;;1r!$mFqQaB)zLP~CJ0I$iP3qGdY4y(N zuu;2|bD2Nj4rnr7p*$FbGA%Zfp%hoB}K;c4|Sf=x2IsKORc){CFx~< z>tQ0)psgJ~&NEC&q z$D%#7YY3hx#B>*F&Ln76{=zk&3oB$B59?5%^(*cok~US9SA1r*1^WP_>Kc&$*LA?iSTkmCC?1 zT5sn(J^Wlqh0?gGn=k(|4|Dd#S-S%$g!GR(<&Vm})Y(^H4myEI#KEH~(!O%QV#v29 zKAJ5Ivrp7ih1eibT&!o`)!^UMy1AmjtOjj+=E>(ArFrPEVAB%U4%sGeQXt{!Wz*rC)lXDm z-{Ycgq?gr=&e=3mNR{~_zEG}s9G+QtpUT*_6$hGgW5$_Q*it{N`O-r%&%~=zSdyR3 z7C;2UThoK; z!V@kZu{s12d%}X(FEgGPhjFjxT!zeJ`j_$wfHHCKq#btXpV6HDYhP^U(YT3%kqJy> zbvF~E7pF8ACC-IsS2P^-w zX>pJn+z@d%DDQ@PIiwHJG!vPGoP>Wz)7zl35vu_?4SoK6OdoCG36+t14b#o?`}t3&KDGbOj8t_cW@3x4*n!9yWp2ao}iY zP-vkj*g5Hynaz3yqPFOj#%D5MRR?kT=lu~S!0S(g540mll<8@%#`c!E}?|QPPRH|;m-u_6Osm4th zjEj2P1b?<5T8~sdavej%n#za8AVP(1Ia*aK4~ zHFCh$mpb9ncxHA9bZrpX_x1kvaL#r%w>ml??}Oyb9U2VUAi9`kA~jNMWbHnEKTS#? zK3CU}DXMGoi{o_^Fgf)2+b$K{5*~?*WUZv-iHT&W!a+QpZdp{ri&N*N2u4E{%Dt(u zPi}M)&gmN8`sGV9+r!d2OT;JWj<@LKqZjQ1RFe^eGR-~waS$e;xmEIadX&tEa)OY` zBWjMG?nX+pK0c~0F>6ni`kSwF<|3z1z^y*lEVcf%VoNJk2?3aXo_3pw$cFMv$HLJD zu`^7>k((64<%CH9mfnS_lB+s3iDw(@9PJZN30?zMYLYhU<{O281>RJq`9ZmEPacWf z3^UohKXez2g<#}0Z`{A*q2er zrQG>#1J}hjmG1=tz8K1niE^tcsgi@z-{jHn8&f3L1x2W^hH$yFSXF{UMwTfxy70wnL!fb2d)wlX^ z^Gqo-F30>(Sxz1A90vTRNhY9SH&Rn0LQ>tXPud(ri9Np;onNv4y5Fa zab;956;_(&9K_>tF0rV*5U|j88`<&MgI38<(k=e3`yA9S-q8sR#k!f<8aXa0Ys|G( zZaNHP9!*p?Lu1V|1tfpAqGP?X^6vE-N=R9&t($(c{BUcPSKN_U{PB@gJEF~B`w)r? z^RIoG5?Tl}x~;SS-Wtn{rq|ahtGEXq}|53EuC(TO~DL{G5iPqW9-nT+?SEq81>p*K_S zj{dgl2d~ob%seMp!ob6=%cQ%N_gqyH|2^9z+_JdO8kF(P%jdpKi52V^9yM7qVyGgv zZh?>|q!$k@6m7e9Ih&ROzNLxvIz~6bJE7Tdcdrij$Bv(qgPuf6X?xuGSqz)~*_Tse zIeO6S>vG|hiqg_3Lex4Z~iTt};yL9Cy z0~YC}MjancH?z^$aB~bEd>noh%Eie+u`#nZx5RG8W8-ZCT-xeBcsAc-u|$_3hp!5l zS?wXKKK)%y?ZZhOjTSfN3WV!@)^%mjIPnZ<&cw+lUR{ZzcowYr!ecH#m|@9|ZS)?k za{ME@fq%cbS1+d$6zOL={`3>SqF%(H_Ifp3l@f|Iu?RVeaM4m!$@tT(7Z3ZoV!eLy zW4>}M2jS76&$W_GnEtPcgAt`o(pCR`o{p=L8xq(1Ne%i~?zMHgcbRNR7nW`1*(IQTG+s3wJ$Wvy@m?jp?Y+V7G*f>$=%lhi2gEQYK73 zXX*0`Idm?#qV)7DAatC{vh2^~W$H!LO;}Tpt@a*K?unl^mol%@N&BvqG~QF*dP6oQ zIA^BQQaqy|=Ur7qo7rY+SfOs(+#i;wDIx0?!s6I`ArxafxT)A7RgO}_r?lAW4a zr;CBg(HDjlx)m0n^}`2=5bf(f$GqmY5|?}+?Dj2UxOCI0YG?>ZLJiJ-ApFWgt`%*d zTy!md9y}+-v@Uv$m;VV<6jug&2_hAkCAn~t6~>5+QEOVT3@E!7ln3KK?2zFk|0s^4 z57;m1F@CEMeS2z)gRaATh=PPvqZKsZ=Tfd>-%2s2$Ii{}ab$ewYu3rk8U?6s%AM5a zBUa8}e6@%2%vzAUu6Pw8z3_O?-zVa<1_XDP#j4lNU9&#Slk(}vP`#L(1lkN;<6>gv z0jFnzz05k7RGD-YTB+(YWD22(G>+N#a6I_FIQI<9y>t09p$}ABC|1SdPkD{O`uDVd zw4#Kz<0QqFG^eJndv@6vdXqu&@`|m1F`gkE!(vzNioicel{1%^FoM#Pb9#6}9B$0m zRZuclKjhBA!H{Qa0{3KQ*YGUYeF8%>&f6$jTm780BTd&0uin@CLXv6s1D?H z#t;bV%oKPC@s+4~Q`W$n)e6&JAl7Dg9R^NMox?@sr%BYYhO##SfIak?{ZD=pDRwNH z!ciwlqg|Wy>?*Z!Ew(#~_Cu}uuLbg0eIHMpOSkm%1wHwUt>#mnHQG|^qhY0=UyxN1 zgFIQP2FFlC&C(_UK1=bc*g2Zx|KXaOGZ*0Pp!UpH0KWhQ*^a#5!Fhn_&Sv=l$ zI_}`T4}#`DW<+bD4`sE-2)R_JRLsKB%P5ccjaA8H_ofZ6tMD+h`YO#?Y#*}f|3sQD zuld7M@?)h{N0JPpGv2k;rAI)HBScOfK+QWQ)(OU~%${<^Z|_wHcNJTWy*ym~!==S> zP^Db0ws}VO5PEs$0+4$F@Fl2ZCS`g7TPWa}Ggf;BS@y{jpB5+}7%dvkpUx$SwK)Zh zYz!*Qr@-N*Q#yrQt+W-+4S0LHTL>6AhnSfVc8ApGp^2$K+bVp6p~lW_zK|DqcGs2# zlk-EajM1eTe&|IBdA$9SF(%%KjC1>R87O+#RlL6xjz3CU3O<&`ZLwEUx;36XDSlvR zLUa_xt{5nB<2jylGUe~C?($MRhy~4Z3!-T!!rVsM*OD%5-i6f<49YQLho>7j^n@px z)t=zDyN-Fr{>9%MTt=Vo^}DBz61!t>v`K2W-j#C~XEw_*@c4MFMSSw5dUV^0k)bJ0 zFjZ=K*lT^C4IM8qr>Mg!F8ckIGrZ8&mRKWeE@s8gj;8=2S z0VG|CMX^2i-X}sy?sk92Vugng49;O5EDFD#3U&=2pTj?7E6pVL!GC?YT~LxwR!JJZ zldhEy zP^kyrFe3J1sJA)O&z|%)T@KOkF&VKlh`z9@q9(zTAI*Ies+JHhs56`#k_!6Kc(Jc! z945hOmhtdEh(lPIT1zpdHNtPzUSufiOMGewTIW*Qs7Fx&7wgziGzJe!6Cw8u}p^qQ)$AGdG1EU?0!1x(Q5?S>D@p%)9_oG{krc3gaRwQB>~N z+K`^8;_0D7w;V)G^$wQoiMpMGG*-h8hlb&XB677Cd(L2aV;s)ik85iz|Zj z>9QZdRYy?Lvqef}5VbyrAn%GBIw-tEA*&B1)$V994MGvP1f#2J-}RZwCk*c`6qb|J zs3b^flDD{;chW?n#-+*~nx|TCl#>dYLpKCWwwBL2EDK7?;sE-?>fFWpl!nz3%-PSg zopsAj#KLR$-+Ysx7!KKJTzw-G-{UPDIy33B37Br<&c5fT{Z@jh-Xom{t~has))|qp zTjQ@1^?sluq_x&h4YFVP@h{;`3q3NAq4~I-Y`kEqvy7&HUGo zs9%ja8KoOmGof8#YlORw7AI;h-v`US;}4Q1rpx>;kz$5c+>bfynm)cn3v-c9DqgX< z7~1;dzJBHN0Fyj*U^$o5&77?uqcb#%fYP{4d_L}=oT;+SH*q3C%><~!Sm@`1*zx!R zJA=ESD!s2)BO8<>6Y!ZRGR_JMLNRlshCLDpG{@ekeGiZC_Ekn{5U)E$Tpl=_6DI!kYq*6i3`Iq3tDA+}sB7;3HXbHX3@D$dRh%{c`n=ETb^jLp%= z$Z9}-%Y|o7l3(DRZ{4CemrOacuiDCzu;bNM1u${O4k_T#5zv^HL%cx%nji-YWW_lw zgY>dYjh!l)k8KsdwA_L}5%8)GHeg>b<=59On?$Y%lVlx@HcTsz2J0S~YDnBTP4#VDB0V5N=GnVF z`iahsr#z&M76~@d&oG>!SSkxzTK>8Bek5JqZzzb+teyYTUnz^^chjN%uMHqV*O-_< zMy}W(CFUdOGL(*9N+}J7RDgRIk7pi+eTRn+o{r*RY?A9S7MYO#E-tVyc1bFl$BvyO z3JNJCjb{FZKSl46L4vk6{wB9R_nSf>J6q=Lb6X=w3s7Bl`PndYU;x8jTL5 z?CON*-k@)64Qj|~klrIp;}eEk>GjB}O`w^Z;Vuk1b!NesA)G)Fa&;qAX@0l^AkAWE z3=Hj$Y0n{b0LSt0bU&wd?55&R^^KWf>DFgs)paQE^Ir|=qa-mbQO3P#m%E3iv8)vK zro&-G4O}fYdZcDmJz6xWUab35h$8UCppfoSD&qU3{GUNa4ZZv5vxycxM_c7m&M8#g z`lI>#ZlbCGCp9Y=nu~HrAN(kPjh~D{H1(1L%O8)!eu{Iu6m5Pz%}bV>NwM zDz~OoNN7JOXoEM03LWE-l)%iXG||?ze;snk)s>lU0&%=Z(F*VNDOq|2`cR$b1_V1d zn)PlkXnu4Uu*liT##gsEvgRtJ|DlBa-k&&=GI7G3zUm@Koe*Xudr&u%YkPymWh}J_ zyMpvr1Vu%mTlQr}fWyhoCRL?fI6xTb9}*iVZ9GXfnyC-r8dbuu2cTOtI`%t1ZQwo@`pC3iBTpArVda2pBHgGWqSw@%R) zZ&DJ=9lSVsMdLA>|35B0-)7B>dg;905Pss$L@f+OCyuQ`rLN@=fJ0rmaAU8njO*hA z-A`z-Y^LUidu9pQbB==tQgaYJep=9LW)!Lq{(PfU8 zg!kixM*NAkRbuZ*RnFBSef?gTgfuIUjDkNAy5`(Fi!Ydn;yUy)B5Q5m@pJro2xSx} z&AVY9Ducd1f6V#S!s;E+7t^ zYZey>D8rnfQ0`3SdBJjQ-_uqtl-)zU*c?)Bau|*XwPCCR(=b*F==6B60!i6So*{3y zBe6wFuupeV>k$@i-&*|>fpkDg89E5+^ zFPqUxveu5Ill0xgVt?AVzvSon)N$Ad$%H%k(~==rGIZF9?5R2R-{+OHMUQ60`L~4dv8&$wH%T`997W_3dZELl)R5_bObo&-sGiEgsnD3N1$I|f-V(Z3$i*~?^x#KANrcw~e7GjCraCHm#)9wUWHvC4*hD7{j zm8?}$vszrMb41O+HMiGy0i!Cc>(VMMZnH`P&X}ltm`Y^B2MWFunRNlgRYRo2Mvr5l z2l#rZE524K!6hDqRK!^LK$(BdyH7?AKV#rnxABfE_WqiYZMtu)vWh#2NxMC|2O797 zcBR%HxL4VQ)A&7nNH!OwuEgIujHGMoszn^1#`z*8&T%-ckksay`MSVm-Sve&3xj#i zmdZt8x}=h0{EOr*JjVnqn4;;Y3LF{}k3KvX`MTlZd-_8UBc4!P&ahLTjI#Fkp@0)l zPPM^H;&p__XrJ$9dJs&M6eOyH?}IGsy;Caj$RGwFuEz7M2M%^pO6mT~9ds#0hHAGs zl*rn9GOr{s#C`yDf8-oer}_m2l~UdgrBwsz-MCV1RazV55Zd2;S`AoyPwZ)g;k91r zMAFa6X6i~k!}D#A=}b3#Gm#Fpb-S7dacmT(8~fL+_hVea$A;sTgd^$S62-6bKSfJs(Je*pvA5? zr^{%EfN-Iv{n+^=|In^*w8k|4>l>qPpplCV{tHfRjCe#S97;D1v)~{k=ShU1oK~?4z$QbiV(! zDf(y;B1#m-i&yNB3Zr*TG;URuAa^d6J2M(UB#nrAD$?Uy$lxgGjd8c!HMc{0HJ*xh@~VSIVE3WX)q2vy|c` z^aUbp1ODG}_T32YkyZ&khnRSoNARRCv2lU3Bb7g9|YV<;ePV>2MGCc6%s$^MqtF?4 zEWT*sdzIvUp0Ag*)NJi11Ksph?e4fn5g7ueWz>bcj3b9WO1$viUr3z!!B0>dFPgKL z0r(|M&`*45ENyXeGBhji1+V5-la|Yd!|mLFWKaHw(kYKyt5-~)d--@5UI^vsll3k% z1bCF4{?Yu$3$;;#5UTF#v&RBK1a0hOnrV&<2o|h}GgYxF``0CBSy_uHhBP)`>p7U5 z>?~zrkf*0J53xY6#v~MjBfgxCrum|mXWQm8D6K#8(w~3uR~2g3e8sIp^c8iBJho}5 zdnp^WHd^Mt_@zljWNz|O(~S7nQzgEng3{}liDFAYjQPA*^*YNX{?Y9c-)3{)Q`*6L zdvyl}u@$8zA|vJ~gY>f~_~!sQT&8V+v5k#Jv5{d)(bUFRq2MJ#v}QP<6#+fG*nQ7Q zucYHX*W=M3;Pz}yXTgKx4ShiT+y~#?uvVMAHnj}tUMmdfrDY1j-1uVSllyKK%zuZ$Ec5mh-?-7_WQd|H^KGORm#0Cav zFL%|?zO-n*Xo=0RJlLM%aIHm{!p)5}x$k_!38@G8cGfv9(Gc;|#U_-%2i{dzQ-+OxM2kzlv_374lushv_5BZ0Ytay}5CQ{hk-ow6qoxRs zmfM_pb4KZxcXX~}yZgE;goTqHqF5-+=aL$rR}G!$mcMhmV8gsS&lV;9x!Wh4W@v;- z=ohD_-B?rHIs*G$6`ZC+so=aH7Hibi^bGItM@N|p?=PaPti&yGz6u4nCZ{Hkm6&WR8E*FyO zT?h7(kqd=~7b#bEvTd6W|7$uevFC50JGZpAk``usT-Pqt7Qx!93O)nxe|9k7nSS(o zoKfvz3z4U0Kvv$FSuIOE{5INI;i;ua`oFMY)^l$k%BuhD(n-==|MBDencXek5->RS zTJvkE5BplGrq)=0rODBz=g$Xu+F4(gVUCW%4<$|$eKw{4G=CNyGvoJul{9p-6CeI` zI}H9E&l)=SBD?c`$nt-mn>B7#L?aENkG=dlDUd&^k4c!@sd+l_@JUwh6(3ME)30N7it>D_1Ko_Mr<#p+^&-KNOD)A>5{lDq%dYuKf1O|whw9Bq*h6k-*7^ZNS!Mu z5$8iVN!-|9E)_q`V2VcnjHe9z^;TpRsCx@=bdhm6Sh#?;M8AIC!k1m$+Uh+NJn6?U zPq%axBKEVtrqPS00HTI&Gz`~Ttw`I{%6@6-F@;>$_{?U`5{P!LjGHC+UCDI2gX02u~6ob zF6ge*o0L&L3^Pg^f1sPf1eeo5^$o9a{7#ZB*EUSQnLbrfLlbyA%eB)5?81$;gjO!p z$t6hX+jr?Pq<0y{qA5W+-E8MDv4D~ zXvlMm;Bk$2IqL^*rAO3exIgxhvwS7aGD!A!)$UWbg$Nv5eK)A7y!K_7nr1y8v2yv) z2HNQIN={$Br&g2R3c~y?a+8CJRq*Wn|eW#-WFMOp`4WElp zk1&0!KDHbScTg6UPa48F^VN|5`NzunRN1pOYS%g)rN`<8D_7)4rbJ)g(n}ove(EgJ zv9NBHzL|jc6<^A$r=BeZYB?>71PM1zjV!C|Ib}iTsDE%f4$eB8W{g&u=nTu80Td)_ ztVPT^Qm%ayZE}PxuSb{3vnfM_fSj0OTv3q2b)XW=>XPV}I%t*AmVf{es)Z>d=3qKG zqQ*sE?2U08Pl`;uAYh1^kBbZJT?#aLnQPme+SQN9$S0U0%LmjBAW(h%6zt`#)(tPa z>{nMQvf)#|{RmQNC9k%VBnudY{&H@&#qJA~L!!~&jc0mVHt|W@Nn>lymFv!pptAaH zc)=JKexv{wmk*w)#vI7w9UFp`GY7l7^)^Dn4$58M5!9F{HOQ0Wvs5`6q-YPC+Kz}p zv=37pUDHB?Sf(O9sRUgwl4L@*Ak6(;zM%mqvM-$Zi2}PzxtZh^_(ajC@KmTl&rpDt zqC_`@St0EOJ>2M4&0wA^^vu9qzi&d~C2MYBz7Xt_da7^h;cE!u`WQPIb>gE&Bnk5tW#~z9~iIXcr!*xOoGRvW4oUr*a zZcMBhLPn`^n{u2iBFxzlDM6Ae-M&fH7P zkXw>lkqJd&ROT9TYuPfF+>MiNzmH%4pGW7>-k;ay`FdXP5c`$(?J|LcxZCW}yQEvH zd6tFUPlbMef0@;%d;s6mvl39ZXSlJ=yJ+a!!>Ap79_rUEVWpX=V;Eu}7V&!l)5w53 z7-*)Q{TXYV;>8UE^yJxCsCc&%V#(2kPO)a2DFI+Z5ubk8#EWDKe2p*sJrWqfi{kI9NHlnukmAAmwi=Io+UbLfIOp%Z~afG-iW!@ymgXJm3%w4V3E|1kt`Di zzfm_*vb18Id^wc*D|SH)7`{sIa-d}f@jjsL!+rG})68E?&Aza%e=1A*2JGw&b%FHf zcSKL%>Y__&GQ%A`pj!PPk{3HITiz-x9MFb)?=iHku3d`H6P9RA{5jjKm^>@f3pOp# z=mvG$7AeRmyUZlC{w__!pS~;B{Oij}#rLRRo!ICn6XL)+w_}hbZ`nZgsl*HmzPQ2H z%(%VjN$G%+Z zc_Y$~j3|Mi#DAs)3U*{a#QZ@mm>p4y`y4_$BQ~^n2zUeAy^cGOpv3qAH=G{Thoa{h zIyEiQaUF`GMVE7AF%qp?l_5T_$^O{7K*<>{CIbCr2Xu@-gR;es?jGV}z-9~Dff4~Q zg-kAEh18Dx58Q<0WVJj6PO$Tf`pg4kTR;1;)h5WbI*%yy0w67B!+gq0~2#iCwzC zIE?Q)R9VAhEf&{oCVZj>(8Xa_I1P+|z~ zdES=FD#TEDQ0gEb4oGa{e7?DF>fiA2NZ~aDqnjst7E|S{jzk@h1kE;cCLYXNES)<2 z?Y}dJBHia@2otaW(@6p+PFoY-$@kTtpK4Q-jp1wy(VlgJ!ksEv=g-RZ>|9kdjz^O5 zqtEC9KA$k()5eAxhw&baeoE@=nyMj==GpeHKwOERKiD{^hzM*5tzHRoY?Xl21~L1p>h|t& z(wlsl#HcbvMq2LH?)m$XDHR28Kaka7TiEEXlTYX%5F6h6+oVA(tb-{T;x-MsEAbzq zTxSS?@1<fzTGX^nR?5(nxdU4~F^xXcCp=bR^ZEyQV@E0DRDSiSp zHQve8cL~v4xjti`6EnRgv#l}kmXvd2a~(YBNdmz|jTBH}>13j80YQy4Z$erW_eHpzMs(iqt zAP{HX0YT8L?P9GIqK@J-ddhu3#E6~ZaZskv6ij;TBrGEn&D2C+ZU#B&C&{Nl%ln*DY9SBJ?TD;3_4HQkP6w0XFZ z3A^XplIJU1j9u|4B?y*UDSS#pmL zV=I&50mX52*Zu|0 z$|FnA?x;GfmD3G7j|3Q>&pCs3@USyYJN{7W#g z&q{7OQxb*?JXKPR{s#)yH=K&x+gMNLob?v!c0|omUw}Fx6|&z6@JJaOVFePq&~rAK zo`y`1R?@~k4X>-Nl%6&_gh^7ZA`a&~dF;rtvLbFe81U*Q0&+oTC33fbGkzG0vm4)j z(&?HLP(QDRVGesMTPvuYBK9T)%4gPY!7qs5( z(NMr+S&X49=4mRC{|iD?pjUaz+6CH1kkY&y*YK95Orx?D;yv090c7hiHEwa9mK- zmf=Kj;OH*J!UD~`RV#H5kBNqX9Bf$>#$`e-k1W4Ox6jA9or@v+ z*jf74VsWt=r)Vm2uJmh!x0q|m6C)17*U1vTp0ts^MO!dN;_WxPT~FX2|MPZoNc`p> zN29?Es{c|7t9dxo5^Zdai*Xe^$BL&=ho=O@e;Zr3#0>uSGP&Y2Lm_nrEl+_!g`-q{ z3eE}2;+4j?Ndh0e7kwdvVQH_%QObv4ya}F~v5xr}_mL99>FE$t4`MMK#}EitZ)k!u z3@oH7sGO9$(aZ6GZ!hR(nctDCvdCj!Zi1Cgz~)L%dw)!D1pLRyLjh4?+Zj)(RXmz(ggWCxt&VV`Z6}DLlzY`SyBhK@5lP#^(hqBY9wqPae9uPPHht z(S~_PYYR_7En5zH#uS%6MKSqIQ?*;KCT}Ap5+l+2HTV4YShKHk&N2E1!_j@(Ga89K zfLNWTcR4u9+N&iqIv*LytE zc=Y-vDRqEFmT1JM)1LnuYJ7Gk2S-Qc%p`Xf*WUfPYahR?x2XAOpin2sag7N;v-#9oB7k3-o6w zhWAYOcFk0TawQ|gz5d6U;joH-!>XHdJ?KtFP))yMqtr#KeDiitLhS2NX!4_DE`$5N zJc?9PrY6#G#%__7;tB8lYk~!+)^!Jj6>!2z)0|-;vmSO-qieA9#x?Da(uMt_8BHfX z0^E%5pqoB>SD3A7#vBU-kc{rgI}F7xxB7!p)?!|^p7sM6qQtx_)bPjMfKbk>=;~`i z<^^eT?8*}wyd{S>`)v1ufF7tLx_74{S->A!;w1v~Djv4O=mm?``L^t+)rE}0+kUOS zE$}voF9&eE4YwxpXErlgPHrOuAajt?oI0Q1oyX?TrL56xS0Wk5`ZJ?99#L^a6wQF7 z$gTnbjibEV$#4fP-COU~p;a4LgK_|LiE6(*xdR^$(lh=0$}$6rHc}c^eXaNjIHw(9Pc$ij$G5 zW98pc4EVmx+jJl{iq?!JN`UK*obD98AIn!x4F%QQ>OWdm1+d=-wpwx&V*KiA&q|ru zQ&|a(8@w!+`h{V?vjtz93)E#wss@!a*l`Lw{|&zG2LGeL;4 zwwSdqik_ooNz=bCc5shoZ#?E~S3k)iANTn;xV(bs!SGDEd7qjco4GJtaL!8R!8S-h zlcH6nf{CbqAY^QJEVM;Aeqc8xrRlZ*Dfj@@XBhI9XrbFq7EAmGTI{;Ui#nE?mG0zJ zX12C6VJT&_rr~;t!UbsFugGHIj(0@kJ5*tbls7qZjpGY%!?CG!4torohr9gVmz}Y6 zKKR0A675>LFkqu`yzs;GuCW2ilmGDr8cI{MW}d6Bjsv0W+f!xr0I}qhw~zvQAterH z=tCSnVXnmcYcJJs#bzx!Dchf z6>uNq&WI&1TaEx1(2?yU&MoJNC(Io07zJ8|+(r5-<(|(Tt+;meWuTIwA~)|&HZ%+2 zTWEgzbqc$c{=u6xj^Q^-2`5zy52uvHh_aic+9YBfR5>OuGR40;vh^V2R$ZMV&iT{mU=X7|+>Uci9;e&Uk-u@Gt zCacja&qW_Y%e<17(LKna(i{OAH&U=4ZYz8K4M{(X>MvIk_KloneF;#;?Gzq6-H*nQ z<*(#FTy$y+pRBL$uvMLtSwHN*LiHalm=MymE2ER+C*hSX9XDQE!vm+2lCA>=@08i} z!^^#44FQmUBfES6??7WLDeR|DNQGRnU$*}oqxy`4&OFd3ciM*Z2>nZr(*Q3p0^iX^(99Qp-a9sD zQl4==3*@68Bo96ibM4U+N{rhzDcwrrtf;NZgEpcE=FJ&pus$#Vm+y>ef5%B;ZA%qx z+`1(_$Bc7kx?hh=bxTC;XBy>23!m3i=`X_y0RITUA6G;BYw6uj;UcB#^ff20T_>=i z?G)#Dn`2@IlUe>IyicjtxQaaC_I_e4$m|PGrjLP|t8i9)p-Yn_TIJ?|;tQR1EM9|w zpF9~qmWek@=Sx7>IQm?TvK~glc4VcQ&#WN=ESOtp5{apFx8sH#*Z{S7t8ye#hOe;A z(O&0Qd#r>0m`f0_gYAT5Fly~A7L9ipCwq$<6A`27Bw@?|Ka5?b8rP7^0NL#-j%3eF zfcFL@lPxKc8a;f=dDT3DfcH%wbe7D#Qq`WeSzo4YZGzRrN}kR)XnHEhTaVx!gl+sL z`)dLK4gc_VUy%eKa`OzCm|%UB9$_SuE+o3rKa3fXG(K@y6%kKD_bI$cf8u@&ugHfe zQYtX@+lX^&C6F{+(?fKMT!od6#;R$97Nc&r7nWUq*9;T^r{lR~=|!CS;`7y=cAn}4 zUEZih2?Zi&0p0`_+^fd%3%&XmBlub`P ziRZ90#$<-0u3i=SunmE~7BxsrKEThX4A|bAu=ck2l^r?f;`Kq0Q=af<>frrySS*MjyPfp{K1o|BlerlbTBW-noY7@Pv zGvE@uatL9-NqZw^?HwmSUf6L3OAc5~T=4_dSY%GvL!H7)UQ z<;24%Z+=~4GI!`nCx00Ud9!qAUcZwNf(8PJ6dl#cxKhPf$ub4)MR$6EG%~GiSg7u% z!j{;rMHH7BSuJzXPHP9inkt@s&EaJ9w9htQtdXDlcCEc5v^s|~c5ICPgBUSXKlR0$ z^X?h_1I2Wr8fmY2K>PiUY1c*9|Gn@(=^t(20n&s?&3r!Ws|BeTdOZ@Vpr?>-X9MtA z1gQT&b`_csrqH*c@K@aa4b@+loH!YP__&|ChN}4<=WGl7rJ9ZE$eSUJi0HGS zSYy!#H6+zH5PR3gEqLx=jG~$GeJrHSxc}W2U?jt<4E$=Yzm0T8_1UoYCH2_OS4Sbtj1 zitpCe*pm8eN!RXC=2d)aTGLx{NWKSb^%#CqLD7y}5vYhjC2vl&I#vXP{yYN$WYnwn zy3hEiwQ$>K^dFr}vneWV`)tgIp z>FIHQqffF+DWkn{twa8>#1tq=(TMXaqWsZyQ;mo0!h8W&r(iK$6w_dR3^bP`!bJ&) zz0!EDS`56&7s6;IV%|GjJ*Ek*kzGc3H47~aJ42NyCqKQBk7mGzZ`6r!l|!<+b^aT9 z_7nOyyXJkD)*%c>`fKB?%$hf*iRuAq<9$Y-jC$$Ml59rVpXKQvv^X+q|9KcobSA>8jBfpkK!Uv4@x3G?iM? z(Wq5>+k-wnnS^xEl55GDm9C`6gTs6BaM_RE%c}4{>c1aixThyDc+UKmqu_DX+cKHK0&&k-QVwFX1*|#3KDjQvB-YeqUZl#c;wxhX)HD*INdJ$ zKE(Pv;MOi0+0ok#99)t-aV~a|E2#1YsZR+pl$fDPImNA@y;F)GixRz6*1@R8Bk*>t zO`EfdITm74faQ+^7}mVpg;K#}jHMi)KL(myrgmKRV{p^a=18kfv*~vIQYoW#8=-<$ z1Nq{-KVP%fR&W3QE$rj9BqDjS2irVl*N1U ziSL4zb+y*5{%U!$^A{F31>fVj#K>uTY=N27JfAS9`O#)DLyB^R|Q2}yFAt^u&p}{m{H8Zp{9EHsUhJ>1nnof z=HhYjnhRE!Db4IgE4M@2sp`A5sEjWED02cnG*e&r-P$*71IMeAyki}%QDoM}sOi{O-wO
hpy0!wZf|H zz+XFmbsn*oC>;Xqk!I*}z#SF%OsiSF33pU1ana|%FmLu`86NQH(Yfo(qOxJb7c^pL ztt1I)L3UjrHIaRZ$;xctlj@AJ#}5l-Ni{PF2{FTR%%QVv+DKR<80ZW`&$-8ym(uzA zOiwiUCg_cyrwxvFMxC3c5qcJEdvB9L)+P-XAM7K?r(2_zY#?!kb_RSxA}VQd+G_0p zGRcaN~F{; z+=gk(t#%eydG)O>9L-N9WHHw9&JE%Kw8Lw}@H!aIu?r{xU9GcRNLX+ZqWB(Uo%1U2 znRYmL(aFw;ESmL|HWIIWYxOjbkFKz0E~n&Tk=RrzMw|t78DqSwS@C1=SiH;H2PaFw z+WGxf^T5En#|%{yAn@ALzZ?+EWK5;97dDqWei)4i8pG#ElLIyZm+n9!5~vGE-Ia7N$Bsm1}opx=6kLU_mqs9 zK;C4s`)!uthpI+=d?GXWG_pqc-{8nV;>pQY{C5&7A^3?qy+eE$4IZUG3wC+=LeyX+ zA245Dk~K$A@hJyo5a}fpzST2l-_4fdpRED=DF8dND~R|p7h-(qkk*Q$vEm(hFkiYM zKTzRvmg`l4U3yTiU4cFyT_OAt+&|!d@>@XEE0l^T_`Vc`6imVs*u8J6?-(x2M{ZH23q&?;fTcHY}kQY+lN#4 zoNaI>o+K1@!7AL^IOx!JwUs7+_V*sbO_D=0UMwnzLAK4V~Rd}#SOmsaY-9tu)m#8ZL(Qq_W z_jUp!Oh4pb#kHeZplC>~V)m$LNui_Qq5Z(?i-IEksqV3#PEl!pmbk%M*9mP{`%6_f z-Lm?z8Z;SxbIj&t&#fq9pJz(2$I3SmZ2=YxSa@t-%(&mw{w}YJ563c^1kJW8({Hy5 z?tp){wF zm3cIQb<<>;=+ZY}nM3DIMVn;D)4Ol&JOcw&?M}KNEE&`HD-Rv2nqaU?0bFn_N9 z4zX$n36#1t6YQHd;&y2&+gp?)ghoS|!F`)X&vy>VVWMEZn|3m!eW3Ql4K6Zf*rHh~ zOG4;V<$UaXaQO-OQUNIwKcA7goU&k9UyYt0+?`8SI@FztXH6fT#S`{{^pDihy=vhnh;murFR zAJRSpM3EzhJ*_owZuQHsEOwSAs&({$UZ^zN4@MM~Efh=}jfpekA!F5AEFnJhf^Ca% zP#pxu`x6kj{SPD&mvApG@vtHE2K^G2)U9z&%UT0aE1u5n2ga7w8AWQW0}3KJ#;cJy zPbk8>QDH+3|NSq(p33Ve36*M+IzY&@9&js}B1uKV7ph1_@Tsgs$97qF>AlrG(tdEb z*wl_aFz!=I)|vArrWnxgyiF(0{!ijtvH(9L{>;O9^^5n3Eo!^G+2r&LvJb6!PqGZ? zihh`}q$z5~JLQ zeZmHX)_(t;+7O|N4a#OC z07KAF5e)b`aB^JJq^mxc;$iDHPO2$30@F-q$uPA{Zd45@CZ&5I)JSHv+x4MX~-$mB*nE27VEPCS!AYdBeqBvE}Z51?xUk_S>jps8HQ?SiMO z*;gIgc0?f&7eXN6PrvJEc7(h|m43)!m&dBLc+++w zPbw56MDbZO@hZh$7x)P|?4yN=Q*m;|^W6Xqa(LTwS+%HV?vXO<2V}uhi(%6pM#OKG zbNnF)BwMxm=q{z*PK;k~inV#Jk0Dwn!R|$r9rutQIh}hzN19AC=jz9oY8JF|wzeuF zx8(Mkl&XL)IMc*sG%4`*l3Ljd1>V_+oo;xe2Z>MfFdWa9 zt!Z{P>wpu6D?H{JkA{~(<=m(=UTdU0aJ3)n`Ro}@CQJ(4oO||PI2ieYc}VQ*3Cw=a zn@1|9i0S`%F}uImh;OV+gn!|H-PwGeVf~{Gl>3~Al%9I|T5NyNMO6E8{BO)$^$j0Q znTn9)c9XW#exBMPY#f?5_u6OfTnzvcFJg?t1_FOEb-!RFa$b_&-=?c(bVaYuJe^p% zSr1({)@gd{=omAX8!qGs!T9p34)9A5SrVL-;0SxN;AOLU2hDNePxP$st}$t4RvWf& zle!@l`r-9eKw{I@TWTh%J7$=qe8*+v*ZF%N9##|=9RlN2vzP>C;Hjz&nz>1X*VL)} z>{rpNq1Sgbb{)7lEQN>Wb?2=}`swqplrFq~Yq3lR(U{ivbiXWYunX;8hJATQqdl&@B69TexF!M^RT za@SM>#`}XIiL1*lhyKhaSbv)&WcxBmlO5Bz#blmQgShu6W$9A}eNF~ZVR-e#6VfkhJEy}m4p zwG3Yei;eB#=Le~hVnl@oVhH0q&-fgnyJEaP)rXX5&t;Rg#mK>Upgwk?KdK9%5mvkk31?SFj~a!joI_7hrCr(@ti?JrZ)wsR z-mzZQ*ZN&E2hm2VZpd?ke2!-lMh1ON{Ome|i2#E9%SvyUJeO71v^Pe5)4p5^6Fr?_ z1Grw)8WzCauT>>Zjx`F#!!`@;v@}KG<~^#3j;?yN($5t|NzRr+43{yPji>{*$X}l? zPXfr|j>|yW<*mnZvYiB+&ud4g;XEB$_6omG3a&_S$&Sy|F3@xDvf)XKYtWjtWh;5i zzHFv{8!qf71IS&mIP(&Gq3af^zgif?*wlnG;L)X;h50COqcIRDGq!Voq*4qUxV(d> zwMEy2HW+wMsX=Ap31eGj-ZJ3suN59H?+c@j#E4##>K(&MwjCu*4ZQ`pq60gRCh4JM zFvY<*d<86?5S)W`NU)U4W=H)Nb{nqk;Si-A=e-0~j6c$cl0ahNPGzovKU567xsZ)A z;|H_Hr+3{(B>xTWg1VP`y^3~4%@0BlC(}+4&cwS7cmq^iLEn%B;3yHE*n;OS&1A`i zu-guLqhHWP!)><8Y(Y03-_h{c3sBt^wYBHJIx1PIHAv9(ha(iGS4TtDSA~2Y%fDcs%#FKfh?Y#c#T#rcud>Y_h=QN4~ z;J{A!^W_3Y^-+oCmbV_9?*lWl4Pp0GdivWy&7OMxLj`w_P621X&3=~JJjm*fhK0~n zJi{^%LfHGceZ~rj_UY4085Q;P{0Er1M5}f|>&sh$z?ref^^CKHfw5$}n;0E}-gVyN z!hX}GBh_L4bMQ)YD8`T8Xaw<3@$}tdqUT6bH+khfE%@|sH9MBRE;WN0Xg*UyxhGS* zw@PillPlfohr$E9vF(}?CXMeJjD(K2MvH-_CuLfMbjWjsE>oU@?cn%!nPzr{Q2DGx z%4ro1XpMPC`M47Bk-z%&-d76!EdV;XN@;u_5ph^TxEBKQfn(vsNW)p_WHu>xU=*5S&4>nwB z+4QDnwHz%y$7jd7^Wyzjq3_Kr<{}fO_sN9B(R?mA!3s)yyx>rzkdaj|Q$owm-DW~O z&d>9wwwSCyY3k=X>;a_o7UR1EaHAaNZ7)V?vm^-CSb%AMjSgo%)KBhZ@wdW;?Y`Ar z!t7M|>cp8h9I(YY>ZJH_G)X`ibUJA$CzFamsT~SbN+q(isVvx@)1V?`E^jC)jNd1gdv*F8K3E7CP>aVP_u2}2lS}N*L*;s3WdsZ<5LFMpH4l9ha zAJ+V+ha$r|y79bnyK&W;AKYqB_a?ot$!ve56;9j9rI7jZdM7hQcb_iFY{}zCjq60u zzp<6)S2wEJmr-D4^0~|* zRAwx?QYcDYxe{)!#4JBgH@?hz|4>eGT20w^msjO=ciSE~l&#?@x`FMS!W(vLqZ0-1 z(#bjv{s^ILtD=n*z)&K$QM^PIu!|GaBWrN6_&&GKo`Rec=8SJ_ z?HuV|nG%6NKO~Cs)?t)Uh_N}i)^f>aD3rO9*a!y&=70(5_zqs#0Y=Lzxyei%OH3=8 zHCr@?6YL{r%zi*%!;S60EW{@Y^Fc3$#|#f0*+<=wv|B$uwL<@K{Zg1??5=Y?i%i2- zj$4vY6Sm+5yB9vd!&7WH`U>*2=9l#}hB}vXAq@JBgxLXPtHZo>Nx2Z|9=RT-dU%vX z;z_n@@x;#l@rcEkfn;pgYw*HM?ylrH(|1BTUukN`Wba{5s}>s|q6g3VZioo5Q?4{v zwX4N4RT`3OYc?IVL52gHrM19X zx0Qqmj|q43sK6^?$42YC2Ok@;3)vWYTt&gdNLPYmKi7V_w`Ph|C+WfuT#L2nlXjzm2ct!v(QnV6^KpcH%_VH zfa31uZMwI>4U|K>%mt&lOAcxuB+nrzv9W-^I(Q(!zXP06I9u^RA*<=l9rGNMb^0+Qy*n?22wl-{?%DYQ9E=Lmt&WPd7@X60 zhY;|i00HT&Ue4c!!gsb%Io2Sa91wr(EQ>Om5&9_S8dCw)(J@zXhVla_j&7k)0fCNR zrHQUZ`$&LQaII0#Vi@a^X;jv@sM*gnEC_|!($B9;+C&NLU+6PH%YYMm0Pj+DO~HHA z{U2ez+vPt=y5tQjywhWey^$zOEzA;}NCuClGXbUP7JxPpyx{KA%Y1Ywq|hVXU>1ea zUlA9Tge3kP%1RPA>WJp1RMf=_tL;-oMqd5Z2jb`1m3E``g=L3;NicU|Kt6KcxFuv2ea(D%BBOc?&WxQOH~}12w;wfF3&1k1 zOx7zHVGl?}l5U>zaL;!Qngt#CCfUQHcTuXyoBDaOUER09sHF@$!-oh3(lRakc{?## zB<*#0z;$Kz7!`c3WG_F7Ul{(UJMhg7=9CZk$?g~}LUINtVJa^qgz66bdWOVPHvJSX zSti$cyQ)iH<=lg7K&YV*f`rej+8cd*r~_6KnkjRg@sKu^E>knmbVrv;&);KN6aOQX z#2Ky6(9A{XiA~tRYAL`rX>=#`7e)Jys5^cf7Bi+^CnU#_&lOCYi$c=Oz$a~s#W$~d zwQe|}%O4cOLPHryD($qYavkDSxM(`xvfZSvK^0-iZpXY57>lOc&fqC(?XcaOH4#Cm z?zhf?Ngz3fN2utmaJMdzrry_VwP2a<9s&@SRK=zUOAMyh_ow_?Tt`Bt0onhqN zw8FISj+Y+D+CWbBMFw95f8Yxt07fDQR`z{Q04=RLxm<96ovvTU*T&9L^T%ItW@4yBYKY>8W`=4TFSX}0?87RbqP+azzb2*5cuX&-Yq|{910t-ADEUv5+Lw;37G!e zL5N+L?d|po1wP=N)g7o0MqbJ>T@vDBrG#7wa7zxy>JkUobH-JY1O!z-bu|0Kq8%4k z<_9#&oz;d{zjGjKWt;!?dP)+xEmp5AZYWJJ*><2XgwcX_m%6mpKtRU4U?z;al#;q5 zkyx!#0=$E}(*rxD*SV(#<~o-`$c6 z>RXG!gE-sLC&P4uB!IEw5e$+LQQkV~_zqb0x2K+Z?@K~1_o9mGRFi*e#y3PP9{uiY z&Zdl1AM@dh3S}LzCTeMlrw(vhLLtS6({&%VGxZX?B+oKNtU^}4jA5gT>Y)m{gz@2N zKA>C_a3Kf?Ejg9*N*J_1%hRYpg(inDbh_~_+dGEHapr3lUZ%2#^2P;jzb6u1a8U9- zi7#?;Wj`;HP)M%H%oYhK5w{~iY%us}f=K)F*SVT~1&GMyZtE~My7cNIz2d1j5R|Z{ z->T<>{vC9QkAC?J6ebo04Ez-yu{VJW8}DxwnariaGHm{V3`CT77;ej+CLxRR7rb>o z`qzAa>YFPhXEiTr=TKk#!c#X>%sN3kl8dhB=G1$)?1OPZu7M2)Pd(;@LH13`3`6(* zQL|_R&Z)ffh(6)^Rn<(hUr(slT$@F0;lW98VxlS<+AkA3)B4L_Db*oqKq?G=z81C- zWDu_KR&mN@-Z%*15B(8qJZ$nQpSgx}LZiz8?birTNlhL52f`lB$oXHGpN4G@iZ#an z+2H1JtGkm@Xw@lNfS6%q$D-PKx-R4dm+@UhKTKoL%8OQJHhhB z3}{dLpIbF&T+E@qt~RdL9`lKwW!)YCHTE<6#@W_MkeKJUM<*v12JR-K+9zcAcG@(P zpE(91hM)cUsOO52erTclowI)Sg$ zFEDeHA;&kt-G_j{k=N&%n?KL)+1#8v8*2U*VFUQ_j&8}?qb-(K85mb`IzR#y-7JZC ze)FdEN94nHaWWhFJ9Z)I0x9wzsP%MUg!q)iC_W>Nc9|j0EE7@Khx>ETPUzk4Dk34>NP&5b5q>YqQW6| z>z-~N1!IEuYX$S+FVDP8+(=u0(gRIUwwVI1=xEMash+FG}IIm9%;HSJ*3(D)%UeP*CD80ECEw@$qfg_!1BZr9@pq0J^-tR?3w$8-T z7#NH>8MQQY$?GX7XgyA{5kx)+0Y*$vm7ckft>i|C=?s3-u~m7 z0*$RdS%3Jd2u6rqT;^OwRx|YoTzKjQ@H==zt@tsy-x>zygRkQ!TK#<*<;FBhm2%<* z{vNKcNk0*0G}rpWYRo8bqI`W2@IM+K z!fy$zk0|DBsnb07&L~@V!>x-EWtKP*2xYpe;D>6&b*5g{*Wr@|I3Y8RFM#ZRy_6cn zZ0T&`caYcri;4p-^h2F`2igmX@1k6d+}o{YDidNd`YZ-*7zyS~oTRL{ZcZAo5Ewpq z(A&>;wz*mmgRab2&V3<1f@4KC51|Y&#KgC&^G`<&bT?h7CRAuaO1MqMZ*A+-rxs= z_rbn5K`Rhsf`Sn3)d$gsUjJc4<@W@a+Yt?^wk*=rep>bu;WZPpH*{I<7a&L1VjKR; zWyb~(reIuON_}glFOMY<2b0|wEXN_&;~8;J5^3hW{Q|@R2v9uN%-AFNJP5omdU4wb z1l#7yd}HW?ybJ%fX$_vetA(1)nn`uuJFMtd)xkCbTkvEekc%_)CV5A^1s;fTtD9<0G&~mcVj4_<+aaII`P8%Apw3v#aQ)7>HXJG z3w;OorAj>AXXq3bD9}M-7{B&*iPhgHyeXqhavI7}WhE#mvypg?V`pwBzxZ}kgy zGGR*$f@gR8b8@E`sNc=y=ls!?OHFd69fz$n3&uZ6JErq9BK4N-p(HVX8Mbn_jAG0% z9pK~dG^T43GnV?aSbaUcH8C$QNgjWw++;rP7P(FnS$4wj%R%DJLNdSVpyvbT`Rn`P zeTG-Dw<-XHU~H!`k$a<+7&S|EJ3;l1?DFxs^uGqcGcYn|S8?moQ_rYRI6n59z*A+8 z1~r26r7CiXd<>Zo_aEw0duBJ>R9!1RZlmIbX{x)`qeCA%F#8?*p=I7vLij|x(pqP< z%*Fd6Aa0`}6DDi_OZs&89>L_#r=LG0%d|#d2W*!w)asc7N}I!lDxvQOKELCn;F_nL zLasePFFG2#3<#jb69O&0H~3^oz_0qJCuaYunHpguYc;QXTVJ6W-K#v5A;r<@1$@;n zcaF%N7294RSk!#)_vt!hK_B&0EKq-34ERXzJpUdIq$Q-C;5#EduUY8h^2EaFL*(G5 zQZ6+m)e7_WF_~Q<)2$L0A{{^RY**Oz18C7y)W_zYDg^=ro&mu!BfxFbSj7gmKf^{9 z^{cj*%}?KjA3UP5SX3uxvK2a|ejihMyr77+>nwY&a%*1-&e805VChW;!P*>PzV7J! z7)eTwHcbr=3LUZdg5XcE<G~wE=2e&0pj#n3#YN4)rL;t}4na`Y z*WVusWF9MXv41ovJ@YNd$t{deMUX&{`3Ej#D{n+g@d}V57iceK`x|d<#!p(il;$&< zlO4*&5=L|OKqICuU+-_VjbxD}nl#iA4&rdN}lq5H=)scB_L^8kC0ZPzJkwzGCK{7=`ZCSlz; zSNsS-@q0X){v?ErP~2jx+-93lIWAFneX9>nwIh`5ewBjc>&oh!YGW_Zv5@Cq4Q#QX z%KZ<#(Z(dG(jQ`|N}Ch#RSRZVQdx?Rnd-I3-~<~)t;gonYq)!q)N5I1QxKd&r6>C@|pgQ-Xq?!R_-9fT>Ty&KRhNAuivDi%_P#H$Owka{A{k|@WA)19gJt9|>vQEtjsdi@J?@wMM^rUi}s&L)~}Hq#&LwV1Y!*!Mthr$Yb_yD9)~Q z=2H@(|1Ezd^GiQpGmEBqb~lqW=V4-rlgZ zLua}sCLGVaZA;@g4b0HgcXeeq=4NKz~g&7}oa zjGS^T5J5`Z_2HEotjF(2_AwW$2ZjM{$Y^oJV%EgRjW=f%!;Q`pp8S_=+;A>q?LCY3 zdG-Tl>2ZX~TczY*HVcP4`F^#xuZd%Piv+gNJxgwfB5(h4_xZJd%%tIYQ3ay7JU6+{ zIb0hB-yKQ@Q5w8T5x{=$^;H|X)2CWHma-1tg+I42amgCV7)_Xr{-OQ~+jU@>JC>q& zQ#kxcKB%w3(M5ShNC^C|;bS2&=M3 zzbKut)KLpBDAFaQ{2xiz;?MN|f9E=Pxn(jUtGSlD4Ul*=ULy1A2k8coA;FO2B&`Mvx8{s4~$^L)H^p68tBIVX%sKvJA9qokZfemFAC zqpmiGA5r;@LLWK?3$xO}u7%CGr={a00(hLZ+e*0O9w7`%x^+)r;q-l+ZF_UdA40 z-q7G(i6d9<^qMzV)K;ap^Mt%+xJmBOC?K=Ef<*FVIp;c5(b zojMK{21yr^8F1+`W*4B+nW)3H+u-ZnltN_^6lnS=S+-VJ_h-FTa}cA$c6iU~R- zqbX47Cb<5UnDgba`;)5|20W6XIJNwOnm=2`w>u)LKO5evr%@RQcyemyIPs-g5@Nsf z8J~CdE~|6)EKt8e9kClsX_d~?Apx|(eoA5hL1;ssG9U;<({xv%_5IQ|qC{K4@SlcD zD>XyLxBkfQs$y_|jwWs>1Nj=4K2-)OVvZbvK-QW4@t>C(sXH22IwATM;+uFh)OU9Q zucynBI_f>aV$?;DwzD!J+o~rvMzRP*rA`W^+VNR->}{@F1Oe~ik1+)hOP};}e7yvH zoTRPGvDmsHFG#uL31KBHMS{XDdj++@Y}Kpzk|1GxdRUm=Us0b1Ahc_LUj>(hSvPJS zl?j-f;cwIh^*2VWcYvp#4E>=|+l*GzbsyNBmza|bLGddnzOz3NvUu5Oxb zKMi7&)ASYuz7SdM&o2^lH>zMuY&as3*^4Q@tP$KrTMD2$oy2(@_CcN74UJkL+m4$M z3Y!$hwbuW_EE0vDzB}aCDxtY034rH=Ghy*d=^&Yd6;k6>y1Q?h3J@}Q=BpWtG}F@k ztY$Sltr{vS2d^5I^}aQy+5yr?i7gX9zhH)ty6?#p zFigSRdsA*Qy=!QCaq?i)Dc@$OEFj+q{cT%1W}di`U2%n01)nP*-RG^G1DSTxD?Nke z0<-8ragu%k0RHLC?$pOdL&{Zl#QAnJkXX0lt+2^pdRtP-a$&QJQ-0251d!j zVmaZ;E@o(s$v0kNgaed|pp_O&k!om6IZVeWOK(gAfI9cS{dN` zm^jeNkP@_J8P$?juasb0^`5agG5_F@tRo#u4&i3gbI0Py#U(Y$56lb#0DlJ^cCU@^ zgmPEcvqF19DlRw0sZDCsRz5x$$glx|hYFUD4k|S7haJYq!B$$&4sR$tQzKqHIgo2j zbW_7qAr-+OR1SGJ=caq^zgevaj#YMfx4*M{c3U#>uD%VWg$beYG3Yd-`&UFob1 zY)JdJ3tOQ9Z6{)HLlc|&F~wnd7jIIz$sxTnh?R;1UXZWG26|ZQ0fiTjo2)x7v9j4O z2l*Xhf@Q^|7;^r*fG5)B0wFms4}=*ClBgNr(C8 z2Rg%1lvkRwO#v4Ms0#4)O($_GuP|83eR84uS~to<`Zx5imI&FKpgksFtM|*L-4v*b z7-PO_xNmky71le!kscsf@aJ)8B`6^4KhPU9$d*%TKV%JUXfL38o=3WDVZ*rc zq!MdFHLv#9Xugx(qI{~9>eVrpX>5`u>OP2XJemr~u*~*ZxhRY6^k7ESksHTRp_d8> z%E$si7h(T4xSXG=%+7){zue=5NgL6a(R>R!8^i94$+0m5F0cu^6SbE*n}I|R zrnhhQV-OY^Sz%xZ5@SOkrD~F63oWrZ&e+r(0YgZY=cZUg8l4a^%wKP{B)pJf;7<5% zH&FJMvxa)N^*+_u_F6Qs1_ZTC^Kt z;q7s_uVfB{$TYq>6toOWN?h%$NqK8+yJEd_g~Yb%bN+sbd*c%9m`Aj;znA^Rp>Nom zKqthWde|3LryPr34G^b)oB)$i5xIVovzaYBsUvz+^ok{&4PJs$xQ=e;;Lu(obwHPX zjX6bG__82*iFxQ8(!1HQSvpLFBE?lY1OH(_MJG43lJza%~Z}?k_Udwp}pJ8P)(Iy zq4)KjUQ5FlQiEQ@4RTmFLQJuFOdSl3`&i>E1(t#SjZoxc1&IdF)+v~(bVzJpyf=Sf z=rAD$JhU^+fF#3CgT2xf8EMYzy(>Y%Tg8thK6k8SRkJ5hv+?PnZY=7I^V*yX_djTU zRka(^$n>-_26C2X(qj~jmU4i6R5;*@A7kc(3wn>I2>K`H(J|Me9{7Y~vJya*TSkPs z#Wj8y6EZ(IWynsvU(YP5AKsyXv~g;dRO4evS|ITnhyAv!wK&BwcHLGN3(W4RoKm65 z0H9QM?2Yenve!iZ_c;{r^LN=A=1sFdzM=8!9y2eDlkA2#d3oqB-ijah8%p$VRm60_ zYmHyHOg7zYMvc1Mvs)xo%(e%ftdWJhWGI(z*Czh#IB~(XR0Ij)Jp9ocMYM+D@4?Wc zcWMJ%=cGiJHvN_qv)p9kI8Y(OGAGY&fvrz;UU`1u>jt$;-OtEB2bDaYzxeV5DB zHUJHc(i33!w(+QSSLT|T5yi!Nu?K}nn_zqfv?v#(0ktS006LJk%`H-`V z$}$68aex3TW}k(^=DW7o-iLH8_oPO|fJxgP z7sGQdtnG@O7G>3Ac4(Gdxsg0mPtioQ30_cyQJ(+}+N=3l(6i&+sLb0A*SQ-3-@^Vm z)c|QiQ2Dv1FU7NGit4Tpn!CUEb-nzQz>q`nYm9;j86DUFltQb!C0C0~F^5+Ogsr5K zTgMC%ke!X6E9{8Cuyw%SW9FU=fp93yi2VEFI{*xG%>}8uWf^SB5_}`zm7cp^x-aFt zS%`vAC(l)ju4*`(eWH!zZr6N!ksZj%xI^>~Rd_?>jCY=IXYEd1gosQACEE&D-6opF zFlrrzfCm_JMwAu_|DBGtRMks@hN)j4ZI~!tj(u=*+46kEt6N=VeO%EkcAoKUI})(O zYxJQjr<3W)>E5@$sPTP5+?aSR*6X79*=U{!j>F@AC&i6z0Q~gW{tzR2h>Ko5tZ-v@ zEMk3{bgQK87)fs1mku8BU7{yqluhg!h|3LcULC72a#`aQ0vXZKM2np7DO z$kvh_xcqt&v7z@5%C%&BbXCc*X>Pd_pwU2B?2~8jyP#@}h7z|G;Lf}(|MqiH7W~Y& zkj@_+{hPyjY(j)5Bb}oTbGf14RKbaRfQx5*f9cQ;aAjX5jLddnmv*k_kcWbUKuIi4 zv-_0~n}OCR+E#yw65EMaR07}rw%wsmoOF9gIojKl$Koh3KSrjI5)7Ws2dpd;2j}#b zd18RRCE;okd@ze;)XAIt{27}iWia0M1HjQ?m>O<;w*8T>YD?`NFpl`<0sC!fGT&9Wge| zfXsJJH6ybzE_+zh$24b~7u{j6(A=XlluT_Isu{yrT?jf2$v=nww}l=gDVj8^?H>CC zjd#6hOjhIIS_2}2jeF80q#ll5Qwg&+fvAT=P9D6m-4V~}S8#grx5N)^X`|G*G1bp3TD7grry>>*BSI%ipG-mXso)}qug6x18H%p7! zta>uzdP|LPJ$$JXO%^*T=@++9KUYnY{19-dBPYwxgzq71tnv&DCf(K7YvO1euvC9M z;6xXFFK2(TWAME${sh(Z8{qG5oW4o*4Ri?YL~D$oXPQ7X=ntmm%Z?M)h_z0fhQ$Ck z3c2@u2>1t$?`KuY1O>}gnrvElytaVXjoDh%1xZTE4uR-DAFk<*b9C)?uFq(`6c-sh z#GQoeA48j|3V7pZ)=iTeSY=Kd_AULU;?4WK0Vyk{lZ>jW-x>vUWB)~?OCSnL_e4Yp zLt)k{OvX3*YimS%Mj!Ty?L}Xl>SQ`)Xs)L&rf=Ki!8W?`lu5^VZ5F0zC^?2kR8{aC zHEbt0$lm9tv?BxO&rH!JX!$oI{u!*8D_P$Y{gRp0CMzasG=gwUol5rD#f;Qu?!`8T zly%ZEyLrYqeoNK;`|SMBe0!d1xfLMrNR{;6zD{GbTqZll8wXTJJq4JweR7`m4yORZ zpiwUM8)hP(_QB+N7d^QDQu`f6UzS{fR4L0-`Q;|5aX z9s@@7{o8c5CW*gdBf88wk+SPAe(Y>Xj4qV@(!Z?ACH9t%cy4{pcPEQgHCFVl?=dcK zKD60msosFjB|35d7gBrhL7*aU7C6V+7s^iES;8h0#%!l3KO&%Rz+o7Ko}_L;hckc-00)n|lPg-to26_I`7S&!_wUJerRkb2AR*kCecDA6JR z=Jl}2KIJ2YC%b(=TGJYeP(-A$}Y8>9R3ZjCTC;d;t6?5aPnP)nU&%5^W5;gH+r z|BaU{*4$C-zqhGVl3%WPzXFDUc2c#NoYVm8G z9aFI91o~PQnRf*2u5u?|JR6=6yLlODGw1r4GUcnLD7+jG>3iM#>%Go@AVIjyG_J7L z+rxTDqB)7BpQ1H!oLD$|S;$PwDR?vDmdM%Ss@Lx!bpoal=+?*u$uK!+)XTYuPdm(S zZ^P?<;|bW!OSTFZd~q0x%mHV$PYt0GAVG|h4Cm~iz!@DBP38IFL6xUxr;?GH<1fuG zX&gG**^3Ag|0u8t{Y6&WjvB)FDDQ3H&v2D{6?=g1a+-O{>wG*eCGVm8Oi=+u-|hYo z?nmn!`dv}EPOQo#G3D+PquHqM;xKwuC-B%Ov0NnsX6d{s&;;zlrV8<=XaVKfZ+8c> zu8?uuzXYopCTF1`lJAay!l3#wozNwaXyhwypEn=tD}w1rWnCI>uPrqKx$sV({+j>s$KWSv`tOXk?vLLu;8wYSc^jhF_Gqs+YAyE+}F5(zS}i ztw{37nWo%l_blaPl9R5xo-Y7p&j6m@1b*=n#*}L|V1+*oTN1*}_PU#nctwpN*S%N2 zA;s#P1>1re2Yc$5*Bw3V=innbPAyD7 zxZ3|mURgZvr02>^bsMl(1F^)&jy}YT?X+9(VxaLScBJ1HPAfhA5c37u2{|1Ls2KxG zK`T=GRnaRkMFS%wn5gD-nk39^_LXG`7rSX|$+<`* z^>aS4F|#=Rca9};^$+Jp21743|xVw(`Ww{`o8+uNssaIo8Ka@3xjf)vahawF2g0%rq$ZQpY zV)q<6IFqusRd5y^XGoe2Q$y!vSmOoI7itSz0q>pd(wC~()EK94fO)}Yx4v{)?!DTi zGc`DR4%A6I{`D^Dl6YDt98zs(*Z{n=EJ`5fa1{5qkQEa`5Jv~-DoG^Bv}b{Z4P`EK zG;ufS!|?7|b09U*+T^%@D;Ts?^hC2((f2q4#w^R?{Q&c&(WEuIe4iA<5o-y-ZZH8uBy%L>i-Uj&=ZJv0RoH1~ z=oMRwuf(sjx0GueL+!Z{>$trNKMBzLa8A(WTb}>4^ZnPn=DB>55QIdf@$JLgAB=MB zfcmN0KJ1!Lp4}kPvQk6+#rx5$-z5!Zi<4$qoXaV=cli$T`mbhIR+5wPYP&P~O_~EN zGQR@W|G7>+?vD~UV1KsE$M5o?-yosH>-lr}4~8s%bpZMtk5NGX>gqz_Jv7-BlpQ3 z?5T!^n!s$+d-CIytk!-RyhWPS2;blbpl$e<(^x?!7gR$8OW->u?|k-iKqn9#2TBg- zjRft)JEy6pU$lVGe}<$p0I=w5XbWyaNOk1I2`K4_YPB3g=V;8`Ga)TWBaa+>Ne3r& z2Drh5e7k4;bM5?2M(A|b77(Ak5Yglta%9Z}cuNlmzR9WiT>bEtS|gM9ml)EiO=o-M z1@nunck|Bzf1_OTW0Td!adjm%P0u&bfzF3acjfXXL z_JpMJi}xN*L_NH-(gT%83X(>g_gZ+O4g>JXMETbO|7gMcP0o4`mPufu-lR>a%Rx}H zqPK%(jydab2qHYT|7%g3pQ0_;Z`*1<V!^XodoTM~S>pLjVAst0b_56IVR zn>i%I^^f8l=^*L%trcT+TK&AqY3$6ss^1-g#^Ji-K*ZD7KIO3uC6udD^T8NS0w&i| z8&EsURrtw@#)f;p_k0`e^J8;R+WeZ91=!lf>gkdrVap(w9N|Yu(q*)Y9yzt{d!>BmalErTzy|GKZc2L^#M3I-ylWe>80*dYS%VUg&S>+>Z@PMFfHy@l zwFBJ5L%bT5Wy=kYF4wC~ejxtjSI>S$4aWm{$FhS^pe|0iS~=V|Nzh^4zACqQC7e+_ zqM-S70(csky^jJbquhla9|CeFN=@SMV)ZEae7P^Z`c1%~xE%a+>q@}^1iy<;HZc|| z55U<;=YqSl+pr?UR?U1C++ROED!yZVIxG8K-ugKH-$FLQY&I?vcFXJQ{F*DTaSje9 z)m_cYn0%yW-cqfc_|EyWnXYugx=!(S)xIgDBQ*8Bsb-xhEeR|NWKmx=nnOZY3L27^ za41DHU~$>^ZC4`~%Vt<6)yN=!Lm5U2dedq`{hUmWEgCmptw)VddVBi( zRMDk(e{&xpqt44xsx|92jzcel5sL|{ysUP9CEfhBZm-*|u|w;~1JDY;_mXzTn6 zaS7wi|0MwEB~fbV%0U^f_sWNPUlpkrZrU$_$70?mp`P_Set+Fgq64V#xT2C0KO{XUCQ&bL9JI1Suha;Wj9 zOhQA|jXh-5r%<2fpwRPnm2}5nx%tp)bs4^2ndN#b9V5o6ePpBOT|j>u^L;l%-S^g{fEVe#E2Gk$DtfNeI5Bgn`euI~VPpqsM?cwa@p@ z>en0jb0a{|h%$NJFr!hHq_5RLKHV5IAPY@4$Q|y^3AQ=lEX*GiqFO}YHyw% z7K!P7^WVqB!qDSfU;FJ#p(4{wxseo(SU$>!xW&q?vM86tA6G1|hL(kyzrPm70;Qu8 zc71f-Q}iyHnJC>njJd)lx4!V^>Up)l+txfE1ut^qh>7)BZu_Jh!P=-K-^{FC@4U!r zAiAInSdsR8N)d-Zq&Y5kIuayMilFMv@I;$mY7-L6cmS{A3ms;K@voAvh3@k^)h}^l zX*t|-t5#*wv?B)LPw=N}O5CJsge#-C_srMcwAjuOetXnEoefVyw}6qIRdp)wCnS}V zFq@b45Y)X=B|SoO&EjQ5W440pW;#9e#+iD;AyAP;jdPjEdveZcH4M?D)vrSCgiS97 z_Omy9UiFdA``UW5jBBYL(R=G$tb5Em-Kqlf>sRWGs?2z_Ay_C8U* z1d7Mvalr{o1gvjl1#?y`?PW$=^$4;9QK8prl6je25v^1W=)-rufO?BoC?m02=Bv)9FM~uc55DjH9NSni)LDpnbi2E!v0bZ`hD!2zcZ2 z^~K zZHFeDvMB-JI=3gbgQC6B=?xMioa(Ke^d?O2^YRzM%c`w1xcC!;t@hzjxTi=4eEOkq z-4$;$a|42i*9ozaU*L!Pzh6duj~zC#>?|;GvKyAf*(M-1ZPfYrS`6|iZ?yrW`Ek#N zlB2d3QmIeSVJnZd8kTw@7wVk(G@FdE>Gm_DGLp>VraWp*6D;P;N5o%hfn9zu6Z0(zh;Y{J| zj1J#8wAEEx3o;Oi_#a5Pb}~B@{ zC*&LQg}((b`HR{#L4EYF{F9X}y_sj#Q}zFWG$h!IF6TOOTcQjr@W)ad1Hn>ef~fY8 ze&mf^+~meS=&Z{QHxeSVU&-AHktp18k(aGbwF}95qS5^Q=A7JF;WbTVS&w zLtTK7Ou&U~Ejt@@iDtet_e@~#`#r=$q@ThMA&{5seqhFPOl3LAH`m?;?Np{Vaf*a6 zM;BHIu1NsB#EiseKSqq&huj_)bSk<=`*w@8oJFA5>I}h-nJIQAk(JAhtgi7T-_48bgfC#Bv%AgIctSv|K5sLD)qTdmYP%J5T--#u zg?0GfZ8lI|-)GXe;_o319ODc?V$3kVkNgLckCKya=g?I1Bf23$S6fx6lfmXUKRY*b zhM_o=-u?W<_&JjlQDjvuK{}TGGX;~nqxpe zKW<9UKaG6Fz3g6)K4A%y&fcPknNUIaI+{Q0DZ7{p1~XJgYb{b{JX}Eq6U6D*$#D zVUVXDn_71F2NiAED{}*Y!uAy=Z!N}}b#WZXlO4J z#K!u@oz<|c!N%llK0N&-_CHV%r22|i>q(WcVh~qHiL9P%I{p+I{RCx(s1Sd9wpi#5 zQii!|e(ovo=uI6w&RG?6dDq-GBDz;?^4OlVKEki0dEU4o`vQXy_shAU#JAXrOMhwn zEeW*qAW&pE7jS`;1~=^D;*N#PgNBzaLJMCjl;7b20l@gwsszvc5j0X$^ZTOcFnNCV zlBr1)MDIdFrb>66ZnAyoGoG>=L$x#T>XJ{nyl71G1w-|Dk0*O#FdawwK#t~>5zNBl zlH#<@(p_h-zuyQMrHE=vT<~9OS*hyzg&{i=U|DOHV4zUHCXyB;9$bq1T2_L+-Hz2G z_@>{;-Zsi;C1d_5@IP?!&w8J$ar}YclMp~{L{+<+xQKNwEq&+ED&~+H*%IKAOSkl{ z0SM$V<|3zRyht1S-sX6jki7S{#0b~6`$kBVW`!yeF0pEXO{$(e2Tyb9QFc}p2Hxd>Y*aF?_#oV}7=V=|Kbt*GA zC@CKAG*;dqLmt=rH7>Gws)t%wP6+HNIDut5^S;3i=Wks~Jr;cB*@^-8Ynd`_3 zi>-hd>9wfp{~#)ay7Z_9q)<{PrT1>`Q24wm7f|2gc>WzVGVsbB4V_3fF-SIV7G?qs z8IGM7=(!JOPZEd^9KUbV6E1kTQs7_T_7Lf>E}lKHR|Y$-|JV6dac$M{L$B+{;v%Xf z2n1!{xRtsC;Fp|cYFjQjise}_rT-{o+&FXs6Pi8R9=lrT3JIr$$86^ZoIBYA(!W}*mwuFGG0(Fj=rs3w8>eo5sdcp6 zJDy+T(KNiPfu^trojJ`bS?hUPVsR&?kF4bRI755ntrg|Jv9=p`IY6AB#~bB9+Nwru z)neT?@l|UDw|jn62Xzdp{a(9S3VI`EA1f18m*G~OSz;T-p%ZRU`fAbRjfL2Q8$!U{ zJHrbe(Rpd*)xBc1!(G++wq*$h>s$R|mEB`3azHK{E%!t0nTV4BUQlDE)($6>i!flsa-73~6CB1k8C5-N?W&iDCKi$r6-!`m90KZ#wp6-z_wWIf zP7K53U$5`yi@L`Ik~`c!7wbj-0}WL5r6p-WNVVC-w{S$qE1n~%ji`?V?F7M}u2;*S zcPB`A91}j@KKFY1K(o@N%gvnk@NP5z=0^}2#S zEhs%xgwWc7=!Xp3(rr!x07L8U>#Uxj)R}C%olXcWk@cO=3B3YAC75sII$7a%`SurL z@bbkl(jreTTu!bf&V-d)H*jwlrOW$_8iK`OBN^#f%88uW!2mJgC^rQl{fLQLD?2*) zT6n^2kOGS1`5|Jxr{$TGP^goc%cL9R76sYsYO|2Rz>&PrkbE&)jdM<$k6LOtwB2wDslVj}O#DM%S86T3Eyr@$`7wvY`V2All{Dp+ znoFrs+qGsC_sd^pP^>gT_&U;T&~RmPr3rz9NpbJhSuJCoT3}2$c>32TJzt|f=7c;S z$&4Ah24p1q?vKXpUA)Qx4pmp0O0_wIRayn6f4k&nM~k-r+Nm_>w}3!wokhN;)ogHI zCs^vb_A+{#_`J^R*_V>M@X}i|<9Mq8seYpr0o!ZR*$oaiHE+CLe%+Qd3Oy*7HwJc{JJHsj8AO zpDHk)fv{{ukC=N;VJw4P@RL!2zIMd!wR_;TQD?&F?dVG=^m++^M6 zb5?YqTj876x&_T$Bz<~OWx1Nh)Eg2`Z7f|Y!11m4igc;eu2ro0;RrQ|*d#+NeMr&E z{2JnEtL{vsPPFfXTYcI?;VyPZpU)6JcFImU`)Rv9PsIVszQcwofHWZOPc^@V!%; z!DOeJeQY1&)P1EI*+YJDWdomguh8Rpx1`$CI_FdsBYA=8-OJ7HTY@J4HqXa+6V1kf znkmmkTZT&$Og$SX7XI#7EOZ8dp3=GWlz|HV$Z;g- zJ`r;W)X=MDbhSGDJI(ug1pggv%odCmg5`t&yyn^x-TWi8)vh+|Y6?Cnn)_igy@ z(0xi1S5O%HOjIH|%glUmg7bbA5PF>=_33mP(2m?-u8)18OH?zL2Nd=h=C`TlP^1hr z93ggQ=jO!#Hnx=Z>xFU-k^&~X*`Hex>vj=40jOKi=|Goh2)xaW!?lx^FIGa}q4jsDG?Gr8f%=hqz@|$8VLFJ*D27!fm2zX-n*swI=_^-L6$`lGRNVG75`krs!X;y|+T%lqgLR}DLFk3NwSZ(;@2S>;4W!aiOJyOBr{yi1b7 zb?0NdbqDjF=Gey2r6=9bS_pl97ARonEs!VE(s!~ACJ=7N`m_L;>w{+BR^HK9QVPjB zw}Hqs!6widad_JLLYWg!72hmo3=~Wf;;jjageVciV&Gz`JKn5!2}jVcj}Cab2C{d? zt4E8UTP}{s-5j3vf1*wby#oN_mb?o=Sx=XW;xg)J%51t>W=4DE=vh0(;}7x_&TO!( zJM^+&f6(=Uum`W@uSmOp(YK7P133yNj6ZS^S)BR#raQW9T&!OZ%qK9eJ9+aAY>tFr zutx#uAyWqrqbvuKDr)qtV&*_c4sR#@dxas-j2x2Jhqd0c+vQtAS^g+S)NlLSbQ2O? zq!(<_Ggy1}^5`Di2ZimqQl%6Nsdp$(~9QP_(L7xUU8Z4!Qd6z|7~0eCg>q}+?90l%wwlMlnwN|D4!LNwB+Rg``Y>cRxtr~a{&=f3 z0Daw9yx?0zex9fP(R_^b;SKj`!GmxMsa=bU7M$Dd>z0DX$9Z^|p=6@USH3U-Zs0iF z=H8x^*4vu;`RoP!RXPO$rQOkuA^|RgeXg0PWilk(3x!y+*NtScI845TULE!inY_xf z#Qf_#|HANdQW&CFGutywDv-*lF^)$<_hpD0MYR`QCymp1?oSGhgz$ps!7`~M7QWr0 zXC~MHvAE2)bw0BoJujB5N&=3;dh>Hit{@MNre4;VCl$2eJtCFHAOxxQ_$8;#KAB8% zWl)%Z&@U?5I>b40G&JPAY!P5W=#)|Vl4CI}|BEh%*q$Rf>2i!%N|cS5w^S(X9i#3f zuIfjXK_lpdy%HtaN1d=7ixD$ZS;knnnZ`w*e}S*16Un0$Ar?H{*~GkFOxblnf7(p< z8x-0h1my68H04!hq)Th{gTmzA1OXfF^FB$5M*NJ_>IrpKL6b3uQou$rxzA4ms`tjm zV{f*l$)WCreQBLSYip133U;L)2g~3%)fGHAdUrYh)MF) zN?gL*NvDBR`VA70)%@J16Bks%KG~-a<5$yz=E~=HdU~?cP-x?}sIz^*ud)2kIt+4a41%>IJAb_b zcCN`5pVB=oG;7g2qdtU0o&4p92+RF557f|OXVp~`+9pTLI?B^PbgeOFAfiU%;9P2( zUeab!Xj7iXruUykT-n~K=8MIp?awAcj;n~JWW$HdEd17oLjYR%Dit$^qlm49&o@U5 z0POId*gbVFaF_&;pHaZ^C{Ft63Q47Qr2gh76_Pv~N+1Mzg*^yf5nQj*bN)`9Awr_8 zPvr>XobRUC?Jj=j9U2>^@G=Q2M2sJ|{VW35VfNnW)-cyCp`U;1X9iq0C_wQBTXSwN zQg*A6^Zk7kI}_K7!WORXUGg#4DyW*ey7^XIFWmNc8|obSk&ML_39u4= z9)Fkr&{k(H;gSaT@b-n(lJ>M^6S5HI*sgfQ|~F3~|c>gN8J!6&jfDVBrTBO)%@cHSU}UjSt?_4;wMtG+p-X^L|W%q-i%<20eGVKQFnMM!&SfBmpS@)I%f7FhRq2+ z7=>z;iLN{6$`Wq=0~(-3aXB-tTOFdpBjo*{+--y^%^4r@%5FT=w71NW#@5K1aQ%IX zquYiU{a=If^i~OE6vk+dsj7G#ez6JrZ0}Hn1E+ zIT=6qZhE*IX&q&d8zz|wxVa;oApLDQXdHUo=9oNG;EzJ{*coIT$bvCm&J}Lvh5+Ia zWdWSJr^POkx7o|bsDiJi?|#W1ldLy0zcW&VrwaOVsu>?2vKF?r2O0*apGSt4r3gav zrRrQ}jO~<>%Vrj2yK;m%70br}0^ya4fJwzb`jGlgwkR~-TWxanhHv0ERm=jhdN)fl zO81YV=Guu&d-cQ{(z!qSK{Qazslu@W67UrlGj`@s@{F)@$ zY-~RK22a2}C$MyKSV+Crb4uuDzJRvNDoC4$dmlWXiQ@fd3uGpX&-WoTKd5i{ zlDzpM`d3$>QRzNy;j>lfBjTTFLhut@qZT%~FdosIZmsLTwnMqc&>PWlsBuef! z;YSPZT4X$4j$0zC>N5p6=I{ij_dq2*@bIZ{X)00S^QY1)>g<_v1{D?b~tbV#*TMknv>9w2+TTlB1vp%wgxP=AGL2IPg*JT4)mhAV1D zsQSaA(xN*vSOLC1>r#jd)!Jhl6OPEqtBFA>;sYK;|Et--+FK^ zW!(P(jV{xf&=&O)_{{(t^!35@?Z{t$@Z~#cK_vFbtrozFC|}0J57SKX(^S}Sc4~h8Pn5fw(&kHNPeMkMAeO&57&Ee{tM^i)#Za;h4Gs;t;UByNi zkIMt1<@TMF-}G);BO zZ1u{OtGARnLj~3{>Li}C4I-herdO()?mbe!ATc`n+x>5DLFT-^PVt7KPtUmV<2ge) z=u*C)vuv{R%P>Jr9bc#qgvKg($FA!c3B9mBrt1gy($3h z`f;CDRV;50LPE;O5Mm|UtGKVaXU|yZ(=h867z#)j1GJ|4@_f;@lWQqg+?35U*5zYX zJmrhJc^Bl4>CifsLt}ZZ1>J!LVKF9cCjfSAKXSzUsRqS6F}IkOoT9_lDb4Jxo;*tn zXSw_YE6`ezGY@2h-Bn=UQDxER8&4+MVkX3lPjniBav(n_*RH1^pn-voyTHZOzMO`5kl5(@NXC*A;B!HZuyJC#Y z#J$3tE83Fk_mwHIu*#MuS^F3YTPpsqCfnRW3_Ss4@{GuXA^O&~#_Nh!-| z8Mb3`;>}{8`K$?3y&)jor5T8jp#hBUOtZ0v8$48Rs)-#lB8Xo1{EIo)=wK&mcx-rHeDD=v5RjauyX$pJ9Nml5c$cDO5x^E2 z#n`D#V@dT*CAPlOCKRN%*0ck!{LG&Bwyzak?tFZ^CM^pDj}8|E#m$IB>2C4ws!32t zqDi1nbi}WoU8i`p%cPTu^VM8b%Mm0z`N*CUAxnkalt66T_|J}dDraQ_U}5x4b*BoY z`1}arMO9cOKkjaj7VTS{cs$P;p?c^R*%mC?8TL#LhMAm*+fWitgNYCVoha6S8_Ql5 zqj(Oqv**u#5)Y>_#fH|1f|ii}Yoa=S6L^m@`YV$+{15XKVo(0}5w|jMT|o?PQ~5Jt zP5gmgIC3AwAA`RAwsdQ9%5>42V`=d&A2eAAOF{K7V+qmv$W99S*XwY}s!h9HbxWEKiu5A9O$HDn zqOVXT5x--~=66FW=SSXY&f{o&L!@72OjJy%#Eq3FPlNUO@U!tw9+pc%oJo9nY&o`w zN>(#*KSy)fwyDeZ|GYYQqOE|PtpWv$OMBs%{p>W4!HN=Qh!&}&J&BmkNen z+CAkHAxv(TxL$aqnVhzVAjdEan24;`*c>D~ZOUh1<4N($PJmb?`0kbOs?vywp`}ypS^pj`T+H2S(} zVqLz}WqH1e6bVxM}ASpx}3a?l{=a_imnj;`a#_ z1kX&&E%`EVWl%spOmR-&J^+ZrqBcKe>HQ##!N4*prRaW&Cv8_(rK*bdVC92XY_ z&H{UiG}C@3wc2--AQ-r!42y9NnNT{AKh7=mt|#Z%_c&pNhM`^@8w<`7QgRtxUIKvQ zraYn9`jN%te4w#a`(uZ`Z#wN}bA&s#PkVD@d^5>CqN+zG%li!|OykH!r^L+y{qVu9 zJVSq5!);p&s2Y+cSRl&X&dU*X3X4r0i5q{Yi^sM1>g6#1jq&l@#|}s6NuX`Bonyib zP;%OOK3!KC6*vlmMpsIR6Qp`}XPQpn{rHNIjvDC!R?DK&+>8qO&t{s6Z~96ecCMz{ z8Fy;n-eIPz&j8mXlg#(duj}EVvFnbfZrP(mX#r3-_1Uqymp!_vN#?_Q!xmdZ)hC@@ z;Xv)Ywwo!xdTb>cn-lgzfb*m6{Op!KfcUP|8%=;}JKk>cn*ze&3k0)s;r98=CS^EbUkC{8=gVbNt83K0e{$ZDtrCRB6 zvCGA4WI_Yk*LN#B`@j%}|Ey)zB^|KC5EQ-JV>S*fHE|v{XJH6K?(<60+@gCxy}|VU zy$82Hr@v0k0mntWbe$^ug&0&*ycoUN8!%zKq1&t&a^?_=;sfU|Ydu zy9LELoQ`D4&bvaKAyNulXJh>|Yis!-=&Zck+QnmLiED`)EQ5|54k9NDSdv!@$<^Lx zfd_kkwlw4W)vPiL%+|H4_Sdod%6DY}d-h+0XD=7h9y#`8&51c))Hr_V5dSA6^VW%t z3mPocO2fp)ji+_}$N4xj7}@sA?ZMo#aw2Lf9g=ZCsj|JYxoC>Leit=?>b!<;8Cf(a zcv0)`0ifqEr(7lbc+_$eTmZt>$)`yv@iVt=e#f&KHxUV%AMq2gc91r!UJ3|de1jn;))s{s{HaDzs zui*32@c)=yS9hQPKp6R(^RZ-pZHsv?S#Bq?(Xh>gVppu~3$K8iP+<;}rRu2D2m>nM z{pAYyKZ>q`t;sG7kB|~UN^0a#QYB?{C@o!5N+}J4(V>KdI7TBeCP;UeAP$K!q!|qg zj816@^?Sd6u(9`hzJ!``lzO!jylx(`#V{0M4NV%$lhMK#t=w3 zPef--<&(HTB8phLmVHa6>ZI0RYMniaD)UkP=eIV|^>{R4^2%$IRo=L!-Vo zz%clSv>(6xME|M0Z#Bn>EX!adhHHc|wDm;hKy8=!R^DI61>!GX0*i?!0_cO}!N^RC0uJ-?$na^R3A?K4QM9Z<0XgDhU*@gF$XRz=Pe`XA+p>dU>>^qk zhA=?3omMe!G5Jfo3DtNa=4Sbz$S}7y6XTeagxec#||G>5M(_>ylj)tKCT|_ zEY#(z1kL!T{)pDfyv8PP4ZAdAKk>;JrohoZ35HqU$|Hb)A-qvjQkD0o6%E6;&{gVR z5<1`p2{&I2%1E3K zld}N+)}N}W`wynR?Zb!~qx20ga8iK8GvoljY`elH?S9OMiTkeZqI$wKe2o z_mR}#cIrSmE(M$XMWxD)eSzlYv$mjnj=Hm3$y1-J9xOceprXE2F3VY*{=(l-a~qum zJTH^8QJi*soK{eWsp`H0auEqCUkYGaoW>ExR4d3eS6p?=d{j zz)9WY**3gNa^?syqjen!9$!9Z?7^n`-Ju~tERwvHCEw(@l~^JPJ_LK>1#rBRP~t$F zFFxdqQ3%Ht^5h+$TEd1VKZ>eLOF<4bp(TdsF~J9??Dyf^A3&(*AI zr3MIc7|^k%g*CMpApvvRs~YwV3*e3YO3iC$8JTXn`KX~lt-^pjiXJffLUJ!}^)`qj zgi0i3l;u?4Qv#oDW{kKr$dbm}QRC)i`hZoT-V)a9d0#5N0KgbIxr#sb@29HM>{DWo zs(t=}zA5c+PR7b7N5%hw@RP5GWQOV#Un5_Ku-e{z85bE0`f|f0dor{Rf7?yZO^PoB zzb#nt3A)zW^g&G1oSZA#-Q};?=cboBMGF#$vf}a5-9fkIbhY9QbGsE?#a|@gJn#vh z8UBg9U3yEkcM|;I*=*M=`i7-ZG4L;po%&E-cqfGrB+D})(5-2v<$_jekgWHf&QB6n znf}7-2;z)>BCCj*H$whX=a8~44xzogXfPc*c68kC-K`XTg`oW2{|y+Yxs`+D=9kV6dPO5g*5yl_n;WU_{Z7K3d7ve;{W>KyhIv#mz|nNJ;|w zqWCz295VfX9O}fW%*UJO%8;72)5@(l@(k83gnfsp8;4gN&H5rLg`C5sLl0A3% zOm@@hIk){RIDpTbr&6_sAsImf-LW?1y<{K%X^d!pf?SUHdz+HA*Xy_HukP0luP&_V znYU~hIsOug3qoW6;dk~tALn5JgO?>mZ)PgeN~-t9O9vM(`#~ppK>YIP<)2w0vD2zc zRvozbOKvXfSM~eMDQ(;0!h)jrozFq4{Tm`BNN5#adN4}Ua}g#DbbpPke@U3f2N|efJyCweEJ*|e_4(d`t{1HRR=M$h3j`=6D{lA% z8tUiJ3o-Ng{L=G@C*y;)E}7L3BQmE~vjb6E(_1>lQ7yn%eUL#vuOAA8cNtx9N2Ny1 z6L+jO%3AG0dm!WrDt!tH$3T|ofo^`#`*kSKJIT`Bz2w}=4g!iub=qJoZfu{uZZuV^ zB}FI1ZzXjX*c+d~eAG3!wzNAUF-|~gQLrp|lFY~tg}}d!^}^INB?~9zr}TK;8RKD% zyds*`rKJc|@K^}Bp%y6|XCG_?_UxnO*t#SG^gSovBAz980ZmSt_C`L+8*NOzaK8d@ z9T8^fHoTY5P7_GxgDP2yR5J(g(Zzs2s!UgLC)gb6^EV4t^;F-y4T6yb5Wxgyhh-o# z7zG!FX9suyG5P%H+cfn}6m2lhm1?SqaFWxBB#(1;10wsVH1|>ve@7=Ob#P zCZI*!yk{eH9UNZ>d@6>pWFP36?)wMV= z{x%v9+{(Y=mtVg~qvi@u|25w_mqoq?Uh=P`m)w^AZe|ou>3)1t@+o1PcCb8Fh>>JZ zztr_HIOqq~U&qnW*0@N92tFKiB3UhN=Bs9EVcO0xO+KFgXktOsK}Psn4YXI`T{RQdLlaEcF{2k;gMRpgAjpi=R+`uhS*evI;Y7c^B_(R~FOiFD?u(=3m!}(H zGUqlmy2|Z0Y+-jcXzkzi5qoNhG@&j*)InG!e`%VTZa|WC#TEb!r8+r}p4fQi*`YSb zc>?4R&05AnVI*U+M_^%kME)Ui#sJ<(Q=AZi9M}WpEd`I)!Dc~%Bw%BAa;LQ&yaQ6n zvUIfJGhjmZj`>4TI35x`0+@>;!7+c9sr8MYMmrq`btB;EWwqbs(5fMa2tel4f1v{J z3nDIk{H^*RO%%vbA~Z33YQ6eIU~{;SaHKUhU2P)e7$yXelb#e6F#TV8B_Kk7Po$w! zf#tk{^EvHEl6QJnS-sT_OgK9Ng@n&s8N_<7%#8fnknGN@Taftt;ca>!*)45-Qbxf& z-RBsMwSF!aQ%W@Lo4!JKfq~Q{m@`m&F?LB*KUj`&sCJcDgCvcEG&j{~ZP}ivbL@yW z%aIIeFfCTTy){UcQiWwLh3gnKafmB-!w2%55NKW1v$MDhV|Gs#KAO%+>#cXzRP)+} zii)O~E|%h|riT4**j5@Au3)qy5V<&hw%!=0(}lHtY-_|GZ zA706tN8j|wlr0?=8`kC3@sdzS^m=!#q-Ng~O|T1Tqq7z@O#GOnq5bZuSVIi=28wKB zE|!lCp+z+JKDQ^ShjUWCxouuS{4uI z#yiW=sPIK~L7G@E5)V1r_!Y>U-8BG+Ow{Za9=>bvGwAB0D+fF(iGq(f7``n`I>a*e z&9-(CP^L+IB}H-mE^F;e5d{+NS3b>M)sLi9P2i1L9Du3&ZO*hp85vSC`Q&(WGd#cy zPx%(|fK1oJEwg3Hwbb=Tn#-EidTtH=3Xs*5S%uauqh8|jR?K%N^!2#E(CS#vdlrmb zEPQKAZ>AkSOgPY?NyQ2+19c-V53IA|TgxvZHp=3hI(aoo_w%feyaX%z{9N!NjY!KV*P97qnpm-gwA%MGya#IK(hj3?d&+}1QvZs$NF9M0zaoe@q zo>t#%D2h{Fpdp9k7p&bJMXaViWl3mc;tKqz?RJl-f1?CaqyxmcMvt%zg9-&BRgd7f z^ODv7D@{^avD~Hog^&#yQq*da+uN<%T&XVnHS$3GevC2*2dScX=%DzEh*d`$n+s{n=IWmEzjAz>;*AIwn<}%WFVe8~frV8(n{Cf(f{QO9HCG){ zgdcyaTX!kEs-y*#t!MCjb#&9a$~C~5tv>2HiVO>R?R)z%6Q)lrT*6wD6|fhXVL&wS z{Xoct0sGAi2;UNs^~1I)>Y3GWB2d(hVJABa=5Lx>70aGI#FYltgW4!7&VfdeUA#L; zRUM1U0XL6gC*gg89D>^FRee;+c&_2JCI(L|0D|{5%Tk8>lBO7gtgr?Nhl>HmslKjcpv-xRDJBf^jQs%%$Eu+Hebwpm9xOYdqE(ko*lUDQ)k@)CX$#CXIg0@)tXr%-3Mh;xWs24dL%KIr=( zM6|W1wPy<;jnltX7%;-4SMs{NK!H|B-|`TN;fI5X$@K)^GV7^Wulj9B=Ct;)`?LCc zM@)R&Fyi0ux_G>LoZ{-MS+T&EtTHSrff*9Fz&~UK!&8msYb$|)#likT0H=5l*xTgR zyvL6L8uj4y4$W$8zM}%4NaieV19fODU_e7IgBz*xY&4Vbw@mjeAazVph=#KjDhtEB z5lb|>a8tc$wQo;Xq%;pp{w&!9c!J8}>)nv_<@cf&Yo_J^x zA1N9poY&I27{46vJmu)KD6bX zh+vCNqnY4;JR#NCJWvke96B9x5Vl^_(UJIvKZqnCLcgKwHc~?1&wU$ZJq4&3J+}6g z`JMUM>)}yaVt%bz-CRj!BL+|@#^&XB7gyjjvUkF_oYgZ7iS@Kb9{&*Ch-)-OXYAFnbz7O5_A98k^hsV65OIek7gMM03!5gvimyCJ;E<6W2N zeKI7lW)ZltPnb#{=ZvG`Vci*dJR*sM;Gha2R9=Qa-^tVokr%&)XDoyS%sDC4qrz8r zf-MTd@%gs--&@3^LJMjcr)-zVt|{dw!p0QT`Z3%H(f-roJyYoY_8$qHOHl#CFzxHF zF)lhnwK!6St?P33`Z~b#Fny9LM3^Q+-Mvd2dIHom`bPy_^(6L%TP)TqlREvByq9^x zFz{N%mNt+7Vgm2}nr!`QW}{kYevJViT(>v9qrwfY4mmILDs=<=^QRzqqXXDq67YjL z+XnID&#Rg4>ta>B>pqG$(5Pm}J8=Y-s(5a!%kXmu4g?q9!TbAAt`bs*-dE z!@-E;+J(0cu3%~sT*)03n$eH4sD1vHBKq8Bu4Lc?rfyNbd7F}(#)Xwl8HRWUJOY6= zayg5#6xU^|L{#ZSLEe2X>vQVlqy=<9CWEBum{1=MDe?0ksK%&15)(cHCg2T+E7b|L ze7FL@Ch7Z>!`L4sUVyEy4?dRzd_D@JC0s%o#sW?v@skg=@6L zdYAUYQhq$*`kD~I4}$LPZ0TOvd{%rt%?{IKk0Fzzdh@LKbF2s;aG5wQ!Fyz}P%e|A z_D6u>$R6+g&UNmJ1PtfvruB}e(rsTMyJAgpp%Uz+*r68x{b1ihwjR;S@aO8{tsFo} zALl)}1sPTnH#3087r^!qJ}z&Xc3JUSu=cTFft7~9R(|_0sMtppMWyid$X#*@Y|MU8 zaq$-y`gCas6!#nzP!dIKn&M!twuYxwl6-L-}eSfa12jFT8SB+G*Ic7VR!G^5qd9`sficFZeekFV-1Aw1n<6~OEf0elWGVyVl+3Za4f_7;;s?|EBaO) zs|+E%<#fWe%Ri9IcnH&JP)Z9@a^3o-90KJ~EJ&Vk(qzUvyp|i{JwnL7n>B$%=+KHw z5JH%C9N-xWSuPq0yBfEgU{-B3U#qkSx7u90w~zmSvZ*)5U{2vYn_+Sf>pSFOw1fkzX|@h2laKh zY>fKL;AZpnL5>WcW3te>B!Q4)26joMr%{@UZ^<&YdAvQ&_5<3&_ok=Q%XYll#TaXb zN&b@~5yEON`L)p&U8z_F0WJUnp~9mAaFpU=2#74Q8;_L`du!GlVp+{g(wStp0*?ki zA&X*?=>wZbKb1|$fWX4`$=4Rn&k}cwvC7|`yFRzOV?Mbm{QEPmU_%?;B9}8r9v^XS z?rK7DGG&a8(JT0(KfQ9sHM!cv7Wy<3a;4<5;mH-TWuUQQVVG7_?tO8E(+3DL8q0iWSR5t4$M9ectjyngTB1d$UpTlCfrQ=VL#i`uCXveR`h~x zkvL!uvrUQNJH(b2A5&Ux>Qh604;u@mmduxOL^#ZSQWQbuu8i|y*OkP;Vah4l#R`OP%zR} zY$fNbSmLY)MrN3~S$O@2DQ;d0}NI7Y1#t$ZZ$pT)H83=4mB)oR5TXfn@6HT%m?K|Kg}yh z$EspiY*aaZ81u%kxC=7TUh}cVEVrsJEF~3sBeROYp+$K@bS#&r+k2$F4g4~CFd)43 z%{3qMCX@O%a*z;6Q;O^P2Z`3d)e#*krLpc55s<6gt=Q*ZP593(lD&`b8e#R8Po3uh z#qQp8k93(Bw|WWUcG~fZ+^95{z~#E4@Y>%mirA7KD|SqI;(Tor2agnlE+YmlY?I~u1%lP&rjkX`2^g92}>ig7v7l1;iRgR`!sS| zH{{}$vdQX7B|q+v=|2@$ikv=qD{wXucYVk74}R-x%G_6Z)FA}~tp$#CYe zIeU~v#kzC;#>yLD{MWj;_i#%_P|#t_IphBlfU(nFAMkbIYVa@eFAsK$y?>UpR0R#q z=BffXzi$l7At3x`RFf}USMs3s7E$D_nFXJ~0>v+XoI_&sa)AB>^c${VGY~9*VIhS= zk_V9Fo(>)bVz5<`N!|G@8(age!MP-G6#OSz)`UK+Z!27Ov>F}$(v_UTXR!gjC>%4j z+7&RuL8I$M_WiwiU2J~$#D)^k#oXLbK3HgDUKpi7{= z*5Qtb)#-=HUoL4{xdb*vgRsFPxv?^&e`Kdd-JZOAWxd~aza;~TVXZDvZV_|wW(ePZ z8tYP7$3=y2y_X{;n?%cv);KD($MWA$=IVWhRkH_HUI#OS!{wsP#Tu4&RxvPXg3GX2 z)PXg)d@i>|RfHbA??FxaLKig*U5|cUC`nyj z_=`*&-VT8lioj?_{SoUqVMKtUW%A@iM4d)M{vRk>AsFmLA+`B`K-Q2Pm*l2cVyEGrm6zPsGEocQ>V6n)4eko zaAeg4??>wM+dAv2YZP4=ds*|?;rveQW;zdRmF|#I-{)vFZ)TPEu_l-cK z%u_eLbDForHPsk~YXhF4RNjd2Abzw<%5VXXCiLXAhO)h#14m7da%!`~NWFSQt-_7i zh?sl(W4HJnQ(E5L&s^=ulBtA2^}PxVRv1_OqZv}hppryM&Ow`B${*_m#d7HDsp-sA zeEc`IU(HRUQMcEtcIMp0(g#}a2*mL_`Zwd4ogo|)`JZm3j`~aKW^Jp9_A6#q-q+(+ z0kR{994rc}O&-EyrXW1;`pCRduWDPEa@Jl_wtGHW+F(L+rO)YdzO`Hf6lOI=ixQT= zMpXQ2$u zclWETNFf5-uKsZow9wSDNz4C$YggycaVYY;(YM&WGhSOsV3*5L1rnc5sQaxzN9yXd zmN)Aq9*`};6g^iuUP_+JdgMjCmj;nU>PtG>l1PTM6T5^woA;i(Bs=|iKH2;a)F>eS z3HcHcuog{AN4n=dJ8$BG6(sHkwv^m~z~MxUKth_v7GP@P6Cl>Y-UJlI!*x;}c(bsd)9PyP>7 zWqcax7fEk zLzBaVHQvSJ6;S`LDfB8zO6^~|Zyjzqk#Sd_TZ{>$ zq1;sJp33^u;_)#<8b|H|DY8F2nTo4<`JjN^>MeBOk=Fcly!SK@Uro~5XJRXmx6v`h z^T8U2dusO@S)})C2caY_U!M3`*Jr%vrb}8_HS^-S_1(l0cma0$Dv1gG+t>obKh1-` zuYd;W(8ZvdlnmPpZ1LuTN@f4dW8x*p(;{70KTlhgsBJx0GsjBf?isk-v_?8ov*d`#QLZs`1}@qIzceY5Ml@`ru*4CLSR z&OcD|LrRbKN2vpZczf4rOhg(7&0Yt>zvlhr$%S#4zSRuRo2G-|y_%-X(|MggWx=37 zE2+a;)~jYO=A`ZlTiKpbQithX*ZkzOB}$$`N$IS3pGBJUrO(M`zj=}Jy5LN#Ib4eU zkZs+ck9ME%(Ncf!<~k4B%mD#Lww7LA&)v`l%F;%+k@>;pU%%R_Y#;@s&%2r_XW(6m zou|LX`b@OS6gO%&djig)&mO&-4|l04ccm*!TIe+Apd3~xeKt^KBX%XgGR=7p|HF9g zqTYj>?EcRty6w*sk2YHTNBC(krnW2FyaZ<>OE}~3S`WC~nA0V$?+$4`>G%Fp*Y}v- zE&f@{cTW$E-IdQ=|Hihd#nC#_3N$OURb+xP7rBYgDY4qWX)E>SzRO(+tFu7@^+$3}!ZM?1ZKb>Q8^H2Pt;w`j4E zA-5ZO5{Z96VQu>lL^mX3;*|J2Wb(b%204R&FA!2?a*9+WsdBUYAL!LTbtlOLl#Cc1taD$08w~p37^uMAeZe}?rrJhzrq%w`GoavSn z&})2UJZ<#fq}(8%kH=Ydn&nd4IJ&GxqB;=u@wI$#i?P4 zE@lcwl9_9Q;tc*Hi1QpI5`qQCi z*fF8_!>&8=HG*8_2<_TgX4=m8(2Xv^dC6(uLq0|<#brZ3De}kD2u;G;@RHKysXnD~ zj>nBXUf@C88%~97zZBIp@$*2D=(Cx2_l$?3U#gLa7=#NG2vMb%oGnf9iwHLBJmNY{ z%)=q<7pRLw+A%fF?Pd}tf|BL;?MZeIp3fDnC%^tI)Z5)Jdex_8hyjAM%~d{&HyX}s zL4@{&DpG`tpJ@&BT=}YBTGxFY^18R&brDqdv-^Au)c4J&CZf$4h5q>`xYSli*W!e+ zLJjcFPaUlOI=xhUK47v$K$bL#ZZY3v|9%!A5KFzRMUwcUDirn0SZ`{U^HbFw6{)v% z%Bk|=q_3k~WY*D=vyuC^KO?-8!$YR|U`_Pv`&Ok9jX3DNdkV5X3gvi3aAzwNIoS^i z?fDh9V+pk|NsksP6OO-R!ap_Xjl_Df@EMz{eDPWHXx`&%lMgXBpXAGV67mvwhED_= zZ+`dY^x&e=zEvO(DAy8IJ5%6P^B+YW1oXsS2mn9n&xoP=`$= z%|icp@l1p=`~zXjT%UNUg}H@AUI~_8{}D#47s!>MM)qE0e9xxv>w>j|6n(c&+w*yK z{xgmd)L7|Lfs6VeQj_<~J1GbYQ*B3>)=2P>9Y3q&jBGzZ8Sjm~q>>g|C4iic^G|}( z%IiTr1ka%BidFO-8`kX*!-|5z{*gv^k`y9{VphQwZ&-T<@e;CJBEqP4{L5&FVe*!d zCU_|{laEO$nrCdo)J%?MIXUBxpa!iJ^F1Z^=&*Yxi9CJ7gKWlHOW%q!Y!VA~Ww`4=2#$f8f<2T8O zkfLI#*$PqbJDy$i{v8R+^EA>>HZS6vvmTR;;zcXHjZ!TbVuqb%IP`twNj7X=Jw}Hc zPwO5#_#pB%RK0PM`KrgkTX~B*z%_C}gVN?y-gWsnyUpX|Bv0&5s0w{wP?cyk=d5=3 z{R0-w@@SP|iEPByG^e}PTViER5%1)=qEVkoiD&((SF*~?4(h$1tAmJ9mmxyGOyT(C zxnf_=!4Hz}lp0uitbD>j*sB-XiC5zKX(X+6n=(=~?_I1^LW}`z!g_PyY;)~}zW(1P zlcU*&6!i%d=jp|sn!vD_Qyc3d2Y)o>ooNbbpO7ZsTf)LOK+U4E>zyA+542FGosXcJ zV*Qu+C@;^>n0Ch8CNT$#<}&+ku)|d9I=>9Ad=sz*pN(sQsgpIUGWfa8nT8`wE_3rX zpo|MhS)jjLxQ=qjwPz`#p^Hd^_=zZ!>w2&1?^&5c1DJcZN zdH@wEOy#_!urL`3QxF~skewTK`5-d?PIn`>I7N#<>H!_82`+lrW5&MG$Ic#iEiv@q z7r8wpXm#mh(cSwRwjiId!|80jfL{SLSJ8465df8=&!>>5zGSoGOYK`C3zX_|%T>Le zH%af3TwE1oC1se9Uw`k*f-2>v=`2vH(txDz!}RpQOGeEFqY2d!sXk@%=*+IOLaP!V z)hE3XCuI#Y{=k_!eUt(36II!O$d6Y)vwL@dmYvRh<-cXGW}KKwX6*}9z&fmNkR-QU zW;4CBN0+!5iOtw&I^O)wNp1)%*DBSgVR@vrulR*1R|=Th(`?0V__$hU3ukNqY)?tP zj>LfuO>v-;qD9ln-r5C>ULV)vh&X=Kk8U83p8$#9L>R}V;)jISpT?2tJR&2K|I4)a z7$ll^p{&e!16aF%x49a7Iz_9Fvmhr&=L(wGMZ7vdW|5ls0^W<04+Pj2f|_nx@>}`r zzC_F2H?qs_JFV|W_eVGs1eR)rvMg z_cc!q5d_6gsZ?^bZ-QGKd8CDa#JkAfSbJGE>wz-?K%-y^E987b1%oXT;S|K4a~zZ{ z+UYozu_);B50XhFXNPR_h{MQlG+r9WcU%>~Q1^hR@&-#M_?V_v@~3iNiV(B-m- z#?CKhMcO&X9YbF7IY!D9FU8&npplJ=e+L(|K09EG-?3k-ZYXuBVVECY+P{bq7p@%d z29+}4p{qQbos@b;`I-kw5~1MARBofWrd*5!Ri4oW^9*Lp&d zmNr8WW-<0Y(dvJo6V+L3htVq&pTJ4RNRd8r6|~l+Ia}YCTTDdkeaVRzz6%3ibo|yU ztOM(uROx3P${z(uDLqdO;Hm`<$5zgZ`=}=hwEV}wKuMW!E}+FhJ{|u%J<%M0iUzw( z-@E^@ftz(>z4W6^E9plb&?PF&rK78;IREsGIHkOb|JGY1#|)MmXhb__i(VbZp1WcC zn3)ouhNK8d(u-HSueP%IlkhnqOj&&?X5S5F@pB7)Ld#%B7d6rW;uxjbc$63E?3b!=qW6;Xinu9ZuKCf4!aq#l2iwqSFk$6^y|P!p*yW z`E2)PPAeT%1B8@bi%U#Z{Hfo3nDKxQ!vda8$Q(&Q$NF>F08Y}zi3N{;pl4TcT^DgX zKcYlBorWYBa97wZgn4)6QmfIooGsZNxk>Y_nQ|M*_*p^C%Vc^uRDEdf-70RJ8 zh4#QBgE{eEI#S!2DtnJaq3$7ck9!K&>I1RQPrYte{YL!5Z<1P*0C`Oa;|zgAG<@Q) zW}v|43vJN(st=md$)Y`H{jc9e*3BMr6$hmDYqHf|KD2bP`X00%gG+Ul=cb}OY z;ov{6NdTF8HHPQ0^nXXCPK+9*tts#-egGtzTakj#8CUU7I4Yk!_`P}qJiVsvldAD0 zjIy`$8K@%_Q*@*%X3Y?V*pM=n3fUA!-Uj<*VSuc2Ul@R0n>Qm8g&DWW8;+@aZu5P&n z+-wy9+_shot3i+)bT8s!O5*GTKzhD8 z2SAnXJ9h_aSxLah+TQPTb7r*NQ*OHXDc^PSCu!S+55J*G>{n0Q8zFBA-l)*dZ8IYn zc`N{lKr8XQ?W{5nQ!X}`7&8tVeo^#ykxp;8sP&0;#+|n1p4>aiH~w41f`oNL7{|QW zL5O(F;RK7+Xq(rk8FPoh+bNhQl;nI-fU>_8wEa8tPPQ2qO;nbcvymquEuFDvUSgtYA*V zJ|}=(Fd!>&HtC%TW#WsXXU~dSq93d0%p`UMovc)gxg0ByUU304%!~Z&m+^u{Nb!W$ zG?Iu-{owK%K2Pg@mb%5Ya8WtO_=|Tqt>S&be| z%Z-CBaSha`n-uvgxU1bO0vEj!X8=Y=%dkA(`9H3Eg-Mmqtv`s7*;~{y7Z_5j@hf~Y zOnGc2;Yz}MQ>c6uoR~}=`nnN$V{okehev`*91f%S^Xb*pk8x(#RlxI!KbL!Na2qn* zg&43V2Z{@m%?d^9SzeE(2#1yzwOZ4xbgUWCEoQgZrr>-i;^;=VRi;0iI~OdTeaG}0{o<~TL)`V?Uh2t8)3x;Lx}HOQu^IM2dsyIE zwIvJE@?C>g*HMGU$oRb+aszixny@RUld@-KBT{?^!{`o1XB&BY|A+(SFdF@CVx;#GC?h&rtk4;3*vUI zO;7&cRi5DY=!%Gn0JgL0Gw&CYhxqo~&SA~K&n@)`Va+Eg zNtn609|zPr|D7c?iLeF{k(+||O%yM1u7x~0MtIqZ=ZcLr|&l{#)Jd z*fiz`ueSB89%GGt{6i9FwfmlV4+demrCB9 z>TUVickHUW;0NSS@pU$8@(*TvbdKqRJumS_y~q0LuJpw#`{p)gESdGIo?PF47O9^f zg63gb+~HOnJF=Pm_a^Qe1D(NRCyyo>V8<*UfQUbN0y`)qT- zx}uB;iI^ z%~~z4p5e}%`6>YvJbtou_s^J&J4lDx>0_0#1PLAPLZ3S-NjJ2y=)3R*y3llxeNWpw z7hnHrgX;F}8+2OoQ)2CMqFTg}v)C%%uF~%ww5G-g+l09Kvw_13GAj1ui0p}4=Z`+E3&*ZiSY0RK9;8X>%l;AlZ-q^b0p#AAk>K1xB0J>dYn-+gY60+O zPMqXaybzqxeEVqB`?QiZO}H73^2J(SP@CQjK{qkuXMSa`E)aeDH4BN~3MT+2(~}wv zP?hGlmOcdsZoxAQAyuc53`fFW70jNwK=ojkP$ECdob)*4P#XtyCg9JiDxt$+n?lmm zv^D(4)eOZe@_YirOUg@;uDnAnWm{bwE|Qg!T?UPHDITSkO(K9=GtX zkHErQemI+ApCY(|kMYPl5_%%8BB-r{H-~tzVVE){D+bcSoBV;pA031lVcZ9T?%1y| z4!H%{s>BBde}b@8a+_@bZyt%xkCW4hfgV;=L<&s*=`>BOA)P?pTD>e{f=#pA$p_^J zx}5S^2(DvuK3s5^FDuS1nvBmF60Wb5(x!f^yQoYG&-OO$vMHpS-Z8Ch`k$-Xf}VrO zceL3Z(&b`A-GwlGlA3q%QRF~=?ho!_fb?w}W& zxYw3FI=AK))8_*{t1Qc;H`Qb33aRoaH@jOCL_3}rB-JQ_fpOH$PsHzbt?q-9Q9$2t zo3NBnHv5(bXz7G6GMFKrasy1WUeqor{QpY-RjvvMj9SINGWFEE1(V@JHgOh=D|bUm zYFy2H{#*<%M`R9H>@Z%hF)=l#x1yg|Tkop4AudSbl8uB0!-WGSzVwS&trQ zfP6=St!>{V=n!>klaD|ZaCwMR@Yu^%Kvs)C**oBTZJk&gRERWZVNtTS@3>Rtn5a^y ziBMkCQcUOZZgCz~Ik+zUlADwnqeehqBm9b4lf-aKXlehlDI(B zjeO!N5C2ENQ+R5#A3K84g4$)QH|NPZJcI7GjlC`)e(=M*NKiSz(}=dM{48b0V+;Oodg2yk zQuPwWiOfpT69Z8b@Oc+Y4bHmg*5ya#-0lG*vNaIH$0A72qnMdTcOVc+A>T+` zLK>w#g{ytNiT)^7kXxs~%Fx9ERCiww8R%uq*ABjqm<~`X^3c!SOb)HqefDpZyS+Jb ziN3!qwUtwa$=}9HizEOg9%P6(yqNyJRAiJZ#oTr<`3;{l#x&nE=c@D8Nw}X6d9r!! z=9mn+za~R|_ZiixouwK6n}w;1n_Ye2+;{Jx%8R96YUZiE%^uhE3Ec=JdeW#&_+At9 znd!?>r55*+3x^z+Va3-49BNOz7=oM&jnOp1gIL|E=oGxcH8)rqQrMjqcOEj)Z990C|oue2%V4K)8-&x5(oM(hn3`~#62%}&2oTqG|hd{b(`jk>qZ9AHcMFzZd*m2%0@q^ zuC==ugbk$%RJKl$b@W@Qi}#@)h22b{ef`nWr$z;d%69emLGUsJar;niAbd-1lTBVU zq^9=WQuOl<1}^_?gh%QO8>w#V4Qd~6l=*5rPKI-*_K*>AlTn5rDW+XJFiR{=%1MZ~ zD(vWLvJ1`XGF}^yMG+E%)e;sCBOfLO3H>t$LRdU?R{ z+O=1o`QCU3LZxp>O|7Xw1cLX`=GXLtbIhNfUB=tn{+-#1e{nZA{sy1loSMs*`PWfQ z4mo+Mm^VTOsT^0PiCjfWF8S$3@y@NT*B9!!Khy-2vTZIYI}_XT1fV&&2~K9+d~Rk(bun4W*P z@-QYf9}#U|lD~rto~|%UJ=3jvc*!awyeS!zt^R#EZbAPk=e0p{gXRH5k=h-ojA!cp zKIEbh61{62(%OIVF?9z&p|)Uk_?7cp$5-dM_O|*c04DC58@zYM@kIvZy@;HDw=qBU zl`mb(#s_`S@IV8aB6v5QfVqfI^7#>N%2V+qPeS6xDi9mp&Tmu+;Sy)`+~uD9;D7C) z5Z9~qr-iC-J}HJ=t^vc8CI*jh5S-wB|15fSi41&1TV<1B8ae41$g8SKC_No=t}qGE zwU}ESpahQL9$7ujcz8ldRD6P;Gr^;WE<@DhXz!G6uODM+#bUzewh#**e{=Rx=pvTvlPvbSk-Kf9Q&*^ITeU=J zUfBenj(d41)zc?B?s3$)-V362O*jQgf8WupXhBMR=9AOOHptC3a?87)Yqg1mC9FrEyiPv$23P z?SAU{JKHKR?284;>@EGJtL_(#rdXGJ$Ss-9KERll34PDXGA&NiL$C2>tjzP|A}AtI z2$Jde1|pN7y&t4=v+P-c{G3f^wKj$u5&*j`>%Y?f;KDIzXGO^=bALz6tJG$$TSWTK zY9C8F4@mBKD3Ae>{~$0oTgpMg0$-SI+RCCksEJ4cK~F0CA9y}cA$}tO()w;2Vm`F8 zJ#4z*?Z2(P=Em73NvNtZs5ehC*;6XbgXtVA8BA3Swu3le=M_MKj0$Dq1O z{B{89a&>wwkuEOdgTk8nqnT_4|5Ll{yX`4r0;_`Cf>Y-8QX@b4lm@HaJ^?ELcj=M?+fHv-mr=*6{9DPFX-6Zw|_}oz_Vm;x|$K@r0#=$qB{ERb`QybWf zVGfgDu|V3edI9g73XHvaQ02w8k41LKT|nu!v-gm?fix?}OGd$!vPtVxX1U@h84V3Z z%`k-ZO1PzL@2E(a4$y^amjtH9obMRoLVTsAvjazPs42PxHMd7r2t$SR@s1qUNT>XJ zjgaKf2^Q{jz8LcOMV&04l5$=*qbB?n31e8t@i4SJ@>0#7oOP%7WnA$>P_lvf|1&P# zoeghKSsw}Qhj&LFEYv{PzX~B<-dP{XU$e?Rcw6oCNEEvk#TsDH6+87gc5#E4ousv< zb6^2|M_S$$CxkGuwZTB5P*gx}Ku2%zhfz#_N2{CgbZb(29z(q6P*ZYtU zEJL|&3=9Q@@XllJ%}8@ehW+%m{`9X~bs!7Bc^VV|dC;RdAzjc>H{*B`4scq|2x0UZA zl|~qUuP+ZT!6y`2YldYUSRRe!2UpQxdtHxaEv=tCxb;c7;K6I%$({?WbCf>RR8XDiKyYOb*eck(F=i8zJ-z7BLH9}AR zHqE6P0e#j3^CjmM@!HuO5*8gj1f1RXeh~rbpNvR$xTn6F5XI}V`L8)Xw zq3OXR0S;KcSj8VADO>9Co7MTNd@V{9s)S)UzlG2h3RJkhM-z2}Q^iI@ssBJgi*J{k zaMWc!ZlfseImI@3QTW&hM-q}1u$Vrv1FTn_ulBRij%nWm{)wfVsLp@MSDCrs1EGlT zTle|d`?|2xIl?OzAB!ajm4@$OAuy5g6XW0Eg?Bbu{sQi_fMTL=&Uca%8AM?eFh#UBoxWlKa_gLw zb92RJKAJlu^9O6&wMm8-Df7*5@5i2Z2|YBx>s$HWPQdUZV;)ZS?g50Hyy?q(=m1QF zE{Iu}Dp=xNRPgaz%lM|N^IUBjKyE%8MzJw5z%9^dt2FS=v!Dk)6&K=U;P0@=fiB{r zJlkAp{a+oCr~sLar!K8wkf&Tb-VkGwJ6nsznX>svf1KX z2DRu~F>hi%)Tnb8XD(y9-vjQ#fZ5_T+8dK2n`*Ej^0dFhUDTCb&HN-RnNf_r1QCj%+{k(PaC}MHgvIHOf~>1IUm}o&`n8 zTz*>sRBt#?9D{B5j)pa03|Xx>n^q7mu1Xt6V>XasW}t8;;{D{`?xI)zZvHO) zsYtpTiD+?{i9zWsCAHV=ziH+pp3JBDVL;m^AuqnxX;I#hQ;ykZXQM~k@6%D-#jFX@ zWl>MwkzyX;CNx-#@V~zGc)HA;^3A>BLCb11#W-;Z=bH6VxA>0SGJ8AZxPi$c$i6Lg zI-hshYPP-KBI$;+0!*zwp|BN2_zWFl)cTF8mi>5kC1iGVqLfls=SklXsZ3jp=vpiL zjhmRBW0Q^TuwsYynEc zHXEg6+3Eq#extN%u`!n6tg}W!=7~UT7LZq~bmL1X_70~JmYmfrIPS0L0E6B z{&3yaGbBv?ZWQ<5`o+Fl(laS*EC-m_6|q*lXdiDV3;zDx{qS_e;dr1rytZZ6VnNT1 zv+^sCwhJamx(^`y(ld3!Q#86^J+4Uc8UD}%$Cad@g-6z@1NmCl*ynymQD zc5jJccQrE%SIQ!eR2Y6EO=GszfX`b6m22~ z*$KOLOK8E#Rj5Y#OP~Kk&xy?3f1Tuo#W@5i+%;uaRzP%`Vyi>sFrn|`IX=1vkiZep z1IC`VsPd@$&ENtVfr0)MgJz@}Yk~Wv7rBzV(G+9cQVy17OoSqld!f_|jB6u7?HtW@ z`MNxW(v`FR?;?TA!+nIkPRn8_ZVZXMkaJ`?%*g)Jzp3Tgn6@4tT(c+mYQDP_?rPO6 z)e)LGYmZTEF=_=4D>WtTlSQ|r7GzSoaXbri^@-@C%j1~wV5Tp`{3uBW5kv7ah$mTJ z55<=2Z~C*PsE9~%1jz~e0-pg58;EBG2G{9i&kr8CNp{S$=8j(kw1~PH_Oeqi2u&D7 z)T$c{B98b{@FLJ6h98R8wB4S zO0%$jjF-lgP9l$wo>uPt(nY5#x6_d38ZcybFh}?6XAc$sqcRB6`)bO;?^k-_8>l1v zWV$7=(5v7Vq*TAIv}U_TPWy`}-NW+lW&c1{MpD9Ip7`9OI8oxh;~cNs;!McMr+^Q^ z%KD^gCv))&{yKS){F`SY@VukcnYNr$5fW{`WVp{MzOcP z8XTshy6pB9!;fqk5TcXbA7A1zkc~Dt(ET>kU22S)YO_On7zRAKfv?<-6Zciz^I&NP z?`q1e+zUOfMy{ftBTKX~PctIv0iMauY1XKBL>8Z$oES{!@WN-0SD*ha}%kqR}C2;m-2$UP+BIG(GWk#3Q=z< z9PzNYXF0>RlHcK#`yT5DA6kBRFT-a!lGPZ6({eE8i44x;+DpqA=#IQH;dP5)=_S2j z9dI?D4}MOmyxF87d^qe|yM;U>oSRMoK z_psS+j@C5SzKaS)8@_6%1-)!gZ0!3N-|MPX*$Ut4Q?N~OfB2Ld&?={Z3sb@?wexhT z#fxr#@P>$D3( zN`(u_|4mG0-rD#hcwd*Rr>RG=&c1!}X_jriQz|>OSWl<stANhRCzMn5e4x|ccQvEwHrFmF5>zAFH z)pH>zQ;w*@y5AC6$3`)L)y>XhuQBQAr*e0c``Vy06#9*aMZAaoQpZhftys2l-q@0= zp_vKT!AB%6{)sE9q{u?oqo*!qn=LNB;aWEeI`9d#{dls%Wx-6c*%T0RzQZ>uqiTw= z4%i!T76|#;gyhw&ef8?5#&ULu1oSfwTAmG^_W$Or>0b(j`5N)EW**g-7e;5cY-yjB zKeSxL61v_^Rmi6auy#;Y&nOBkW`>*}FY?dJf2lKTBPGUbHY=#0&lgV$=>=-Tk z`b&V6=|7Mwji*G!ZyFl9@u)TJ$IUEWHef1Rt=@{i40}|4Y%vZUx5S15Ji`3}{KEou zY+>r+QHejjV?&2p<+_9WD+TB~y_hOVZcl-aPOV1(U4r8=ug-dE|3^y0Y`3a`zE0G7 zqf}F6Z1!gaD?y&$&A=;NdqaDNBBO8Fk7^55|A8Xi5zmr;l^gZP$6%NN)zOTp1kVDb+4b8buA5yxg>rY-5{i3gPMYiiTwYGAy8<37*9DQZ{j@j4M{vt@Jsv`$ zUE0VCN>a(K%?M$H*{R&`lo+0Jriv@ zc!g97QFGFZtE~Z?*&!WnT+; zRa0ylZr0w$JFnm0f6;|u6Z%c>TM`$bF(}JCe*)2$F!H^Kj46X1=aA&IK63U!T(JC`r9b3d!@*|=Sx#+ z7HMS8?!ZWW$jb5)8zc&*cmpMPKpO|?vj0mRw^5Ymmr(X$6clDMSocH$rPvn1*l@x` z6DG|jNt*A=JFRyn$u9nj`keSD-EUDj34Ge=GJb^_j)*J)+NTnhy5&OF(Hu1wQAwPV z)g^)&?51z=MgodjwcXtZ#fm@_qTPq4y-Wu?K9N9f($!5zZfsFN-*_)E8=RKYW9EZP|<@=0R z)_t|VzhtI>U_&^^c$t6y{$0fW1D7d=ar^81KU+wnB>4J{{v?61M<9HzaLI z4t^uhht0?3q=#N?=C?Z%+uf6A2%d~^;7{67!_BnkNo^Vh9HtRiYlR=$THU0H5H{;k z3E79$bk)8vyLTBaLG9VK!)x?>-%oQBzaW=-DQY6GLZ*)~OL&5lhX7wHL1?I3;a#J< zb0_96I)}xBZ~z=TaHUzFya4QY7B4Ad~y7lgJNGQ~_`by%JShd_s6^Mb5SjsxpY>F~t-#tqyi-At51mukx! zBXvTZF&_H+7qKvmOS;P>!fQwW4y7 zJAf{#*lq+s{eWQAY1|GeUg|GP(UG?}!Mmm&dn4K5UvL{c_=X=`_RtqbIK9{AyN8jy zEhf3g1>N42J;Qg!abhZ_x+6>VfrajlLiUy9ejcEJahQN%Q4w3bg^JaA)Do1-wgDay zc+Vo*;OlCU5E~U}p@rgnLG0#2s#v7m@YTuIAjSIFc)S9#6$6{BQFP^9EACIhL8c$!3R8^ee5RCP~WZ{~nTV$3Cu|+~J?=i>lX^H1& zPwrI!TWV|h8PZgmxrZXO*yYqo#_TSxp+(n)i4NymJ=hs|%u|F?U*mms;4pq2DsB^*?bF%8} zkK?4xBW_lAn>A#5d-2U!itF1e8t8qdxUdyBcRnbF#ljGa!~jR zUM2NGT-8m)y&OILW$@k+3< zVy2)@v_R^>W=2D$lj!ZxPvfKG)Ga|xD<29e{}&kgsV|NaS?Bx-?F*Uz#%hMhnLHI< zm%p1~8f0D9?2xxrtQULsI%idQ2c!LEsO!kwK~Y~30Ca&zJL9>oy7#Q{wf zTj5P<*4QcEYG&(NmY5`)XxcyWg+ilp5)-%+k#qkhMmlE7_tL}7_hSm}lln^yJ*z(k zfccU}fUYs{>$mUkY4Ma(s}{cV+sO&sp0bPMt^bq}elSZ8KQeIYGUi<&3lh7YiDj#) z`q4X}wZug@q&ApNJMHHkf%mCBIQ^AGtZBW3cTaC_NV7>p)(-X`mV2HQ^{;Xd1AdGOy2-nBiXs?u>m5LCU zO!lwzdaNclw3M#U=X{*n{6>w$2PpS|oM6Dp)aR97zY@4c3iB81tII$e+uk(OWanhi(QTw5| zQC+looFwa#Rs3tf@gNO!aI8r!=8d8_`sUAPw#9hzr8oQN;wy%#GI`S_L!n}5r`XHl z-*l&k7-@2PA3j469%m%LdpV#nSdUVYO~~eqLqRGd2Dh5uzg;f=v&ijlM{zrkY*<#} zqXU$?1v@vNs4}tm^x%FWs(cYT01@>lYjQJ{dAOGIK?2SpT%eU z{g01w{SKc_B(X<}y`58nx1w0hZyWX9JQku%%cc5%J6Ct>O1<(H`^V(oExfsk&-~fZ z$k{F-<^(o>+NfM#U@sPM6lcOt#Wk48Zc@`_lkaiG&M(j5geyktdNmXNO$BpEzc~|# zS{9d0UG{>9#es+TkONvEi>5sd(SnjjLlock=065WPy1coQTpwn!?1iTM<&1y*nC9qi_qQiZj}RX6r0 z^Zk+UN$j1KawUC7uJ|v)+f@9@O^2}@l8%aLK7@T3*dVONXoB6EtHNV9Sx zYE!OQ>lq#vqBhCcmC$Fh@y!qFS%VZW5G zqF-$wyQx=>jLs3Z$WIi977Y>G`E{T7jNAOp+yd+8{#uaRdc{`ZMDt>+={S*^ald3c z^0galn+Hr4hAOK=andc1j7{s;2A3G$*Aj)4#=LQ&6&6d~{;BC!adx1iVz&iM1na9u zBc(;}7vx#S<9+76JQxTMxLG~FBL0FQl`$g>E0dBXj|}pB<--<@ybZ9(+aEF+Z=&$T zutybTBL#XSpm@%tMdU%}|0>N{nvkzjkhG_LQR5A<12?fEn4s+j0t?C!lOBk!bm+e`RNyNzD!jYQ9JRX4>^ zvhC{<|4~!5i+N9pG=n40(i{i=w+`L|WQ`SSr)Ap`R^_{5aWth1-y?_c=$dXgw{zt$ zygJ{Cmv(HO((yxyU6XLUXc1F8%S3kEf+k8Y6dztBAr;spOR+9T39eyHKYV==R%?%u z1FO>a-$>nw&m?}bKyeQW9`jku*kOeBKdk4DzT&5L(~$o+z3S+G?IiR$<^hy;J@b80 zMG5kh03>nJjq<%*RAw=Kwdv=+F-S3cN|YgM)Rh{Lpx#S2moZyG%KRtba`&`CY}aVK z&WJOm-U-(p^Sl*LB}4#j^B;3fPtmw0-#K#=c5M1Y$y0k$isvtpTCKyUztRbUE6$FU zsF)MpOz-S$@Cq{=!3Q4_`(XI?qZ z6;7j++~tBKB=>pSP$6$CQsz? zbVW#B>p`E=YZ5RApl~2Nlr46s!nggswj&8D=HoBEbu0YwSwEKSqz>B@Wwto?KB3J6myp!vF z`J=Oc%PscB_b8E%C}s3m!9XJFjqG)WNM9Q9#)%ll`T2 zRkOY?b-;({%mCbB(bs(Ip>M&hB=TB~G5p^791Ctx(d}P6Ij;eELC$2|O8S1zwV3#Q zJh9uI74cUee*R{1IZ!in4d(R$UBf3Dl1R)r!!AFC7ivhuqP&PBxUF9(10}!jC&FTt z*zcvlMqaX_0HHz0AC75cNY!G*;()B%)<@DF{XBQHIW8K?@J5N^UX(a`zHsTSemiQe z@@{hA`2$fDv-|M7oQ38BxOdBQ7>()y_~L0rhcmC5YIE^-a$Ue_KU1&aj82H1VN3O` zILK*R5FD8v<>I@q2_GwGdeCt!o1Xtlm^s0|$k;y07gjMi-m9TF7}K9}5OyqlvSF{qtx z_-^>(M1RF&?Q!!gfP0jjMG zIb~mwV`$R`Si)RWR|U{`LDyOb#-zYz*Qf6@^Hqw+!nM}2J&!Sm#5UP$MR&(*I`3AJ zo=4ixEAAzqizmN0UX%9S?_zLOB?|2Wu3<+h^8D87Zn?en2mP1|yH=g3hZj`)Dz;D{ z)MEWD+4Z7@XKyVwhnCh&jLg(eocu9r5l7|tyhFha^k1QZ;=s>$j&vhXQ6z|#kdVI? z)_>h3n5xGq{fXC|8EB+5ls?D`je=le6-{NF07%pszWVcnGb#|Ax?J#m+iNeJVme>| zBP#GpSP#vCW0y-?YO2JmNKY#C=$!2{P9J29H#=f0NJL9D7WwE1)CJ(!h$0;?yU1UZ zxy^%c;yX$E31uiYYPKR-JVg-D$j(fM!^EqDgKzDOTp?X3kcH6d;z1Mqv&eu8A41+< zvrNZGymJocax8A=*)!|$AT?ENDj!lHJ}Nw`#FKI@(OgRN;Rq~((7%ZbkCgt5(*)?o znK(U4wXt zdoRXM@6kWd!`lp`z8P;qsot0Gzedt$I3@jAIo#LYzWzcs4u{3a>@G%B+>w~Cl%u2t z4voi$mfF{S0lvCncIKaO@%y^2aXl}>L0b4BVK_qLbgKB%mDd_ZPAaeKZh-r*ulW!9 zvGgJHFtBGrey@)r-1VHh>xGRK!+lW(uVH^~;&;2^<khsNtz?mqFrR~Xe2#PfR#Z$6n)QQPcC`^ zFvu?gQ>Y1>LU0y~a2pK0-*J80u6^Kv8`O~)>{{B-^i(s5Kf1#2#Popr%Z%X2fOf{a zOF*_bUf5Uo^?aTwV8h!vm)7&FVzSHk`%VMldppn5J6*mml>1kc{iAtp<#wy8ZWDnLd$xtA71p(#ZHItlR2*TSK_MAU-)SA$=vT3IC{) zMg79)+v%*Mf6=JkK@D1j-i_TDm&^0YO-%czr}{q-55MR_eCVz*f8=jYsp8}5!h)au zUhEHvD^TEJ{&#WSn56knJPQ2-eF9CS_AMH4%Y!-zT5IaDAM9U>Ozee!bhq`A9pGFz zguLcB`%OH^JpGM>I82q4sb1Aig@aH-D)4(;%MU=tU={bovTZWoa=ub;aq#o{QL!8< z>2TuDuL`B#onU<@#WrRc8@TjnEjJn=-Tf7V;0SnbT7!0G2^&**BTRBMv;7_l&$2{xMCawn0zlh6(qZ)=e&a;^O!aoi#OM(i@N7={%G4ek%+2l_ zL35iHBlbKUU;YF2LUyAy-s>dJsn0Zz>df1=uQbD~LWTk@Lfl9VPa)P%Y!!+w?>qhS zjY`B=ld1Qr0OD6_y*u!XuXf+#f5t=w!iiWH`bfP?|Gd-IiJFWYzHi$@9=qeP>h|rm zEoK_kYRcX<6uvh4bu%UF@q)l$P)RIERkC!<9m4FVPcL&)b-Ob9qI7(OF;`YRSv^9f z_LE7RuJA@~Ki^9lwGYe93xigzVfuM)#NX>^Pby!rb5#S@DT;cPS;HPO$m7`|MOk$FN@Wk%Vu!7fYM5y)GrRTIXRnOfKS_TasH9^tEUL-+$0D% z1|Yf^9{4joIyNM2?Av!4ZK~yC#xBkTM<{4nxh*e>BsfA8Xq0M1X*^PwwIEu zHv)gt!JlW6GxGJd&*G|tRhC*wi<$#rzt|s{5!VHZ!CCLux~Z$wYfK-(9&Ca9J(8t+ zfAst0BH#$54#Pr=q=n+QRRSulFHD8`9`kv?7vU$whLytdWR~(kPW;Z}#LZraqtrPC z_K5)S5Ph@1gB}80LZ9WzRigd_=H|xvjS+VC<{#Hri>uU6}Vj z5Wj`}i$#IoZ0){0N!?a5arf_@*80D55X>EmP`49LZJDfV^qUJjhBrX_QKk+EborO5 zS~lg#yx^;uMAgaSk(*8&h5Wu8xA~9Z6{o8n)^!eHN@X8Bx%+>Y5%L8$aJs5I1(52lQH;b7l&vu+67X>)|`kx$y=BxI7?RZVyZP z@%UZ$=RBY2=Z%DZcS36;D$p|<)vXPWx(^OG3Im6Xls0!qZ0R#B{;e~EuYb*V=vInM zA8z?3e+pVT>%?DNGWl5FOeVKGbG6^s~o21T!CVmLD-6df=s97fmJ2GC4i>Lv-HSAHDR8Ee$O4c-9WkQpcJDKtJ4K z1`3N#D>&8vFtm2qy=XqRFeiGcynZz^xXLFrK2}6 z{XLJ@A9vP5!8l!4egn&n&T^uJ3csA^^=ZIyV04*n7U+@J8Xr&DQv<$mE`Yo+zI`LX ztdz?rhE%r{Ae|_-2c;ELC0AK9S67DSs}$95`tkoA`DipAR*ciTFr@|xy(wixb-OGq zaZQRQlpbiiPENGXi-r^W$G%e}Q4wgoXZmtlaGuHdF`gT2zP`?2y;0zSQwipQ!fK?? z6wq@$6gJapLA~d3$32Y1rx>wceip55KEbC;yH4`A+$Ao7b^Yo`3;N&0z(gApW%9-h zKjgX3kLF#I2X!RL4^&ATarOEzI>v9D%FiVST-7Dne)dz$e17FLkfX4tM6w^e{nxtD z!rfZsd-IUl3c@wwtSYlRJbP33@9(0Ggfgx{DiVlPbJ<0v0j_D83^!Sa<%kkVN(J^A z^Bbk&QhuSn-?UsI%Cx-Q+r}RwEgB;Z9(?Pt48Qm+Ea%3_B`c!&-DF%lqaga(*D4B{@VAxio7U=3<5K+$AyPB`K~`&Xbn@Vnm*T5t(;awS?BL- z@)!JILuzQ4`!EXMtud~YByxC zM@da_1Dl-gB&-n7<5>0{ZRf7_k!P$m6AvWkVFKOvh3?eC}>r@Uy= zgcijTP0BAL@@-ZIC8gZsXg;MtyFaGk&b&dQ8(D_6nf%gX78XlaV}K)X0rJ)JSZ#+o zojy{WxBkd)(qZZ|BCaQ4Gx#-9HKg2zh$$KkkOZ$SJdNFc!D5Yv?kK)&@Nj=MZ-pBF z@!f0*Xbtsb?VqdtH`Vo#NbtkC-~cUYa-3^aJ+1Hs5d-ud^5G-6UbtML;66)7cs(-^ z?2wOGBO1lgM;5nulYmPs(lM!6p1Kqs82Q^KVHsTQ>AjZsCww`3sj(@*qnah#G41i} zZg;oTStVsOOPylug5x`|4$l3y`M(i~PE>Rn>#7&%$?Ezzs$3274Sh4GVPE-(8V@49 z53%c55-~syyxRH0p%JOOyoK$p!~PeE-nV4pYQt8RYc`8(IVk~hM#HA`RnSy}RYQ^xtUVY$Fnwd)xVzcXHDltMj}OBXSK_ANm@ya79?@^N~xs)dWA1-!uNiy4~uz z%kct$y*a0Heqxj;=c(R<55^@q8+F*HS!O3R(3Q;fg4}Q8GOi?GYKg0hB@OGlewUZg zW~J{s;$4x-8N^IWA}Rk@tE*KjFkjE~l2Ik;MHL>2q>5q%*rg|Q+hlP*{k-Z3em=}2 z?RIaJYFi~3P#^X%bj5B8>s~0s)sArK&R(%Vric&H0nNk2py1YW5S-rE^A{^$*{?ic z-xq|Lh|&+9oPqzBh#x<%4b4nr_MP-^do1TmHtM@7CL>2v*l9>bz<_`Zl)0g`0rtlRee@$$_49yBC2!Y?{4W&@`T^wOr(;7xq7IuGxYV? zIuEw2R*)$v^T{tJIav1+iuNqqsEGI&%9In2MkI7-APJUsQ!SdNgFg1*NQEW5(>@>$ zcu4n)^%?MxIlKK#7JubgP!l`aX@4?4g$DCtm;o|fo*59w_UQpy*`Z63-T zLBz#eSYV zaV0z|LR+vv7e;ZiOM#9)$;)jWBJL5rc3?)@%f@}lmZ|0&v30bY`pfpfp*Y@UPV^EA z;SzvrWamQ5P;7)umw$c_M6}5Ku68lZ2%pDWJf!m_S9ZwjhM*)#K{L31)J>5h780?o zSsL3i&Ek68@F$)aA=P=(1fUf{C&f+MDoPE~|Ma#CXGD5S;g`?1#XVaG+9=vHlh-BI7m zWv}WjMW)_fL=(7++5J#yv+BU}B(?Q)MP)6BIfVhKee{Usm?eBJ^M@xU-wmk6wGkai z7iq2x?dCC5xp=KKi0PMYM5Ajy@~qy|1eWsEqytLJBdipziu#9P7|C5)NVuG2rMXP| zSI6h3QejPi`|Dc6iJz010wGkS%*Px3;$cs{Z&RH7#{`%k4 z2`SwiZZkP)LgM-@XZYh0sCs$#I^kLmRE}GqBgZYSdcxbDaciv4P*@!*DP0jf{vr9# zdmJ1bs!9~>_36uT=Sn@zr8;} zFa6y8)?u3u+@hIh`DR({DiY;NVkv;KP1gI_4v z6C4feFG8}%>vYRix^2BW_mh^qbSQ|AL zZ(hO(e=OQnk)))fB4VCV;v!GAR$C6yoi@B6IIaFSUMt~6?X4mj@3Ln1WBQXgC`gB24ELK_>$Gb#$odiu8I$S z93*b?{mp#7VU%h37`rT!E_hRkiF82Bk|eA&gMP<=fQe%f zPWV+L&G{EU;{$FOp-qDtcyn`kY7UHjb=S0=L_>(YhUymKG@E0?dWsTkr~XAzZn zd1E_3Bd~a0^sI-!?pqibRu^F3EA}*|&8Za(M#}HL&1OxJnxcH=KA1MjBWJ~h2fFe_ z+pT^B3tOr`+!;onl~Yn`&c&7FeYN;=)8U4(!-98Hf83F6^4rjOHcX-z&~jho>I0NK zbO0Oez|o!E+db0`gwS_?iXNjrHbZ(Cudn|R)9aDP_u&*bKFctoW?H@E%}v|=(EOIT zv7Bgyu3PDMH<;lL*Bx8$_x&EO#aY1>px1t;!1;Ogk{rO~5C1agOtC-my{Cg=r+WecY z3x(24bqMWMY9Vl-dsPjVu?|7qKr@t0b|t;2738G0LdcjRrgW9Y3x3e9Egt?cjcPq= z%JqiKazd`^{b3B&CyueCX%h(MGOVp##T=1rdQ^r@7`U3Z)f`J<`;%=$62R*+~7N;x&Km^7M41V zAW3Z>C#fq_^H})~-PAK`O=Pyf-V0Z)SG?$IAWP6mwIcw0LjvcFaE#F?0rYwrojj8| zu|D|c?x%?HqT(|;Ws74W-S-ddl0?8sYhF6W)$?N7gG8!)vz28kwSWtu^QRyt|I?(+ z?KW|NgxRa+q6!H*`+mLJfgkMsF{irdJwBvFSQa`bFhoaRZ#R77mn)U@ z$$^IM3o3uUkJW8WPWrKRKC$lDctpFnj>Re;V{aryM45Etc&~Qs?DPD$pA8D@Yzyul z>7>eQID5t(?~6kAb+ptXp4vv1S6l7j5EHJI%5cY)l+4*~$EZEF-7nX3<}V>I7uZ%; z%FPQMqSQSK;RP<$%WeIaFiu-S6_Sr6MVbYiw#oaK4RH!cdz>u1+eOdwSyGfJ^Vznd zHpL|8Jg^%yc_v!-jpdC*=_fv^5634_$xpZ4YmO+6&HHhm3_vN?N`&S0>d?imtC#x* ziBsUrWL^j^VH7ua;UMa%yw5zZ=!zbZ+N~U4C06ZS0?796u)8u?7fS9Q` zqGu>3q+6Xh*`6^5HN zQ2~wQWk5~yd8pLl{nx6tM)h26Q5`9jkOueT#e_bZYzF#tP57y#edE2)F$5nC)fFE7 zma6-mOcVm830Kx~fz6_qR{*i@Jf3yYzmu1Czx#RO{kK43u`V{9@;XOc7HBlqN4n z0~s*?()BhF9z{J8yy-cJMf4t-1{Uq!mog93i0Vm|3GMD*h(`f&{;@aBZ{Ze9>7H37 z={Ifhvzm|OzJ`RN{3iq%DprH|@6i>P>ht_}M%p9pbzO$PzpEE;o+9L{^Ss}7%^lV8 z`3Vxb0~%$RfmFQIK-=Kco*2HFsbVX}{)lk)P{`q|s|}fx)!NIay>lYjN}xM ze_=TN*J#RZrZ;_RophxUNwoYQyp3duD*Lz$+hD|xOnv@>b7;xw%^ z?Y#PCkNFko?7lQMsp{cW@J)Xf2R%?v4_kqYDB7eyzBY@n?`{h5uUK=FS7m>D$oxv; ze9unAD%%*SdYC?wFe8CQ{mnDIZbyVm+542)^ob6Cd+ zjv8vn79ITXB!ytS>J1Fu()$d1$U&)~qz1=LC?@pe#S^z=qz~0*y_zoIv83OLVk3;x zJ+D&zUI+lUSrCcbvMNv|jz0iHU(bBck6NXn!l{Ccuv>UyHV?t79l&K_vu4Pp(Q{6XPuADI?LbyEV}j2-Sn8u={86^$#Mbrb-*1g zE>K-ukB>p!(~&5&o2oKlD6>6#35q8_JV>@vH*G`A7vy`)i4;D5VWSbGz?RKSY8Ya` zPo;)~s4vsv5mEj(@oQ?D%G#pQ6K_mSLw~e^U6XMj=%}??YO{m%QJ{A8gv)W@Fe{M@ z?6}r{_J0(e_dlBr8^vRfqP1#;Qq=a?1TkyYsJ+!zo7l5P(JHZbP__5oqtuAKiM@%f zc9pj8{r&^_A<5^yuXA1Jd=HC#wZua7ZKC0ivqRLY>LMv8@UO-!<(zjmuo~lA~j1To~4M`3(dH_?)y{mlty@AFEk>7~DgyWt$ zpK-=E*C1DV*}vHixlX$~E**xWY_RbJoT_ z&M2B^Dqx}0h65BXcY9r<<(9uUKfTyQ@S}9|_s&AqNaau4^him_=6=h8XBW+9Seqvj z>wA~w#-N$q#NpF5P>{JwO;(k({i}ElM59`l z{nlQ~I5I6qh8gO3v!CuJ8FS1K@-Bmf|IoCle67Uuy-GJ8;r!()kMfR@cW)F=L1I6~oy-q+$vHNDeW z@?^JTVQ~cA)r{zFm%R_nDt>DwP85OKqt~RSK+n3w|IA%nnJLo?YL`C#3wG%EwD62y zOeZ!$05V|I7%t(T+Rm$}0DesJohN7WEJ+JSfAqT z_p;2RFMPvVN(+`HjI`nEqJb?!2 z#7jI=!a;!`l(lOg!s7ZA?lATDgocD*rN!~5fYPhRh|U_`@HNs@oB(?~gio1dJ+rCx z{;83t*;;%V;N8bP?Q^5vMJz1rx6W*S)=g8P^GwW3Nz8hj<(YAX(j3p52%N6Q&;o|B#&EIQn`?fpPcJ z@VX|turb8Gf{P@$PNhk4CxOHy6sn-I=laR|y;UEU8!!tb>z~-IMRGToa?158i#fyR zxrDTsa0sXwpSk08iAnorq{Hy%z!i!G%;)yk1DZ`QFQ=&PqxPWs2>SUbAV@WLIP{>|TUSU+**>7KHJ$>X?|AP}^Z?S|ErOCuR$+$z{a zh@avi!h8BE6@uTOBek!oG~d+raKkcsz=)@_RjQk;v;Fmg)u%hYFeOO?3Nq#SE)~97 z<%&!!5RHiiGi3YYoa=KvxGS$o$RTOBM&Qn&8P#4>?8%akXcUcgN=9?JVEwmCB!o0TGeM#Llq*#E$!-4@?x?-)%?%3`M;>C^u&$@`P_MwTANvK<~{d5 zn(N(jL+fTQ${i10*DGGSD%w4P<0FM5O2;nNm6`ui-|lpL==#G&xU9IRqz^>hZk^Z} z6TguonNpPr!ZsjiddG!|Ksv(=rpU>2n1LM(PcpzDo3M;+b*XsqL3-W6=bUqb9!?@1 zY7aenoUJslGI+Q4a?2EaX1zi=uVMV`j>Re5Wx<`6lA6u$2+D6N(&8`Gux;oJ|CZLQ zVe~pS-{)dBmtZHpO5MM(@^`wrQ^){zVq+e;sl0uu|HUh+aXEZEn$ttGzu6&oq{M%9 zEP@Z(Uj+G7s}jpKerx8fE>r$D^;;<1#Ah1e?kKjdBf+6n>&19eB6dGf zAM$kzE7pP^D^Yu|D(Vfk2a@(v4=4bjZ3c?WK#zJuy?H`m4sSpYfjdH`PybE-=7s$b ztb-v#HD%9r)xIr@eH%m31f@Nh@_j5*St2rN`&cKbZ2PvyHpPnp-DD@3z*3BQDYgi1 zlY<3&8cy8Ky^lP-UJ-j-v}q;VwUa~Q(O>-9&q6H}>>2Eek|^P}?uiW?IE=ok77v!y z%gB^#4~t@pk~X&NCV9PRwTWoIDfQ+ly*C18POge({Rf4Pv=-v4!M+-2BHeY5cfu@@ zDcwXC`O8!-!h2d?X2u^t>EextdZoT(Z8mq|Qh`5E?-Nr5viKFK4dE?E9v3Re1>XDx}tJ|Tlu!fmZD>KE(YYrka3H6hyWC^;um;+tN@r)Knp)M!4X_;%*^Yi+#E;N&VjjXUD91S(DICAYPiJ(4JQx|{2N zZr)Tmz1;<9$oX5RdHBL#$jfa1%R7PZY7qxqX(- zeWBUIxCSj)sADsEHnzo`YDYeiT_5@nv8I&gy<)=@vkBu@QKv}NYsraVyi@ncddO)O zb$j(w(@;fz9ZNu}-MVp8^n%fhGnJf*?uB{g^Sz!GneofIAjko~h5<8ufEE0kg(jmn zTa$1$+>Z^;o+G%7XOeDK_=UhTB(!31Y<;Vl^16hCEH=obszxk8_6ys+bM;A-Fo)}Jk=W(jPAv34RD+CL%((Gajxfg1g6Q)4a zLXOpYZPB0`X8J?(ttmi_;o9NN*M^vCV~#C80RYWXlb)qA_spUGkEQl~M4n*?(kv6c zXP$|}bpDXuaYz`5=mvZ$^lGJ+NIEEK(_NZV|DJB&W~ahu;JM5P;Jh<A-qlD79eOyM)jM1vYIp`d?#i-t&%FU-{Gi*wzFD8vWa@=%&91vz7^z-S7p!s z_qW=5fsa1#kIKR`Hnz6yD!K1`oXY6$s-NbX##b~?4O1Cz@P+fQ{||YKE-2NK_D+^z6V3Wu-`B4_Y?t?S zSR2z&zfiNF{b&r1vPME!-p1_L=GlUG>03`c

VdDPoD>n!+M#KsUqu^%lo4^&tP8 zchMC^3tNdP5o+SfC^zz>{W8!#y%5iLyL*%0)M_ZD|4=Bpqvj{-O4N$38wV=JU=2pq z+pAbHRYGi%!TVx(;J^j)@I;UQyPR!R0zx(L)O47xsQwz+pj)bfJ~SjL)ddsuuVCQN zL3(=!)af@T)&?}+5<850Wn{IvO60F52rgcn>L(rI(`nWTcR>nhxRNW&{e~bVXWAZ# zJ%b9)+3&vqi_4oNtkU0TwuS6ko1Y#>c&ZoNxzX;Ga!PQK(%tQ-dkX%;6$&S($f5os zrJ=7Ft8ee}2{tjsqssQXBaJtc+a!rNP9)|^XVSR)I1nMiPTFSifE=6h3~K>uin^FD zI}!VxBJlNMsjuz?SZwQUCYe6Xf+&eFKtb)C7j%cW8LlzG#C8E|`W+$YxOY&chF>;^ zkay3)HO1ZUx~JU0Alqu#I&6ZjtvbtHyzIy6i+|I*B^_7PiEz)Pr@|WggC-%$=s(N9 zvOM;b4&6;-`q^i>Gi^ue#4H@=s|wSTxnJ_V0VLnqM@Y_Z8d%%JAJTR*lu8|8k>rm; z5t&LXa0^OG*HW1+d8Nw1xP1Ov(V#wMX~rRo+ou+!E0~?{j(~P}Kw25VM0shOjSJPZl z-iFo8;tD~Jd9Q&UAR;XJ0td17IlXiPCR0-g}3HY7ouOklapmw zZ)2`fZexK*NHvYDC?40j@*cr9bkK7iQJDML1Y5>PTpKEHWX*Hbn!=CXQw&{uxe=K{UbR^kAz;}CR7k)@8K6h==qoNC2C{P@!vp%ck$~LKWAVDO7#k* z3M@1h4t(8_cr81cPgDPLql-e6QOx=uW}z*m`P~+Di3yrehj+Xiyh`rkGrmq~%lS9p zUY{F@F2SBhc2Go~ai)`de8ve`-$Je^|FZuLZaLR_KwKd(ULDlfUT)L*y0U>VP#0y+ zeVq{4o7{e&VCPa{29}0yo)#I;hiO6uHR3)5w&wllTnRkniJl7jh{mJWTsu@Qr)6$U z@LX<$ng0G_L;QlpMQS@Iyr`9$d77fHjB89s8l zd}LYGd4FpMB>f;UcC0Gv;$RyeVTHan7*K)O+B1Azjd-2i+-)Pvq8RahXCG*sm0RC& zQl%r#_I!>%vFPG_KU*f{Wj*Os?Ldm<+Hg*Z_D6kCVZ@b%Rc=!8 zylEYpdr8-Sjga(O*HeJHl2ALJ!(e)-B1QJ$9)aY&_yA*Z()De0!}U zwiLA!F{KlE#B=h2vzZz~BtS3_G*-^Fc%r&DIR7$jarkr4^kBc5d+^hMlzM!kI}YL=PwL!fAx2N}q{1_P$NIiRkK$xnhz*@-i2MWH91DLoT`diQ zql7gU8;`At9QE}4z?-w9G(59BclX4zl!t3eg5*G*iqR9Ip%o*_=F0$tPvya049#vT zX=gp*Q2Ez1B&u4hhPOHf{aWq8rK(Vw6;UDCYK!+vvt&od@O2GKeM6Fkwgw%~?9^Y% z{XwmLcktVJ7TNkP^U5jsIDw%ro9c!HOUu;h(ZWIi>t(XJ1-X`VD`X65PB* z$1H|)Q+0O1p62$Qq)~z>?>v;s9yts)n$%l)IOzbI%Iy??OEKy_<}Mf3w6avn{(Sf< zx2FBeLH@n^T6D}+cN2BhxIdjxzV{*}AK-)RnbSGqTN$of#GQat$5+ay-La*v$_MrS zv=pO2%SLD-0&uV>pJ6jStK^ zQMM`nKm~)CU_Q8pKYbXb&&Iph5vD+!ID|Fxw~JN%DWrn)o1%QVv$G>*s}?~_(cs4` zHp%@qpl-y$EyG^?#hc%*-}GP1!`NUNfG%wK)}Z(fcRkMXfWYB~;c zf=zk14;r=5w#7bM;&r3e+_qN+3}{0=S+lcw+T&(3QIR`V_g1^?C1QXQn?B?T!|>h4Brr{sYZ$1UKe~ujIgBm`4u7sT zqbVrv$cC1e6JCV-;muSFq#?y_s#{OXh)UDnxTPdm?}JFE;DhyU-UQB_^4ypga-;Yu z9KDMe%-^wIeJgCUI-ha^)Zf%Cu|Yq;H>)L+|3H+E(;63we4#n`t>NOez{mD%J!RY< zYOnYcV=nE##3ZSoc?F8h_viJyNhq=S7MwJIs#Q5_ky1@BH&z|x*2Sv->aTva)PpI} zoX_6Ry`jWC4Mv_U&sE+!$DDg`%Uh?~3J|Xb5IyMXpIb8}5)Um>K~|;7vqmZlXjqm2 zJ{BoO)++y-dMYCdayZ5d<2Y}{#QrE5KIKtTQ-J~5=42t=wQAtGb3@I`)M-g>j-+A6 zR(_BRn-qFDzEn%ADp&j=!2j?=w&(C2lyG?VHht>U!?!86Ot{r5N}$CNtb!omhp*0? z1S>*ph-M=Ha+6O4A5T<#3Sg_NFTN8}%*U?(G`>m-f;bRhR`xaj>33genc>BZ)K&%n z!pk{&T8DF=6$Z?{HKyY?2&wx<>9p)qztGXMo!5g;jewlbu!H8~9FI~eHxlL`sgwU^ zj%$Q%!U@CCU2U+V`B-?yQE}37ipH3Q4uclX3x?* zJrV498m03VuBg8G%m)GEa^!crf}m|OL-6>=8r(PdN8;ZGJ2gx-n@Xm`r|}fFL}8%A zm}{#%(J}xO)8<)Y6gj1rs7u@?1}Sgh<|$nM_}JsFh-ZAGw_LYvV)6pm6+!j)Uh(Rp zUvOA>#OxPwqn#3ylM|fKS6qMbDin+y&3_K#{+SEq`|9?1T)o^GW)QlNZ6_8VFB6ph z&^9#T(Np@^%-8||XSk--(99N|qYQo%{za<;zjuAd-*k-tOXB%kT}GR*E1Pf-GsWr2 zvxLH(+G>($5ys8%Oha!!FspoH(cEjdy=?^PUG_gwFIanvK-#fi_0koVQDWaO>{M@RFSIvW{2FbG%xbp?)iSdqgZ+;l=iSPAA|93-)z0 z9Al>jGua1&6IT;Usxj2mCMlR7p(9e-2Sy_-bmW1O-&>cj2^hnJ@3}&iA;V{Zs5~!k zQh_u#b3f`o4(=-$%+;PTq8N)T5AZqUDj@=6wu?d5!>7J%(}IkEB4(vy7bwOVU<77? zTg>~z$!>>SS8?_U5)7!*giDT04^aw{%z?yod^R2y@Im9m8`|xJW^ck}CTC-`bulKT zV%g`DOP{qehfDYtLl|~xpXURM(VH}HC6=5e2vk$*Ix>1|f@|W)#}KZQh+O}TqTi;$ z{DEcxyarXGr8}-RnG=T`^=n0p;b(qG*_oD?rV2kIR>|k)SkI-k=4R!#{~HUjL|S={ z8-FAZCHIiLT6v+0^0IU-0oc2)Uqoa%TWOxe!ku`xkeQ9-2?8+jg*WP?RkOCrpk$pW zfqx+X9?;b<1Anku9Zs(~u2WiLa(S{ycUC+^ZxXNeE9SF5kh0pGC}6MEEz3;tBNT+^ z(SsHG-X{Q09$034`&gJMM!einoMM0^ADIn&e;_?y}Df(Pb zTQN7ZH#oMt1J>ddH+dEl(9=nOI#`#arIjG0ywu&PA z^`|MKh!&bNiMc37>YSJ*@YS%eF;>E9`S-q48IR5&G;>~%9+xTLhr229#;H|?hMhTp zThbE~;gKF$KMPMi+oreqdF&-ICvjJ9Gl$3d{cfW$j#BY zyJ^>ERecgbYT!U!qLZeQk<$UXS0o9((px?=`C>&~85V~9bfHUZCRS5hD24Rj)HaKP z;@htr=J;%kvodRpwpw))8cNcUK|$Wq>c&+n{fb7o>l8$Y*nNNwcUqvYW)sn|+&;To zoVTvYQ($9bYT7loCCkPJpcBtcvanTM?p*0wETh#zD3LKK1?r2tgAN+g;$rDI_-xgF z6&NtXXCAo`T|xgq;wr^K*MOaCx{1ZwLu@cGKu;p?#(w{i>+(QFf_aVS7wl((Ry-rZ z)6AL7#@?NphCVKM9`B*i|E6lp*a)u-;5*$^)DA&th!gyDX1eelVJa!-F{jd^wV1VM zMMSNWjJm3SZ~~eC%_P5-kq1G4Rr{$XDo>M!tNT$8tt0j5wOqL=hwi`Ab`eH|AubRG z6IPZEf>k_1Y)f@1wU39D0vdQy-A(;>c1K&J?EROJombUd7*{?wmBmrFz(6}=Aa<{O zH)(41DF)pQn$&Q*ER|hHwsyc-%_uGx?>)n^62r@#X>c?`-f0FL#inlX^Bz14{X>8R zXTdbySe)XqNnYQUr3x*>o~*oe@E9Js!zSSUca?yUHGAu?AgR{4b4O4 zh9LCSex&;4VQc@xTQZo$C4LD{@4ElybyZik#+x!8AL)PdD}q-1uf$_XuM15+a?C|a zAw1uu>c*`{x2m?Vk3V!GatdN+`;ST_lf?G36k9+50hbjq3Uos(lQY%ZY}oc=m=Yq`X)nd z6EvFJ6%a!dmO?hv23;w#*_{Hq)les|Kjx(-!CDI$@73}r7OVO)iZMXXx)g`a-Dl_9!n~vIo~9-H#_RuXKQe|A z5c#|Gf8dmEG+?x?#W){yVxP}a2;}=a7e`<>A&#l!X~0{|H(1~PSWm>xj0NBBC>2ls zSme47i^~FhRL3NReALyNrnFW^gjB>G5Qy~1_gCKK48Kckl$RTcVleAdsVBC^rFe`o z{5knsZzQu8asm(s>6)^rbUXU&C`H*<-^9D8>ois`J#!t8b;}4gN>j*H`!wplbIRT; zVqis#LxnTR_dokGC@N{`5a}t89JHvs0IJwsnoP2bZGDemblo1SGb&}K#%AqN7RRaU zPhD^BQ|c&9{m-2kCRudbloh*p@qtNUAMyM8l1blp_iEfziOO8ubat^{^VC5u++-Cq zK)?8lPlkcCawX|qMc*+OLZ+CsI#3egM>`~zC7+b zNrUy8FcyC^&406Zew2l^secb8E#gDMOU;yMX5|aB^Ah8_AU8Eq-|p0t=g{7n1DzsY zDBS3jsK!(;kx-Sxh1zVhjES>BnF)^$sMC%hVX|HoF?ZtOba;w48MY|CtlfGv_vIOM#Ji5>e9bA0lKjIt-gUY=GiYyTI0|~l0z5C*Q!YpxIhtEuz71!a z|8MUN(!?Z;(f)kuvK zl_K$`C6Lf1WzP#gfKKCpqztiJD*wn0ye3bsSNd)vm6FUd&$=U&{xBgTRtsBUYM^`j95v}Ga$K!bKinQ^LcIh=r$5w-R{PB`BovrjJ zAec)@)gP^5t>Eudtt83LFuxLYTmEf7@E<5MM|psmc7NON%!HglfZBCakH(uL6K^sV z2{|@a6;MA8*^}e<0d}UT)n|1XcBv{78iUODx@CdxZ#mLr%Kb3nf+XpcXQ79RREsB) zPc6O6)`lCm@oNnmbK4HMX40rFmrrY1WL$v5!*A}o|Ex0iwqJa=DnH_r-51hQ-n%?X zd<7G?xup>ffxJp6w&E|-HcU>=Q@s&xo6vow$=BaYho+J643%o?dsJ$EfL_CxPp@`% zagd;$-+!CpyaQnR!bOj-f!$Ngwh^-x$!4TG$;rF}uZ>Mlh{SJH-&_Q`8$Asub+(xM zUwhFEowV~a`vUKNP`25dJ{^oCG2$ZC<{UeGw9a@3+*M1NRAcR})&!x2 zXXA?h6uK1X^YyW^V;eBdB{I9=iQ!fPjR9}D!0ZxV0FzjsHtCmo@k-!^GIb;=%9P%( z-|bv2&j$|e3q#@-UWDwT?6LXKYymis-v)!0+eMLxcZnye>r3Dz?$UtG8@vRCmt)W9 z<3csnLabUHb+Xk38}cFTY`L0N#6BA&yNNd(J*%Kkf~287=o0Bjb25bGr;_TEAMJl; zA#sXsqI&HcOlI|Utdl_tW^>(IHe^Df!81-3bV|8}e-xCqfTEOrU1%CJrj4 zg^gwd`&5TtDrKuS$nTYZs?QgAYJ57nyQ7mnR3_{VKu%|pOspoBh#(V=aD9#!cm6DQ zG&QNId*7sP5!IESnX@cu+3(+pz3BU;KOQz#%VZk|^X>4AuX0o674e;#Xjgqz_)L!e zn?MqtBBN!4nP${b`0LM-sdiS?$`7N5W{#`B1qb^@w(NXJ$6a{|ZA!6X;f@jyrOXX! zZ@zr8lq=*??g7JBQKgwXEGxkDaDBY8CUr#dx+Bb1noALZSm4cfU2rDN{y-0qnD~b^ zM33T)IR*+x8K@J!+=p0TX!FM$w*=oz; zm6+e4&DL@p>WhZi2`t1;oI)?uM{yXf;h-wU)Ei#Tb6My7OCBv;U4d}fLt{SPr&y(> zMO+nix=xw(n`_CautWXrp5EP^1la-SCIR5yHGS-=As{$lGs%Qh%MIPn=gO)uZP{v- z{G(T7L#HK+x3S)Sxp+n(iK1BcHW7I*>b~jwRfH-5bTiJiMi6`d)(5Kwtn-GfZIC}X zG+?Uvg$}T_?M&*7?--hNv~;#$Kkfz03|HsD@Nv)rxTA1845y7#N`={L7K)Hw<_&3f3gsLFL zC{9RrLov?HG1euhCtqkdh=Sn-KZ{#1s34O2slKJo<%waj-i0l5c$k`idX}+Tw1)qk z*DM9d1vdhn$&=Nf;uJe1bGP4sA1zelA+b>ngDz|b?%38$oaD#t|9i$momhZ|JIriL zg&HksRX*x4v~yi8$`Cc3_+*9B1F3cRd;epMu5}M{4IXYo$kF$q*(@|r_9r=0)q9F; zGJ*%)ux(IY>K-|`BC8iZUaW>jpeM^J_*b!F$pEM)U%LFOFUm)KZ9O+-E$+}j1G%&) zIk(a4A#{|`!0F=)t-K#mYu8x7VzVn|YzZ&-BYX~yyLt+OD>I~SsT8%XdA|I`t3ofc z8J27sJd@_pyL*xQJuawNdPnW->-AjaLOIfwyT?(I5$`Cb6HT_@@78 z^oq;=MGhm50bV#R2J*5-pGOciGxQI&TfFiD>N)CDe)0FWXC0P1|M0zkO;VrY+2!IN zankPMyp-mjNfQ{(ApZC&8#6Q9wxJK{-y&9;NK9uOoQ2NLq?R%52wC}+={S{paXaYf z)Ej7|%w|9*R3MwDs{8#T8pOOM>2Z!Ip&90cKB5BfQ{xaZMiG3PBf;yMJP#MspEiI$ zX{v>Ba2pK13RmRfJkwl{9J1cPW4@{xV^_+|UEa_UpSiE%H67Hz!ht}Ez27=HkA`c= z(BlL*la^^4*fTI0D-1Omz={yN7oi?8^Nk&etJXEZS+wd2^F(rUY}TJ)^&Y-s#5Lt- z!6#i`CJk&=i_EZ zMrm_P9C~)30SmL+Gw*mZHTbV^u^Ao>#up6KyGxw)vJ@KI8ob3a!AZ^ zoW1Fs#*=(!%i6za!f@L07}1hfI!0@*u%~KPRlGYXn*U~WO7H!6=qRB0+lb{tj$wmU z8Km%i!Q${sR%54%oB5$*iA;3bVrRZB`c{fVq9Y z_{yUP=Dxa9vSsF3-yZxafd9EEGL0L5m=T@|>co@o1hF3}Qv|-6Pr_OKH|yUW0SeB? zot@T2VAQ9@CF#Hp4|?9blxpVu{^x~#$@iD&C$K){87GQ}F*5l2VeD~$KZy6}cA zjaO+z)cq;N0%qe%{S`@o3)F{MN~qp}#MWn0k5`8s5J;Xcm9ge|>S{F%91w00yh-9K>tQf;$+??%3=_wq*A`G)#2%Ht*ZQE?`3KDXcU*oN^= zMU+F+%N={BI>+jo?}3;|RD$E9_$U~QnGYI#oK=Lo76+lcW;I!0`;gJ^CAr_?#+U^q z;VRalRbkXi78|~%Gz`#o)HkDvR2rEF9Gvq>cIz6RH$uHI(dSQ-f>n@P?~+yN4Rj7t zvz|7e-pikGlPC{SLYs!ublE(x(T6HJPU|FkZLYs%Y}TXkTydWYznNeM1&>CeJ$C;% zG?tX{tM;jHCw55w)_zj7O7c_J`)$BNQ0y!a06ySByb*s!&~p@EKhw??^>ShS!$R;j z1wdUBz7L_4GFmzcOf@ePu#+WMoN?iSV-&WuJm?Jl3jUln4EEaYid0(PJN^d(q4$pz zu(hcpp7P5hVElQGCecaj69-c3R(i|NJmUAVdbQmnGS0H=hKKqs0YHUx;EOnehqpjx z*B)SD#e7|c0&ii|G?k$_elK)f9CEqCaxosFcG%>3*eGfdx1pTwJ4{z!zb_^8`RNOZc;v&+bFER@+%^z4;%nSX;rT& ziGWUBz zyl9-yVw6R00Tt@hIw1#r-dEU?{9yYSEm#TG)ZeD0Z`*B|zF{1vR{B21-1 z`Nv=VWq+0g37<`ay~Dpd=IG9UpiefPdq(Y>)>)t4y^{MZD>lhSkw!lu`ne*B?M+-C zcHHX4x!H#ZbIRP4A#i+yC>8+2*o%5ekcs+BCqXa7(jLTQi|4y42U8H(d)27PV*>EM z@*?Zgv~o)5$9cL^$H$y6OvWYOt8jmkD`lvft)aZka4uKp7`t^eh$YSYP^#ki?2SK8 zoo6GrGx%Y@OsK-AAGfmurZ}AwQRQ3i#aE$F7M@a4CUjvg2b4Z-SIQEep*@Cw&p-LR z8>bTZ#Bx?5WAkbzdte5A>vDUb=Fmd-EiiCs@v)YZtcy9FdO%fJ*R(PUo!8MA(Q;LR zu>9{!=}d|_0NBW#HFa|68}K<8z6$z@YdVKE%C+~gZ)s(%A1kpOzWoP+0-e@mDc{!O zHFH(gHQ)LqD75ym*hcyH4xJAD)+6wyYVjtfh>i8=lCd;R9=+KQ>vSq{O5bU-BS=gT zr*9qbGJo+_a+rWARb_B(Nh-DHa6)(ov)m*xgZ4{g&XNJ=o98{iQLsyuTwujSP(^CG zlYNLGbw0F!^QMT-o1$n~1DFMZE6Ki9Okk2w+t(Z_xA2>p3B2whUh6Q(iy37lbWkcg z&>m2%Tx(V;3KFX)Gcjuz=e8&hr-+>JoUHQoSduBD=IF4X=Jh;R#LX^Jkv)S4y-q0? zi5B|2hwzAi;}CGE{P&iMo`2j|v@Fc{M&ED=_U4>=*}qzF`>iMO7k+{i8;s~6cwRzf zMG%=&UDb;?V8K=6E5=`*_#<3HX*@4ruR2JHxN11gD%Xm->t-)0?K{KhCP)9zg(@0x=IkEk=I;~U!_O3Hs;}kma@3d=8 zgGCrV>qR8gN&b&w)wsX6c%shNpON4{Y0VpHcdBs!x{lUjOO5#iEicG`(&4iN3mBs{ z;xA>3gD3cQYyJx!4c?=Zr2cbV9Uop{ikcB%TXxv_oaXV3MaSEu$8+ib(Xslk z#J%Z#{v@{z`GxzaYacYjSY0u)`a_eHz(OGIY3j$}O-zYDTt8H1Q_`)^4-~JrC(wNcu)Zyc z2jw-nk*}$4+mBqS-vhrrO{N=v=@o~!Udb_)d{yUMaV@^Lym$4Sk2gNAi1_$&DM!5gTfI0wD;plPo#bY5Nj3Sf7BB7% zr{!npG5S!HFkPJUk)z<>^g;FMSlua>WbA)M)|w^H6Pt&qXsBzF15AIHQ&u(!*5r#E z(+>IKI%ARuI0ia>eD-lsnHPe07IV#^0DIM3w*)CupeK`bdB1QJnJ`4>BW2+^;MFcE zrHVPwQXVScS#jjY1*D_5d2y(^pi+p zJ-3(GpWx#u%{1_2ey^-lV8OqcMG=&^!|Yl23jJ`(X9j5Jpn%M(cx=4!^1Q&z=Ur#2 zNt&DePO!7}~YjyD>-%dK7iW28_Bqs4` z?mEisgpMFve_FdO0beZ|P4!=aY^Y zW=QCewCdGFU8N|T3NP2e6te*F%rD<@SPqvlH-aTGOw~mCm7-$crK79pSFs@^I6(WE zC^#ozK??CT>lh;Tq@yrrD7drmW7m z3)L`P*37TU_r|YZwn)%CIc~~wi{>s z-*Y>4SmJKO1oykC9F3Ti3-r*ji<=SGB$C}be8|*5|GhXoH?hUTquH7Bt|oCBQCl#6 z&oA>NN^<8#92Z7X2_p$asHyeSI(O*Ptz?zGhnsQ-LptDPf1OkfEBeAO2EHj|=9;97 z#`dWZAE(cbv+ZGVVPq(%l2i(djYLbvn0)S%@f;q-nl#mw*2pQ zLcCLog1Ntr^`<)V04FLxIl^nFj3OK6f4JVs1K*;B?!1Qy9{7K)_MgDNK65ZBUCfa( zy$sa0Z3RcnQY4T}a;gkZdd#GLdhu>FOT-5Ya2qkIxQn|UscdS8YFfv7*W@)Nu2T;U z20ZBau^_JURA*n)E7rqhpufh6@hxDBVn;Z(BQ+ky21y&f8n_>Z%V9>(HaLcdcNbuh ziG?=6fA7>7^SfU-RHig19OUZ)x+iph?UHP-AYNU3^U4l6_bA@W+P)b4*>1fmO!3l zDPp8lkSC%XPR%JQ69F;$$opeMlwp;2&qlhNEu4U5*Xz0_dCY}|J!h`DjSdbtO!aO5 zWD7=XW}xy^P3t8NG}CmQB=}ctED&emZ3Dgdw zn>;mwJ`)zsIVTpBIE)G}-WFE4G@v&cu3*dG+2MQ`VXt(h z0f%ZzW<{A9BIC0iPP2A&Q!@AeUtzM>^dG4b0lUrW+C^f7>B%~4mmtA27j6EqMbzk~ z``f17z~ns6dcjuvXacKEvGm9{PZxr*KCQvMHQ*@`|kcRp~Qo+=3>bd~HWgmsDP!;_o#R+vLcV2g)J4O$v| z!vK+Fy23-CtG*P&CwaL!^nd7r6OE7xh$B70vSy4az3QuL~@cJwogE;|IbLUWtW zkeNEM$YN=btDBlL*>QTxwejT*6F_#DPc^b^#;A4oL=cw$<(n_G{`_2Q4o%o~JfEMc zAbKhcDYvpZn^rY5FwMx5I(*p0inY%#wGmG#$=n;oh_zwpPCcCY@%Ce~lYE-qE>L7U zbE!pqouPe6d?HINhOSmn&SJgJ&P<5Jewr9?g~Dyv>zfUURy!IoNk(pUDVp+y%k?K{ z@aI*jNpgi$^OG#B0q1(vD)!+5p(5VGvdxDdk|;t-DxNEhanpz&+?EdX`Rhuf550MV z(VvS8yc~u_>$pRxiQsY3{=jX zW=ZEIJatU$R9=XwSNRx=u+{K1S5Rwvh4O3{%Q*1P($8loumz{hJSXFo#gcq5_}$m;&sodIgc^#voiWDFxvn1zqtnY0e{d>MMWphf1tPR>(&j8S1Gxd zk-aq&?n0Cfu+_UFHXM(-H|0Wad_~d)Ot^4h^nTI{Eka9D)9lMq7u(H9i+Oxjs=$~W z4ex{Z8&Owbl4+)viu^`8Lli+vY|T!{*x+MY*>yIm%3tk&SKj)aTz}qu&FBV7&@@6TBA<@cQY$Ap{ek@ARg5*vdWxvTQ!BIGN;79u`Da-cA7B)*Hl_4s%Ryv6>hXU#JNfVq zbUR}qal7IC8mh`$Zf~AB)U}UzW*SPC z>z2|u=l!gy%a6)G(e`nvMhjK6cKC=xpL=jSyxL=pGDHW~|X0{U%hpwhchV8vJ@y7YS+BQ=Y`H;IwZpTV3tOQeTS_NCCVd@Km> zyK0WcZ|_^g;*3DASKplx*%t@nz(hkoGGqVY+U6(FB)4At_NOnQb*_^i=g>t*PSp7$ zS8D<$@}e}k|1Y`7Uj=w5k1&BN29=5kX8w+yv?&UO%+)13beq~uO3p!f*3FuXkzQ)J zli>IguyixEG|UJsW)^=;6rPsuh*T>5E8kW_&RH=2Dd$#rKy_LZNVDDqD?I+UMQ3qU z7?AoqRF66e$nhV1N6*HyUugEP99MjPnRa|q`(czznPeX1#c)Gea<(k(F-`5+Z3kPH zYQg!N4|Z}T{bc9=l%+WsU}V`vNwj`(aUOaC|5Xz=ZZD?y<$KxTKwuTK+W`6fUF5Xk zYk_B^L-ZA~bNyONsQU>rb1!bT7^9z1#23qth?XXS4kVDV=RH$@@3EI_>P@T9Xeq zOex@7OH9C#=x?WtgV%Xd)@0O`(Y=m9cpXU7{tA+M<+({iDR;3l8ve7i`y#WMnB-w%dpRQv)Wsj zjI_S}{u3OJzOJfz)o1jxzvZcK!LU1!z_D#k1lI)Z)Z7anaO!$INBBaD^i{-$!LBu& zYIf-!I4jJt)(drwr&82LyM~{6m)4MM&<9jay6t!U^4;Hvj85ZJ62rWIbMHt^|AFie z{`#CKkMD*3@-$p0s>^hKr|nM@Tk~Txb!9Q)oeHO;wt?jGe@k2TTCRYp?Pqb_hvHRb z3;dx?|4)7A)*CI9YXdvM&>U;T8#gBB7wNDal<>Cod$D=fJe`K8I=NZS{ugf1cF7~^ zTC7$ooLwJ`vv}TSPFFd**?r2lcx~~tTICPdsJC!a=KRR1hwXf7XCtl4nOeSKMl|su z>ZaNa*)r;0JFvT2!D9O<4O)=AGIue#rDg0glb7$6-W~sMzN~zdHA`}uUEn`XLmPdv z$JcY}D}u%*Tql>8jCynd)`DTIXEK|Bs@xaBI4Yqxg^xK_vuf>6Dfl zsibr_0s^DA(Iu_mXi$VPq`PD^DBa!NFuFrf^!>em!JcQ^z4sgEoDYFw65!|y_ysXV zytA|0YZ%Q)+Z9=VwouaZ`!x7(@Rh$&=Y!JH(V-$>F7hiuRpqV)SVcPuSVhZbk}Kk97EQ&zSso&cE`R-)!+g{#TWzDONLu>_bQX zIzRs}hs$;#iEPQZ8AwcA%9SnOipNX41OQfp;eZHV`u;}WKVE^ra8Cau62zcCJY@F| zAXD4Aa{hD=M(y-Km{2S!iSXW5_}hHnqT9cP16rG@cSt0I$QgSvfW~v3=C4rfGgL}I z3X_KXrRS{r9Psnek9V-bf{VV&V6jUjA4~(=+Nq%!v zRKueCu^&p!iVT{z46em_$q6OY{umd0Vt(&Dk+*$#Tjrk-gVdQc%ZtKz`~&H6yDj;S z@Z?S8sD4vrd-V=nk6~}puN<@;=*ml+n2!kaeCYIDD(n&Waa;GheFj?8{@(m^1PPTO z^KBKrm3;C-b1vj{H_F_8lP3#s&2UWcm*pFCd^+48VQ2Mn>nyZPS@z75mRVj)GROj8 zuF=sSo~O9y#~TXkCfNoGK}*G8LTS_tR|~vYliVgALaN>GzAPr@N-LrPiW5`Pkl(1~ zWv5d!Xi44@Aaho#^+~9zZ6L`Lf^bV zzJvD29cdg`1EuV>M_Uu(nCX%My?K$ONg7~;8p$Tm7K{^~q=+OBGXl{u4y3>J2H{9p z79w|&8`-|gZH4%cdrjfvVU2=?gp@QM$W=(RgTWf=L63ml>~+w6bEq1%z&{Y9=|zU5 zi$DUN8oq1E4899j*XUB}rS7eenta&7egC(mQns8O-Kc6V zy~XCiEMORE!y&q9f&S*4}? z)&X$gF2_`4U-C*mlF|jNuht-OY>-h_0KH?FiP~kmG^VkjtUIGliy{kpNromR=Aaqb zknfSQ`7#`|Se30LxGGcovJSy3-nf{QxAurDG$S*oWF5$QywX)#@6(0}NZO~Ac#gD|b+wp%ul6B@Q*u>d0wDZ~pk2WS!u@w~j^m^oxS#|9Kk0DI1v%NT zc@sIis8S2BzmqEieFjimbkB)$FCW>Bjo673)hWD(E(KAkK)efEM**QNGRvBWjo--HZ9DRZoSzL8aa~GSR8j?2 zo@p{LbFl}asHQHjS`94;^~Sa)L{{0=$x+JGl}L=MlRr1R#brjg^|ta34{BeF^?`q) zm0;RvdH{V2TCTT3rWU6J)IUluv|Kv)X=>$#Zfw7S;#ioFUxnt~+VVc0c+IoWB;_;g zIiuDux?XHP-@z^v=7n28+k!bN*Igl#XftdR-__8Wax*etIJV+eMV|`xF$xq_wnOCF zTu8Ov81b4VxiZ*o`=#CB*1weYrVOnZV$xqy9r4u*ld0W*%T&mk7?Gj;Z|0mvD}Cz( z_pC=liF5<|*z%{RL;6MbaZhilPBE(}Q4Tr!Y^k!)e5!hw=6*do%9j=71O+kIRt9hVObCa(L!*JzgFCWT6y}u&Xr^)t%{X zc7L?``o*6>L3}#$vA8Sgru+MLc4cOG8&0rS-zj=2xmqpPjB;(df;riL;1H9UAM4V& z$!91gV>peUO?*KXc$4E7B>B1Fz7`FrX)hdW;~(uaPg94-ufMUS)9-yX%bzPW@DId{ z|CN@eSI>N`Z7k1=S3L>Jt@>@GA(AL5|opWQAGm=R4l6_MDJo zp}~g4xZ%J(lxu;YdcgAr>ClOa zR3`)aoLG9X)OnR(agf!%fMPQ!@lCvYz8950Rkn9V*AR@pCU^L7;|~8fafUu4#bfRGnYERNN`?mu8oce6%k=XCq@4ucq zM@{lB7|Gat1NfNJ!yDNjbj^@cj4k6cPP~?mi&7>=MaMi;XX-4}4bV}`za2j`vv`Vq z8t3sHQIMBiWRD!{VP=bHJ1XXuxpuH`w^iM*&<$D2{m)|Q@hM|wl_`h>-19L{oS5HV z>{!o6%O=yqxm^vPrbaTq$n(x#>WATxYu=S1oiAX{L)Sci zRJ@S7HH)~+)49CAm%JHj-?CkBzy_a0OStBRugoei`obBO?-f#2q!ku4Ur-lY4u;`Tk^{3=#J*u-sS`#`e9jRyG>tv#}UZ zbVb#GjvZj|iTid3HAg&JwJ`Uy!Ixg6Qj8z@F-pkoPFitQSID}_51;-cifv}nj@3s5 zV!f)Ao3WnHHW^_MgV3i0gS;xgmOqu4_BkGjZiZ$3oB6ye)8P@+ep&hQj4HMGb&$y3 z&Uc}tQbNAAE6TH5^$7jOdT`UxViWf-cl9az>9s~XD7BR4n$h{_Osli1;l*3?I2C$m zj4-5qL^=~!jbE@?lI&44O?jtkOP(14J>En3Y=b~xB1j3Q{L}v;Tgt}Fy-GeH8uj-5 z`n{%leSIAL+18)^mHPwdjs?Dz4C$o)#AbZZIoNqukg}+WRM5X#iBG?I4p@;&i^W0% zp5U$w$zg-xsv88TMWaU5&?meRRruoIYAfXVg*H5Bc6IEA{C?jO;J*?azqtHT8xe9y zpf_3Ww>%qFYYU@f=A9GoWjU)1cu`6)j$X+rrMi}S{}7^8XrfGUNcnpWvr{R5dJ)lr zbB?FNfuJ)=MwHTQwB`k*qwi6LMg>c}rbt0f-CU+H7^$-pgf(iO#v1a)TdXqJdDr86 z3(dB^A#iD47o8kuvrAckzalci`sTV7;cvZs@}zHsUq5C`Q_B4TpJ|DH$y4B2$^lQC zaW!EIQ(z@#HNlO837ZFDR=_Il)Wd-&cImWK_|&%(@{lveD7TXWh)X+mF>dqkX${); zmsOTsZZStnByynNSCz%tF$H@tFTqSP41C5DOr;*jn%q}w`3~Ct_I`Zts(e`C`fgR# z7#;Aw8iKO#NqhX&+zV5@d0Nht8K0y?^Mz2hPdz1M6r7(95a^@CDD-!RI?4*16EX0WYSi_YwNhb8*J_R-Y#Lt=@y) zRN7EmOYe6kHm;nG&cGi6lHRm^_*9rDFHBBugwz9iU|v`5=FW$rNPg>K#O>i%;SblW z6k6ET3E!^n>|YD`DuBB)j>20?UpyWCurpqduKAkTFmTr6ywYX6H=VQA^tB@c@fk4F z&5s{HuWyYqp|sH7PPzHxH2cxr=Eu}_!^adO3H?LazRKa&pS<)8N5O@yoG*1$wnSUm zAL@|2-d5>fDl5bZm|-gniy)7Fa_pgG{I*Ki@`%nU|8+Ot$IO=;@bXFf=1G(+|Nd*= zbHyl$QJ2}GU&7rln*jVv@FzH{in*EqE%my}Uv!mk@3TcW+mCrje!r8C$F9essuRTa zp)VZ<0k;U|s_63aEm0C7D@@QTZ=JgYJ=u8v>!YM2%%|_DQ}L1y~vqmX_AD0`S5BtHDVyf%|YwzelbYlN>(qBb)i6IK^Gb#7uU;Kjc*MfEL z{z7PGn53&#@-8eKqFdmlzA{s)5I?q-^Q=V0tc>hk-}3#pkP#un>2%47yIu|r(l6~l zDMd5&6rW0#H;uN|D>odvkbUblpXrTmv0!MWbEeP!$kaCUmE*jUZ9CaHh-Uh##3{F4 z@!!)dFb!rZ27{oG$ODdaD&d z-iV8s1$6EG2FW9Jth?DE8~sAvNz=^!d`8a$X}M~}JVi%qE8!82k*z-sd;dVQ6)ZZF zN$2!6%1vTN`dfSFwPORQ>R|ilzTEA`RUyf<_~|p~iJY2+#FEfI%*x{34wmI=D+l)D z5pS!3SdA759#iL;8eRp%7&(Rb7WhK>uf@?U!z9(`k1(vIFV%h>z7*loe9;7sW-Tf3 zXo`x21j{vQ3>aG%GtIdFVY>4-#}lY|@H@oD7u-;hRR#>W9@j+JEf4pVOP6O;fO02S zn%y6mS@f4IeF~UD+l9jg!E#{1y%D%Mw57CtW%#?(ysm@n1~$vS5wUa_Bwg`>`&0ue zS@D5lOYQ98;0Sco^om=fN8^TwP$d4}%+^z<9(-xf%~~xRpM9Mk8Es7=%>bj3m|2{$}RNW*iwdqf8sz!WK7I6O5TH=J(C!bGa1|JQ37^7&kS-PrnZq{`yG zHA^{kebed38^I@so+lW_YW4`1f zxWvwO{@10b|4&IV`_8s;n6ihm>B&wC*Sr_lMV2Rad}jCah6)tQl8jKIKFtM0f!>dR zwPl+i_fM#^3>0%X3lvQ@x$7b-aTh=9v{n|#fu z40CzHz&s*^JaRKmwYT000L7Dm2`VKq+End58}LPnKB@X@`Q) z7-7Nu&*5t8C3xnSte85#ZY~ggr?dkdTme|7U%SvRZJkYIjGAwPd4?ssB_yPgbawi_ ztZNvkt^~!&w?vYn@dpIG1LBJ)Zb8qD{00AdI;4IM{C?>Hm-E;& zWk4(5oxzkiOaG7uCfQZ+y+U2PaEB~?^OA%j7LQ+Nf9Q_bX79Z z_T2#)mjRtXx`}4f)NV(e8ifg7elvYB*ayBL(qw}(0vmMeNCW7o%V&&8oEd};C1C7D zxpO$uTPorH*c>LSliT4<+`!{u%IU;B;Sa81K-hgW)9ilq=7N00)DTD%~rG)bWf zgJUb&>&owzdc(N0_0ESuf}&zbn-d51lM^Fq-i2l|0)AxFZ9WTij|4r}cXuFf_p;6S zj6^m2!1)td?PYBrVyVN+sILszbPvq{fLy41{hOxKu5-u zf7;5^hA!d1u`Q~kIDBSHvUCK%8NQJ(l!6O&_7)ns^cQ~GgJ%%_ZEc7cwvde*Neh5yv7ayWyCRK)n z{Mc()Z#4-qE20 zQ7re5>crJjXy-Q(4kANCzFkpX89ORP5`$OwgK&w0N0N?5|4nUHoa8k%Fxg>`D_p2U zZ0uZ*F35Z2D-jtwZ|)VmBV4>B-xZm8Y7?@Arq8OJH*y>!tc}7gmg@qy{otzAZPp=X z#cjieKlNGyJ6WMIJ;(*e%^yu^vw)>Lj!a_DoWGW(_96@(xwp@=3p;>+o%+c)4)A3i z6TNAuT^XY4&;gFekA8G`2nn=01E&$N-TI%#xa+DOb@?fLnq@kof9MtbY;v=;ns~d| zz@`vJvc=$ZT0Zi!G2b)$A!(|#FnP#Gb$A@!2s+7Ej8NjaaN> z%rxec42+R-w2$FE-f@D4jGd=L+gNRH0n_+w*0bcKz@Z9fFxkJcTB<}6A*S9lk_5qF z*{*br+VUY{CneT+R)@^_ou9JFh-@ytOYpR;A4^J0wF3*}wr0sebjV4DB>m2H=pRU! z0h%?^RFzh%uIC@EDV<04p6nS{SJE3|Qn~&ItuH%g9G{=Zu&)V*Pg2&sq@jCda7UMz z{|pKt4siPNz1Q>ktdZ`V27@ZTDqptB|_&3^giux3PC7*j6(OyH^Ad06R7=Vd>g|M3IO zt=i8n-%)HX^Mg|uGk9J3P@9>h9EGk3L8D^0Q^M2j%kXshko2zaw|Df-Sl0~;30{Hn} z8`bAsliT*tf^Ul!8bPWdC>&Y8>@5e`d^ zQnnad)f^?WBqooFo-9`w=O&icbx^m}+$#L&7kls_!T)UOY^G>sS=w+`K`6?|v)|eW z3yq=t{9HGd{foVLX-yo8(8BwW=^M6qFHK2&fpqETkBM>EM7OW`O_mvQ{Eh!jHKa3s z4pxz{$H$Tz)}YE1dG(n1F)|5A4bv+&^;d`Q26YF}UJpzAzdFr%GReQ(AaRgrq6?Yj zub_IK=i$T09StCf5+6gv=Jj`t_<@LaWzR@CCiZ-TB5up1En0IL;d}xyzomLea zBk<1ah1QbydE9m4oXdqKI5#}r4*bD{`R|lG4vdv4>CsDamFWdi1->XXu>NVl&o^SA zZ{pSZk~sVPr&R2-L|2xT>*#WI!u3xD$q>YgVAzA?Bg1k}o=@tb3HkC55$8ORal7Ak zsYxR4We!u@p9Vw8u^mQk``L?z*VUJQN_>2`|c!2`|9HNKuu2&qA-K49CA9aEP41yHjv@|!R+jpuQ zH6j~1I1XlhJU3SDp)1~9>8&VvJ+kfCA`BO_N~Ku@($!T^vRo8d|Jpqyt9FiO!tkp zdU^Y>tMjOeMKE@JK&0QC#trAjR1`D*o4s-VnlRj|PGkJ>R6nVO;k{%zl<;qR>VRJ? zwTt*Z^pIx|rje0mT9L_i)%tVpYhEN@C|fUqY6VQ|;lMdU;2oVUy@qcC;)V3oY~h;s zMCm}8YQWm;B=6s8xU?#5SR3{zolV~9YWVhae^cZ0RjoH+6QovjP}IuR-dhi+luRY= zv^d9%m(M77s)7d#OXlX4Qw$U6Cm2r{PYd@M7+XImm|1=Hth0AvlJ@NHcDDRfN>u^x zfdGm9d;B88&+r@+JpB052LsHhECxs4TBRGgk#E1bqt^OL`soeoZS~`OKgOBba%twG zp}u|^tdBITq(M9taa3OD0Gxv!o}ucOVOI_amD6I~EzXDiEDJeWSWbAtETE7&83&2K z2D^F#w@-{DP+XoIpW0r+b4B#bwl$Z&N(P{~Hv@%R7|hD5z9XL~dR8>~c|KeEYRh{K z%x6#VJDnF{RzuOs9FX9`nIg+yQU-`Tl;-XdmF@>t|1G0_g8@C)?gQJ|D882^g836W zA=?`Xb{^8-K|9%k39xx>JObeIFICE?F|~BCv&%!XzYcjNz3qn23L`6|tj%B9yK|;_ zJro+<>1Pf7HIo+jM*oXorm<=%3$dx=&ewb;zUJZ)Aue7vts1QG)uegRJAAT@P17f; zhof1;#pgx+jQ=KnW;-#^XZ0p69(=an7oxOo-(aVfU;?NS$reT*-+!lCXH-hO#Swx> zx?B(YCwMUcxKL5g)(E|oUOoB}<@E!T&1Q%_=S$xB1e!)B(xus?KsRknr`B+EM??(LXF5;#~ek%eQ4ditbSpq#h-ik8VcF4 zLn_2%=Q33S*-Tb7lq>5(AM+QHo^%_{wrqp&Q6Ee&Kv$&i!Tu4bI%+)?SfljW1p%$$EvQe+9 zyZHGm#!nZsSgW?4{rb~^rkvzhQaCQzibuTie|Uge?Y-CLQqoW>-+|?7@+jr zwo{yXgl7l|8BkqX5K4Nk@l=!Qn@|_MDs9aDv2V9H48XhfI*PZHjA1Sx0S&~;8Pab_zSyvfjvTv8z$~w(~e+sv7aaPaxYW#0{hmCRX z3IA}&6ABM`hFTmLF>$tdyGonb=7lFq#9 z0M9G8y)Y~^?Z=-Bw{6|73Z+mnT`wTSL|oQ%uTaNpA8Mq#;2p7 za+)>uPw$th>c5iH_7J-HQ68>uHShW*0m<64SEY-(WNG{Qk++H@cEI=9>inXfGsY-H z-$8WCW>Gu%LlC{)$3J5EwPk@r-qwg&Sd2Cbia0a>g?lVi%}B!uiZOR{^V_7mu>7K! zW_!6SBss~7%~Z&+lib<{Oj#{jJha}I^N*-whsX6Mo4?S@gCczT+Zi*(NwlZ0iR0#m zmIQjsS-8aK!~8w-9XuK+6JQ>iexv2g5`9~5p}iCU!)N?D@OsS#DP;=;!d|2+n>_}c zM1D9ht`9&QX=AsnAUl>=VX%-d{a%KEmN>_GzH{{wbp_do5*{3>S6^||b}{R16Ls%#<2Q9J1h&r~f|#pI=fzK;X&w}qp`H`3>D9zer~Y5N?2gA<0gCfMK* zCKWLCq;6>w%0Wj8xAfHPM4a(7XS)%F$NvKv_yt|4uqDGji{JXtS=%%{(+wS08zwjY zU@$UGdlrIU??3CT=RLL%iIsN0=2z*%pHDrGN!;0Rf*W}UD=YB1U6$Gr7`e&V^r8fH z%$)5dyjxtT&k5+AF=t$_8~F>%)xwc4;Qyvq!$*FY_mXqYw)BAx@zJ{ z?3tFnshxPPrL~R2VV3Y&{N%QR6xxjN3sNZ3U{#&GijE(89@;)khmXxsDavQe2vuaj z;-EW=yY$|~pJhiaB#i@^Ut{yoTl+NX`x%=62Y}2I0keUejZqxnew2(&i?C><83pf~xhshPN`))E+MjC@ z_;_DDh>LxK_roR6KM+}gAoxt|E1V>OU^nzE9u9!`tl@VFo+F$5in16`tO9%1TME#vZte|jY!kb5PJzPc%6 z(-1LD{5Nre>ROMfWU2ETesxMg7e`o`P^>oNc$HGwsB5i&lKgF`(ND~@>4A-WAM5M8 zeZo?Z(Wn-XwxK&=N8&9yv|=?HAk&vdtrfh25v{O_!hDggQ!Wk99p-oV&J*}~*Ic0E ztbfmB*5|th6U#QP+^Ey_z9@UZndA=~~FKB|^ zz>9;|Qp$#K$gr)@#t0;(*s@jF_{$F(=lZ2wXZS)ZoeZ-K$Un&)NfWa7%OJD)T}uMBc$hf-$68U!s;fLG>^Y3 zy8LCv{157tVN3R|k9J~Rd4Z@3?=;^qHzqpvgprOZ(ZGhMd03x zBW92J*Bph*733DD+sO8q=cP$XMzb>wTWD|YhsC)eNh9j&*UF?~C@txLjr`caxpt77 zd2Aa@(|Hitl)Hd1Q@!MUT|fh4Gm!Q-$Uj{YD#0e=WF-{>RX{e7-u7{VuI$EI1apy{ zuY1TOI5<|&e1U9*>>Jl5L!FMSNF(|;9pz{{ZfGm8+nMRmB3#7N;-6vd3>;EsC8{Bd zoK`w2jiTNauMGJtl!sr7oae5KFcc@VT zh^coUZ9(TzD`~^QCNw`xWPYPo7nGor&po%Q#oPX(Kd8&K zU4%&bPZ(IFivYOb!#S%LH^%2;aooe1O^a_>HEH7@@cwyE>qVO-MU2|9Ja1QxZ76ce zend#P3OLB-=Wd7UugU;x)r^>+n|oq>FxN&8ecP=vB3SfRs+8j#eDGbxmg+Rspa-7r za2?2>uZBG;eWp;%vn*(3*k;m2z)tC6M;G@4$oH;p|9P(#ihdNtrIxp(eaRLlPSZ9& zqO7G>2YgUYsyS2JX5~zMODfMI9IW+kd@l+2h^kG}7CRjp>Ybz$BwpF~1-uxf<$bry z8S-bymPjf=yqnNb#(s`aCowe1*;#XzIA$CX9@?v@^pwW>X;Q18Nx`N27~unEjb@4} zX*ykLKWO9{iRTV_y!UR&XbNEHT;6|r?=~X#EmhsNUbfuoM@t;WF#T16%ZieGI}6aF zuTY^`6z6~JUKM1d4&FSy9=Cj2yR_R$9Y_bHs>Izsqr&E6?j7>&2p=;lIMZI>9ZWKu z3iJ=-YbtJRBRz?Fd~LCI4BBN0Q~i()BYRx{=a$4%P%; zkq(-aK7;DH$z&fxg*ghh9?F_S;bHY>hlv?*+iK}&YHoMf9MPdRSTvO7RHq20%n7`pei(&>;+!m-3zvNW;@zi0Qt& zdFUqyqnut0+jUoL$0v%VFgYmt|zHIRpJc|FB9Zfy6xQZ8INrW9H<%*;n37XKIM}&cIe>|6gCe=4B@C1<`y30K4tcKk_W@0 z4=u{jOMkJ#d%cFcG>==oNOxIO_z4bS*DEZ3-+BF1Mi6P~#Jj0c7xG@Uh#z5fV5MhR=BVA$MAyVn6wkMg@qY3} zOy1--UOu_8wR4@{w_)D?x~?*?d9Urp-Le1eq=Oh%;T^(>#1VP zeeY}QaE+$Y-*63-nPacwiKf&5c`Gp#Ekvz<4UsV|F8m14JCC4snDuI^Paw(w`-_a7k zP}J)8+g{?L<>z6Vcw@MM9M@>p)D!sB^}|P_MW*Ucikq7=W6nqLD>Zre-`bzsJ(y?g z@^}rm5fnL4+XG9bcL+x-nK{yW&#Pd6dyAYFs|As*V6f@64$PmV=(cDU)g8ekm`R)8 zWXK;Fz-FvG+zs~9Z(!1}4x{Y=DY}Mz(pDb+5C6t@(6<+xG!6+Rc&rz_r?U`-oM_Ia zHLR6ZIx+Sqx{$O@h=K^nQ5DpV9;TxLu+{AdbiP+uT10*4~9ugIWz6>pkbI$%A1 z%u2mnZ{2I2rFOYgPFpivh|3+#j{)whK>Q6d(&b-HD+4zQamG|@~9 ze&DmoS_h}2cWj3fCM=3>TF2w@;zyP<|k3k;B}@1}IuiW0Cdcu1n| zW|iFoT+RUoG@(7Nv5@EWPRrp5p=9DUdU`=hfHJpStUvvi-&-m+Z7f;-_s`ko1wiFLFmYbH8g!}(FfXv7K;jvkAa=V@FHiM zf_S^Enxa=&e#)P3r>Zn}vzWM6uTtfjekw5yMOeZklB;&{yD2M|Xz1^vQyAIcOyPl7 zmO^XN?&H+}E`UnVgJ<2=1*agI%sN^Q02SpgBDt;qddcTa+mo<0rE1E!`J=AZ z?@f^gO<7)AWJO(%WV-Vr+#ZIe^d35<##J-f_1G-f zV}ZRQ^6uUlsqSnauLk;H4-LMx&P00@oD7rePFl^P=P4HUzkoo&7j6}wM>ke#Tm*w0 zTz$V;ixJh&{<)hh#Al2+KcjKsK6pq2+?KvFY`$>gNZrF>h#)n=n(Jobu+EfS*bZgV ze3CwgR7zMb0w95&o>6A;rGp(Jixs?+Xx6LD%woNZMp;pRo*n6PFe!6U{Yoy12r{bXBY#g6sAoO+bV@ zb{+o$U+Uvl_+JVf2aBZ;e>$uWS)gNi2@K#WN(Q~<+1gkBKrhInKwW0e#%^L~v zDRHE5l>fBX1NQpZ?}EvT;E;l#G`Ho3(;#6*b(@rUpuv@Qtq0RIBR#nE1x{{_G6u^> znwJc4UUFb(cDkt+`e`)NVD^lh$*aj9JPo0KNi)n+IRK!fG`U0s1gjKOv2n)x9h?u!6{K@(Ti34fBupfSFh1ntYS995J%dER1zoAy_CF>hb=?Z1|KP_=Ep zFNcG0@YvI28KPNx9}55%MR2Txq{hbofyksju3=`rgr?XzuM zr{hk8gxjSQKRkW9!FO>Afo%yXHkCz!+UWiaC#Uc0XtGy9oXo01wZqzuX!zpQ_5)c8 zTd#h79ZQ=IF~A3ST^E|4pNv*-mFkymHD}6xblnVFX5lOu5>5*8H=?9q4^j@6tZK6i zuMO-GGt8?9k|9&$2ln4bBKfy+E~U1hXN!7m7MCb!0ia=6y+&lv z83Hi<6aa{b@uRm9h^9W@-_QG3G$z+rQxJ2*nB0){Uns&FvJLoJD?j;nlFDx@|tl51nOux2Sx zZTylj$ZDI6TLg&vhWgw8Sgc`@O^$Dv%5r)ao-ClLb=|Uk*iG9;tHyRsZ!yzDC2kkV+d9+{pQmy?YHqJaq#uV&SOcvTBG28e0@G z`7hnGz8=!|5nInr?=m}1|A7Q>kZWY}>B9B+Z?WTBB&XfFM5=c+SA?(fE(Ab0b{J`R zOw^$ikrsV*Tq~p*CZr^Od7#RU|NqW9jB(yl6(i+(iUm)wH%ciPP!I@KI|67gPg z%ZsNPCvrp%gTRPhi1)##R2ib^;Zk%hlcU92H!*UOS*BrroAnF|R79nJSc)s%Qbm=L z3hL_$rzQ$=Qf%aL(RZJOQXHp{FXSG-dH`DJ}Z$B`CpTcsHqTtm}z<`!-t5aaQYJ z4X^O-yBZ52Fbuu>^m$p(ZTrQ#y!ho)pB~% zD6l-wAMIpG)H5B0{5~-FXk@c*RBeyxbb4oa*s0Cy57>FA<^Yei;1%r)!Z^gMKNS~QbDeqzs5xS>m21&Azcr48vg=Lh&55KCga-dP` zkN=uq%{5U_|DG!yk5qz~yNcnq*p+w7z+`5hVD2RmM!dJy^{M6y!-(T?9uxe8n$<-r zZ$<&P%NmpCYDD`~=Ylw5W4#YoYxN89kX@BIfahf&H@%k$Z%0%!*ap~H3Ojt|Vwa!f zklwnk?B8a+0uQf5`~%s1T)S-}pTgaB5{nb~wc?KqL?cr~9;yq@n^7X}3k+dGI<{-} z$ZIi6dz_2MD3wptrLig|{9Qks=0FR|M0e6rYfP)rqEQ6TM{= zR+|M+Q;BO3#Mbo@yz2~{`?D8yyea`JqOh~&kk$`SZNNY=nZLR5z$$tT10^CO9hYuGr)4Vt( z7Qn%^tdc3(rSF)Tu6XlMV4ib=5mn*UZB|zU3fEU5*x}9YV6c;5@z#ssCs+OSJj$j) zk$s|9B1BIGvBG@mk{tg|#wONNfP$7wB=4OhFb%gf#QTC(JxapKZP}u)4c{s2(gI2H ze<=fxOqcQ2fVT_C=#I&|{q{gWUI)efa+-yD@Mx6h{M7lJb!T}7GsbCdb%ZN@TmD%( zNm4gcM?b{83cH@NzP{MQb5P7D+DBq#?S^o0$d<@JL zSUi-rXET@nrV4~G2#1Fvo?SXle!u++D8nbO{B&q~t)Ff))M}hIrkN7*CDQg30aaixo z2i72-^OB{D&%I;Z-dIJIO#Mj`OnN`jQ(Vt28;5Oj`C_&j!u8}QYL}b6Q%- zma7*`GIFjS=`Y7_K|{k;L{+BN^MTA(QOgnUF*khRfV)~sBN}(IgKUHcssYRbaG7l? zIZVI~l|*03!rrVMF*7SkyzoX-%m_sZ2SUK3lBwTeLcs$k2SW`03)LQ|z*bj7wIz zfMq0(TOS?FpXdynlHquyQ~hsd+H8z$1^+Dfx4iI8$Jyk{Pp)erSZ#bhbf5F5ffW3Y zxkI^x*CSODts)ViQ|uaxEz0I_#$J?3i46=nV`inh4@S2lDvMYno+aT~A??okPgE8X zI>olNZUXeK%@;0d;|l-HPXC?O$m&Lb@?xC!)y$%(!BVr^IyoztiK1PO>kwTfgVvy6 z^vo3H#(!RQ70bY9@Rm=CBLzMxQBfvlYZ{TI-&Y(Jfm%q9elKG9*C@@3 zNZ(Dg&#}%&j6vx4n}kNCCsb}3s&sHGGeyyewUo?wD!;&Qd9(Wdl(pyIAJF1Y)ci3i z`Y7VLC6W4J5T!{_nR9(stbz8^cRZg(xB{}X6Lclzo{p@1fp@p2vhJL;a2{4EccgDvJ4!AWSax>Cd-;<^Xe|qn%5?3I2AvhY^w~`qkq>h%eIX%9VdUd>Mf_vL=%)hpUzGmZ4uSDF2&S z2wT+rf(KS}Y}`8D!cQO^cVcX zUv^#T3i2kQZgEzzF2#@T!IN+<)uT(jZ)4&8&}ybH`FnG`fO9NDi(ik)4DWC z-9xLm6lWuv(K`%)5 zpo8y7g8~50qnY&go9d8fFTPOs>k<^wjQ0+PhX1iR zsG!`Ko*XNE-CO{S(}x`u9}Zw0YkkWz`?P4d>dw;x>cQGPuY7#2AAQH0OS32ZUhXW= z+cZLNRiT}b$Wk!;q}x9>t`brr$6DQ_>Y-wX<7VYzUzN-FmfsR_+tN$0*RSeg`CH-J zZS+Ir?`Q+iDpBwQE&$UeYd+R+SmKPbu90)yQ^PUCY5Jl*z&9d*A#*MHtV=rgl(CSq4xn$0I)o-@YEe@}guMUkJi6b1gyEd_qVTTD#6%$HKzg3lo?ULRxi+0%n=;fRaba_T|5 ztQOzV2U+qi|Erx9K&;=BK(d_lkZkeeB@C*k9}7Y>?c zwC`}g(&!~Kn$H%;XYq;RPOW#A_V@Li(eFAJ%O^%-7cBHl97^w86t*%O?92J660%Czgvv<{e>;@u0WN%PXx*l4~bg27MgX}bG z#y1nVD@&*1BV4Q6b1)KqRSuj}e?4!6-HnLFVF)mQ9vjj$3h}3#u&#s;`yD8Gn``^^ zn$vkW_VKNP`zcseraJ9}Zgw(8Lg)*VFG$4;lM&%<$Dn=30~?%<#6%VRS(?4{ymF5@ zOL6M8VEu9=2_0f=B@}q?uAVCRi_>ap=EcOWCl_qCAM$iS4}FT6$J;QpXAp+U^LPa%KN_ zRW7@2bHbknUOAYfyEn%EEw4nfu)pI%QcoPehEEr~sa~VLDVdv1P-1WQ5cqa8`cWXS zK$6daaT^j~YPsr4!o)Qxx-8*0a5Il!gb{>8Ps@bFnyhhtXopCk<#=jwkxLo;p7o{C zJEG}z7w_Q@Q^hilnbN!sfC3(Gt?xHtZEH@A{%@eGk_$&*5eIwjFO|E|#4Tg6P=Ia? zsErl8G&(`2oL(9DraYHYqJ&w4 z_&W~ujKytvh^GC=PTjY6XJ9f`|4%`E!)CrXP12N13_N zst?qutlok4z5@8$RENY%9i0qR3H++xNM0&OhgF-100Fjb=Sde zo8P5_@_#Sv79w&12i1G#t#y+R|{OX4eL zqcLYLyTyno%cyEaSh@ToA5jj+EhsT$lC3tKax3wt)%S(}7UW3x>_67#G5^I6FQNO2 z#>|2jGH=K1r%VMU!`sB_RK>yH-wg*?>@key8$4LZIi*^JUF80ofU~V}f_#rA>WsV> zHq5(;z76*Wv~I>bD7&!~x0iW25@s}0Fm6!3PT>xnLd;Qm+3}=)FX=l}@TmZb^onPH zm=OFO(X_~8ci~#gWP;etZVrZ}vcFDMV3`Q|=#9jXrz(Er_Hn3yvvn-&ymBs7B~R;S z;9)&74_RAQ*_APC*@NK{cLYgyO>{L9RPt)Z@MZMt9%D0sRJ9Dt4I3Op%y~L+b7kT- zKMDkA7~nCSC7@c#lz$`UG$)Gdr_$#Gb|J?i5tqihFGl5Eu4AcKz=-^Hex#ax)6lDl`m<;g3N*c0KRFsVu%Y?;qNL+O&)l1K(q zdU3Hx!A12|1CEdFVaU{Q<%=Bu&R@^rs(M{u^Urij#~|@bjHy(IX>bT7}s0l)ZSzom&4* zccw?#$gJl;2BZ72?vkU1AiXt%-=erB!Smzo6rM##htd>Z$G$+2g9{dlinlcE?F)Vn z--e`Q7aX>^${ek;D8u&6YIfOwqu%K}eI4dA>sYDXLR{}y9MAA%-UT_6RO`S)auw~P zaY0bCtNJ&uW5{l^qqD!9FaC0K!+9jRjg(P~T;cReOYAN=;*#CCQ%h}KSNV2&=-ONP zDgIrbkMv!lf6sX~4}Z3OV5}ayddnXn_Slxc(QcMA@4v;_sAQ1HWE+p#^&`(Q&3&ej zn^p*dU{`G+n3xYjw}&*?ez~x^$kZHf_b{2)6qms(Tf0W=YN<%>DiWiR^Q1m@EOF5o zm~-|&h!rPUtIx=*QftQeTz61asQr02URUnVnIz|I%%IER&Iz@qpeR<#EkCM}qEQ{6 z=!)|k`%?G9mc^t(!*}YxB9173iyO%{F7$1>A9N+PN6&%aT#n(()<0(~ZbHk6K(Cy@Tx1$tlPB~SK< zH0*QqzYaf4jzO)3`RqqO7H5C+eIa~3mS0f(6HNqE9TbQ4Sy&WqlaHRPgEL;xK>y!)? zzA*XnNVd(C05hAX$-*?Qv**@IUu?IrfRqM@*zfF8fgDY5J_)6 z=#jglat6(lP?vpJ8*@93*C->)di;45OZS_~#xCfi*ZaE`IY9vDJnHwDpqpBq@V_(^UH^6OfaKR!ye3b4)p3FO+ zm`HO5o1wqTbxIya1m=kFxcz-op&YKWxfyi_AZ&+YHw+x;BnYU@(GRRSmat>4NIX}6 zse~OLcozdnIzRmJ>G^mE>kjc$_6aH4fT?p8Wib9Jt=WXty-Fn9jQFEdErOWp1WtG$ z%_sls4W`OIlP7dxvq)#p-QQDWqD#5B4ZDI+mAdJfZp>2%u(1ULOs+Q?|LxVl;E!vm zZYR6$2m#}1%IxrfX~c}a(lQ3T1r<9IgL9Xj%hW8WIU1N#ir=&X(KzVC$|Xy?mDZig z*h~7n{G1L;66kvm9{S>@yJ$^9k8(%;14f!)DY+pgOJ&g6d7({p&}0G_%=!LNEecO+ zk671H1zKFEDxRet6$;7xR#uXlR(@ltRbAqa%TTF6b^prYj$8VBC*j?wa^pl$9L%Ma zN`rT1a(PZ2zc6T>Os&Ke)z{`dC}J2>o5S4OXTlb=cKEqSghkpxxW%n{ooTeH9LI%x zGz1A&$;2C=aPS6E1@%`knY@u*tx`A1gbUZ-XwKAjs?~|U>@!eqS{jMg$`(>dlz9Hs*o=ZFLOi}ymtjNha_GcX+d5wBgzP=42AGfDS=%ja3q^O(*NC^4&} z%<4l+7U(F@G-OgWS@gAZ$v<^G4c5U!I19>Jv1pxW;-l2iWZ2#K-HN$}{0To;Y?}VV zul@jCxDfv(`Qc!`FT0yrE#kAl0T8Ik)_~#IrlIpleaE^OJ&xG1wKI-c-=z5V?~yLs zzB-Gc@D?Zx-&8?-f2nw$ZQlo~gVF>JP5my8PCOIyCT0kQ!T?Z9bEl`V$|jGjP!I?> zE&@)(fsB@LTPZ4u1QBK?2O^NCXW0Rk^lwLGb&dKcQ0wG}azI zP+23VjGSNo*RjoG4mGC0gtx-7wnAk7rr=Fijh_z8{1v5e1EI}E6 z3O8NW=@4r*(G*gG{Z3)qC`#Uy)v>HqR7kLI%t8_>!@IzZ9aujN$c2E11!aD8fd5^xLAm!nKfTjZgNcT*HtXuZpj;U~Ff7}2sybuV-#KfT>SNbz;&sw+Zu zGova2CPgdlaH(a=1kYcdS~`HwBx(QOeXAB6gu);Z1G8c+&X1;AbD62gQg(Ykj65p& z#JsJOzoY(=O|i(q>p?Gm!R6i_&mAeqosa1{&8>^<31Bu5A&>5xH(e30HvP*U@KI}- z-IK75p4>}x-f5}Xh>JNZ|F$yCDOU>Zb0rd#sau8fpQvHeB1`cy`c-eWT_y3s$T;-W zanpWAx7bF#Pub4L+p$?PMN3*Jj5#NCu@7ir#)->BR+Rzr zW^a;u{IDivs*X5O2XQCsQcj9s`^|ra)gW;wYyWPP@!8gdj#7onJj3_;4N%FTQH5q6 zpX0pZ32vQprFn%x+UZ4p2KIJ*BdnI0^6_kwG)N+)w9F z=Qq?bjUhE08JGFoCHDpzhIugT4hspSy!p{hapP=}Yb|w+l?F=Wxd03_^^wQ`^JaUL z$z_JRa@kj{s-*yP(6IdzT`&y>AGB>IG;V|kVy>qwxWC)d2#H@!*SJef&^e5?B&Ef{ z0891j>)Y57(?U)Nc*Jq!!D~#|g34%{&xHCwz1JH4V4>??>{~1$v~LVnWZ6#27xrId zuHf2zpu4Yj5|^CkU4($V#R_e?4-PlwT#>|V@OHOzH=-_-RBd7dhPT1;tBSL+4WVwHBHws zUVFX-JKiUTjT-CC96bMf%*eEvvs1($DVkA=*u_!agRlvIG>-Z3GA@iqW?Hzb?aSuU z?es6k9!p+Uy;$iDLHM_oyjgR9{k0*x#If*D5%YvNA3$^dE1dBv;(A|+ zBM4cV&D06quo_r4I;Su4YQ@gb81=EXoQ(qRQN+>>oQ1KdNI93o!#&neTxi zVQ8e!+f&+Jzeh5P!SVxJpkKhaBf>XL$)M?W;-uwEZrvO;`V%dc=~?OJdn0!#U%1#W zKG(MS!)0p!cGJp%hf%Nt2mS9!A?ZzcZp^lc37Oc_ze-={6B=+e*?(28mua444E?=h?T{Q=-5J$7%+MBeFayKt8|Et2l; zFAhw!20?&{WFLw?*Pr4PA^o+}n5lAN`0tNo8eTq&KTEh-*7Ybl>5;54#V+NGkpmzY zORAV!*1{xTz&+o8Z@|+ZZ>?#%8NVYgA7ⅅpgx8Rzq0Arg2hQqqSbMP}lh(^g1nUFGyupB*o~!(lAmura`r7Az8CaxGdqhg1c^ z@iRxGwf$Ma0WMkSoQyDx3)lR>!=wyHEbf(_--ij8{z#WxS2-@A9S?0kfaI0JxQ$5a z50X}2p2DRrh+RG1qF#IDjQX!TGH{(_B&hK|N~?Ysug)2j%+@B`mrkeBBM##fqi|P3 ze0>MN^lTEH&=wh45bNNR=;Aj`qs%<1Cye6%@k?*8<)~nupK$)iqB-1g!>1H${bys& zG8o3Ql3h4tBf4JKn>4aE;8e8RaybbW{ zp*(P#%%c)F3)1(?byu0UW5+q0iFbX}TjNG3L`kcEw1lm~dcEtlRWo*7wyOw7*`F}A zyQ-Flcgy@Y-6|W9WY9qCY|xEH2rW_m^<|sZDPUyxyV|4r`DLHZJN)(0W*|@EJbX$= z7*f2dB7s9@Ec5q$Q2(Y`@Z;pg{)Qyn1xh$`x>-E2k+HQAx;@ab@zFQrke2FBxV_#p zVFFeW)#HLe5B_cB?f6lhu!Nnxi#*m;FJyE{lSv8^V(y^Y!nr)<%QZaf%8ci{llm}P zP+zBbi3VLTljm>~`lC5Ki9e~U@{A)OKWH2>dOF{7zy#iaZPx8q zNF@n0?#q0l_QuUh8}mJ#5pH=0C^f682MTvCbZ7I-gEeGMp71b%#g4@cQY&_|&!{K$ zJPfo$ut0-$@Nk2fyg=!56<}#Z#HH&&}m;X3wHNgLUjn|IV zu8EmDEyD-uM!5MVX)>0j+ybA!H#Er%Z#nG9g(5yHaapwyuwsiUMt0UVG`x}&cd{jS z!h6@|&R9ChDK-ZFTZ7MPRB`Oh|?MMBv`RyT?3^zEKWM-37X1J=*9;X(7_ODzl|^yB0FTvwTE< z(wHr@XUduj6_iMcLr)*oPY_!l8LDsRZCEbxjTNwohH{|+E=h5i@cx1;Kk10k~n?6dPg zd0P-I*d1b<#I=rIiU63ip9Z5D8<}CEA)As2gdEeTsB{lQWfG;`?w|ALU(s zkNbfHApFU&XaYVY%7BTvc$ol@X>U2GK7^=nxiqmTAu()@!^Y`yDwax`+G7*K8B(YYM)^nxZBR#VbcbsZ0oqt_oog?v-MwG_>ZwSw z1Wp(sB$%IjficFqF7lN+Vop-YRb-$dxAU<^l8Drv;$}&Il-aoG#-!-mP($2nDi_SG zc+t*<(8{Ruy^2^P#&s!lSF3T{hnm7s!@H^)o;GZd2JwbCb3vU*=Gcp z(A`O5;13Dbpj6$~oqFx5L9zflun!OE06Mj()Vyc_*+vX@-4WU070dxktv6LjFQ+Gs zxw5+TDXFl}#POgosGwo~uZ0*J%9LMWmPWp8B0;^RlBSB@5EQKvg=p*#SO?ZhxwnxM zh@;Tv)+15wxDz!3){na86lqfjV-7bxR>}8wV|u|7a+@ub5Q`j`L8r;F9e2L{JS zD0W4y)5eQO;#6pF?WM_?wPc&OHA@#c24NF`SA0g8ggH4Cp;MK?>|E)Z&E z1i>IjA@1~DOLSvoDUuuj;`LP8&!S;mfP8{_LhzEYJs<&RT%Ln0`T6(TYPoDU#YDTB zz)bOBwM+wFH_-7NQ9WpSshtB33eGtZ`}hi=dw(68Ybez!(s+}D-PqzGUZo@XVK*np{+Q$Xq z7Pw>`tXB?WhC*g>#AA{%Fi&_`=V*XKSe2*qs+_81AlHMPJXW0p0bDF}@rxPc*;3(Z ztcIh+8=H7~1U)$f{XNv5m8ry{s5Cv{$9zwQmFth5BaCP1(3c0CL(Uu-ZIQn2>&Qf% z3lrtru_KFG*3y9UgKzN`GBYIe;0#U9ZF7_SCogNyCqQkky@U zCj>X`iD5?JDq!TxlcSfkYbo zL+U)p`S%8)cdWsJBhtib-adl&An=U%yuof0BScC5`Ygxlx+uR4fsWB>pWpRF-E?Q$ zPpcn4Tp2y({vBwxN%it-PPx6QPh58}1Tw`(bx(8ccvw|MOIaB=hA5k4>ei*155#x` zloO*1nfp(%q9I5;K^lt9S2x07>d|tlP0-sF1t1>nim+#X3-4x~->A}xb=lzE>;1yb z^)@+Xk!hF;l5F!-t!X`TLw(^~C6DL5E^vv{rbmG;8xMym$iFGbSgZ6`(3$M`6^pgv*+UiH#au+M8H1kr*YnXZ}y zNqu#4a(qlgJ+IE7?!(u#daFkvRA$`EYNWIzciGa+6c{!pYA4l1KxI zapmvcjF@IS&cJ=OdKBCDoaqq#EvmA=5XrL6JPNxhYWUG5aYny=LDq5CMFQswtbo+m z8bA#>nVA`cQ|(0?h-H&0xcBhx>aikmV}E&NYswj>)hcf!rcZiH=!S>=*l%Y~WcQj( zKz&He^9gmKTA-7|>&qWvnC?RByZXt04btr%R^b{LzN)XBRaoXip86R24+V#o!IHk? zZ@rKj?#p9UE2_@iKI?=bW}e|iKGoP0BIM0(YMV5jNu*efOh~oX2NtWQer}g765TET zCp1lsNly{FcLN8Y`x*vrUyr5w_U3_sAmKgkyh#GXW{+_5J@AvmmsZ8S&c6MV`qKfolw%3php;7KOHCG2Wn?7S; zin!)doAN$SlNYfR*;|>V@9{g~L^wJqs!+vq~kD6>93wrAoN)Ws|L z_E^T8n1NPfMnM0?$KR35c0(PKQSwVyn|T%_OH7D4vNoY%MGXl7rJduF?CsV0G^ey^ z$|Xod`UiHmN1-RKs(o&=GIeU=4I+1qhXG%E{rehiQ#a*3FbWf~Ek*bHSlyzBrmxWS z#bWx^Q^y^3*RhB{QEz_HX<*&fla-=qCQ5T}L3+hyK|!O?iigd=_q$E+BiIz?ZFx9O z{xJj>*e-HorpuN}c*401`5PHVh(w0gshocysYs>0knx=yO_H+2OPs(2 z@^@3NY%ffTa72v%v5onb;B*JZ8a`7Z+dY}Mus_K|#;bvHNpZZ7J0p>Sd|~Q~P4F~; z2MR0uey8f1ea7f=4}2odO61UnGXFG0QxLZ|oh%$MC+rE~unyS;yI?I(9~C9Mgrlx8%~|kNS5b?g(~5*jzOC{?3)yz@? z1)RL|Vb}uW$`8Db_wPAb0;`pAsoJWYMhQ9wQ}2G)XB5gSaT1_aaAO&)wF)GkX|AT! zMlJ;O(+TwlA3;tSUEoJ<4X1{bPU`o$^`^Q=Xj}lM;QNN^p zXJEsZoK&t17Ta8Su5yTsn~sqQvB7fF>}Q-F<<4`xxKw14f^*X24qdu+lX$HMnMzY0 z*Z7AjAt;5GBBoki`D=`Nn@!&NFpUK-8ED&U{Jmgl%@l#A8@@Ek#C_u1=Mub-N%--~ z);ftZS`|Vz<1o-(pw6A-ho!)~>gOc)Ia+jMHRXGCyv8vI=Qoc?MR#q%LL3~ZXrZ4GnpMV1^ERlP zr6bf#dCu3312UZ&C4(A@T+3E@22Gv3wl zkVaHFvD$Vib3fVe_YJ~*oE^i7enPF?Bo^NG`$kpltF2~|cgJXh@s1ONg=*BGddGbo zBh;#w7&gqbtR|f1h?4BbD$PZ+?}OCmLsfAC+o?1BD?+vjpQ&H5^yl`>U%IW7bGeOB zZl@S##c|*>5_ZNtjWH9xOTYzIa#>;;Pov77DleIdmMgc=8($0ae3yxES@ks>S?4Y4 z&6&zEQ2i!Nb>X{5rV5HB0lF@I3AgW_Dy{51+5Aiw&v{yqv>^V@C~<01ne>+hD9v>? zRk5ZkD=`pN{a4z})Gju*M497>DkqB%@Yx*GiIUY_89LG^g_&=?a%>r<{B8OsQHdN( zzV2FK2<)wV-fxwE+bEmh{(YAdj>37ClN!_M9yTS~DQ3t_e*iX=&V_}2I9bDZ4Wt*9 zA;^w$21izL8cu!i8MH`w@cGTsv5L8@#AA9!_F7+z-^5;@+f~NdLsw=dYwx@TQk*}p zAYf^n|7t;^YbQI-MmNm;l-2uxdJFR8v zC_u%{HoP8F=7jP{HZf;&P!veraa&2N4P!SKQLqFkvggvt@C@%xo-!CcQMW5;KZlJW zrrbk3D|!9!j@&;Q6!J9|HZWRlA4FGWPSClneVPA!fxucK=AUTFyBMw$p>Fah;0hj- zFyhuiocig|<`s~MoIP`lxo%JCkTu@)D5yWt;k+2mgF3u(HD z{r(?O>w^XDrqdLM*4&Xa`RZ$#v^$mE)xkcSb<>8MP(Be1<=N)=cmDT9p*~1GCqMuy z69#)@t>o0P=q$V0h~t%1)-)orXboXvXs!)sOvrwmUQfRf)4u~H4RxVStehK$PHC?~ zhtuOYj3ZDLH*qh~7P?Aq={E)JH~^#3Q}1%-j**SWXhpr1cTZc;g1#SpTXPrY_8q$3 z1A_Ed9MTeR&nmyIClG4eN6M<~8=1d~9H9`aH2OS}*KW>&%9tv07k2e#-r-fy#i-P`Y`nre{ zcJHnELZW=VVld9K;%?|C4RV{n9rjFwNEtR-Sn$bQ1QVt9d(X?%`vqw?wJP5ASCXX@ z?*LZA{wm_v5$b4vU+Kpk((MuZor7`lJK3LCac8hRGSbsdteU1(CLrAogcAEfb`cBeNexohyM5b*-ac-da$M&!xtY8V%XuG>%iq2>z3nqHJg46VJd zhUJ?p#(saU=rL+Ky{jWmj-fVG5aIWbXF|I{tI#x>XBv*i5|0%z9z#1^8$ncYX{%~O6;lx&AuP3** zp7T=~Q$L{fq#jJd^n{5$L0$C?e|+vt`0XU_7F1bQsrYh$sRIH;zTX){vT^D#QQ~FQ ze#5orYbHH-irLwMs8NilJE~BKUP-p`f6J&(@4He{eZLHw_anCIw^Zi@m*saH^u|k< zzlu5(xCj%|E2(-s9&X55&s~fskOOatq{>#8brl(L_QPZaWIbeTOyAs2b;PMQ9M{(` z{XIzUebY4VTvjljY=NVE?ad!6?bx~S^c1o@h*u7pLAD=|2SLfR3Vk?dAmfglG$aO-(Y>@v+nNv5Trt4+)e=@DUCRFCMbQ(~a36 z)u+q|RJnNT4E#sgUn7)_HLD8|f(n@d{M<`VZ$TyDBJ2#!Sm6w)6kY$YP+1e#u__l% zD?NRWYrh0|d4CACd`nzp4?-ouT80|UzNV zeafECtmYmd4QJSNn#g=yZmy^Pv0#iHj$}IItfH0V#c~tYx!rqz>iM(4JvQyP^5zsX z1&N$czbYQDx-tG(3_b!ZN{JJ=_HpirD*ykpBTvR3{B#UeI82Dffw78jl1PpY34k7` z{_Q`8KMV{bB(s~CmWm(S4BlUi>vO14qxsTdzG|4Rf85d*IfHxJtI~NrKdekFP6+Zx zM&O#&zr|F(6(m^@^-}L>l6432?ovL8B;VLIjXk>2rn3qIiWLkq#wiV8KNo#7?i;JE zNa#3_oQZdt8SJC0Q%iVn%2tQ}?)Dhzxxp(LgG`20Dsebs5EBdJGqGZ7{F|Wh9uua14O>dLr zoYyPGyoSn`3SVn4ppaj6doGXb1LfFhVnR@xEx%UqbcVo7e5#@)`s0yZjIfIBhm0py z8;l8ZqswPJG6@L>!F}!xY4Ex!eny{kt>5@V4fewn<2b zrwS1Io{yZM88|B*@&!u3IU19>1^>47Ga%Z=Vw;}QBv42!hP~C1mm*6WPCpc`v3lb= zha*4VjC=orA26gQPlb!05t4NyVuHCkWKJ*tdmMF-jUF71J1d>E9&cfM@X)vNvZH^l z163}|7ks|5z)bpOFc`5_Hc?cGj_76i%Ht;<%jgz2B62mG_9s)macH2*_Xe2szM1}t zdG=n-{Ja1x_VuM?xB*o|u=0DCX*HF!%`Q0l7Np@ga*-pT`9@XbcIw!Q4x}O2>TI>U zt$r;s5HtRHwE=1V%D3ic+wxeN5fMe;JsMQ7ChKKF0VI1B#+Gwy*LS-~#cNro#)d3W~(1Vk39i?TZ{OasXGKtbj-N7{!^ z|FJc(57sq^bg=x8^Tg22?wY%&wxJ7bgye-{l~#$|&<@O~>bMIdO5*${i4py^(*rt> z=UUOp(kF5>f7jw_sXYrgtM!eXv z<(_KJB-9Pef7U5LfoC)GSX7g*x#sWfiVMWVwx-V?>gP_`#9S~-9Mg#vw4TW`74F$C znO8k!!r9G~aGyt`e!B%rOY_^{F8IXN=K!6TcF*2G&g6LH1ZtSbCEEwYKQflPk) zk720!unc~@b9u*Wh1D#vELwO!kXZIV-<=2gaxvKCaUz8vb7`XZxA52sEJ8(bfG-p| z8M5U7z|!ezl)f2)gZOTmE*K`&uIsJ1O_E=3bO;;2(6QmW1@X!x@CrSSMq%g9#m~sLRkL(+1`EgpgF;+`z|M#r|`;f*f3!|du#GfOhSQpQn>;d z244tt-4Lcv(h^A{d(F+;A61#;YgE(AJ|l8WIWi1!uKP?ellj%J=WYZ+C~~FETWvu^ zg5j;&w^*r#cgCCoZ97iyMrMk~mdxJG+PgVfTznMN-%`$Vo5?ME@?o?*B=VgPP=atK zO=aFNv-fF7Gnt4DNHPDaeMNxgAIN^bZr3bXGU2`ht?IlA%%Yqtf?IdPpqzOw%Rfq? z&tYv+XX84! zJWunT?{&!hp$DP#5CQR4inJ`uxR9R_5}>SAEta4ucdoHiFrdw1JBFN4?l?Ggg%)UminTG{(ghNf>7q$r zNBDn-P0lo=qk}V50ecXFMh2O?OWSSC1HVqFYx&inm(ylBbS@@mFgWkqE>F~$iu zDD-fYpc!{jq4}GkT(l=9=^YXiagJ2YQxH6XR^Ec_(^eiP4Nj)WykBu8fy|OwPY|_XqGV5%$>f0zqR_kbvGH&S_W^sMM{gO-WjoI`o+t4B6}@g z`j9&mV$O?CU!fbZAEw(BQ}MtZXmV%$wq;;+UcVFO)D2eI_hld3;?n z%UV>{S#4PW-rk-~4M2`6&Mu1OSLiJP>)2K!83kuF7B_=|C$x^^>TK^FMU!Vp zT%V@7V^4!(; z{6WC;2tJNOc^rtusPFjj6!fMFHc_sk9L4_y44*LjG4)_F&!)kn`bMdsG);hd%Ih2h*^sGjHA>l)`ME!8J{3z}$zfH!o93#c1nq>K z7w&&M$$!E1C=hvka(Z5&ki_TAIa*X7emk=<`|?C|zFaGsnqmn#tWO<3f?Z%j2F>a)Uob=Jl|K;7H2Uj+&+{)eCPX?`4H>)+<{!wHMLeR8`Bn@k z_rY$xGYX7m=$)fqud$d3RT@&f9mCB? z2?vKh$KdOEk2*>o^6*HZ$Y+O@5bqA`UBX+{=FCa7r_OR|B1;u0{Vs-~uUzZv6`neT zJajwYQxA%d&B@d@R?|T>rHM8#$?4UD@Y#1VYldviy3~;C z1nnc5&rw~4!yIinZmu+Egf2{_SnFH|_W5R7lo1KTp_eMFv=X{r4cP`;Ft~GfgR5Kb zOE*ZABOeFf2nod`@j{uTX?S@aE1gDjHR|gutt7Q7@uRxdYC2+6oc5R4BGIvfGWhMp zkd{2w2{}yjtz2W_(tBiZ6h5|DGvzZx6)^39Rc)L4^wTC-^#@Ig4GHN&PMe1U<@;Fa zFP;&bHVX6RpiXSE#`BA-NvV3mV4+^S$K}D0EK7pz7naBY_|%c^{dEC9`U4=WJ()jF z+tR@W-c~sZx7`I&-^0s44opmam9d2d|8bz@7efwp7SZX0o_?g2y!rxvyoS|2Gt8+V za?cO-eoNd}{z58GQVi{%3 z%U?GbR!9eDE-sDOuA7S1JC}AG@%))x z%+oS+VEKEm5`Uq#*sot9ICWrryuaKJy{IrG+u&8w%xnT>9RgV>#insw*xFWk8t3>sIEoWPoE4@=CH%??IGA(e2>AVE= z-%~o!u^f&JuR3^@swVUMG(nGz#Z`)Cl74{QhRw2r_*+n{`n#M8z8!^EMF0f<)LGaJ ztoo7KOu<;aLlN@zqGpb2*GM8PTe^E#x)c7i6q(4w9_d4O@zyaT_`rI=^)Q-9`Sp}N z%l#oca5+EM=E8TK>6kh@`y_prT-(}l&QQ^db z(Jz^UQZ`6>!++x=7M>2OnkWJ=gxn@FPQ?c{w;*~aInM7yc|OjGAI~Vq$>Eth&~lS4 zZHBhUg#MU3JFZxTnB$1lS&bkj^(M0fL{-Qk+96JEaW2thC@P)ZA(TY|z_V*%pS-gF zrS0r`EI33sV3GZoLdG#d=H*vQm+>`|_z?cOAmSKw0{zTaLODgwO7LbsF15b4vEK9l zx~ignv9Dy4gOD41X$eA(W#&nUeR-DqwyD9US+eKFIw674$)Xae2Y0Y$tr?N9GKsm- z`iAyiKzvi0cJmAI`|ZZOWCqe%tJ7v`uhr)a8rp(wl@i`?uO)H_Dq98J?8;nsSDVfE za!;*H+(a1BZAX4Db7p;((K+g@J-8Ea_ra&FU$O$p4DZh2?Lgb=T5F{u_h{-{>u-XR zo|{$aPljH&chelqXWmZswEY(7{p7frsN69~D|vPFhEWF66xs*xU&Qi6ob2d)7{TU| zT^KWq7&YJ5W}$}3@_Z~a@Uv9BOB@tTf)xwRbJj?B++;DJZwtCBY?v8^)SD@$-Rw2c2=ScCr(27-`G9kiF^*tP{$Z2GIFCp~A}x8(3e z=jFjHTSj3|sVz}(v30)}Wyogt1+S-EV>KATbxbVpi{D(?-UG}$b&fwU?-4MgmS4ha zM#2%QW4Pq6R2rEh*^91`hlSIjGlDppMu71(8C=OxTx^3-*(i>yPba7Yy60NN7i>t1 ze#WZwv(@%zzgx4mK>)R26Rm|i=z~N>&z88hH0glg5EAFFwX3vV1QAL3g?TmyN+T_w zJG%b<+|}XawVVTQIi`Q_NTj3X5_xL*6eAJRdBYbuU5a2G54MIM*LaNPx$7pjmNpI; z-Ok_-PGcVSYmxAX#mFQe@6!_m%6|ZdG2rUTQg-_cd=uD)#~Un<0$uM><&n}*Tu>?( zmQL6^M7|%CcAD>E7if}+$B%9L&qoHrI?XlsvQn$Q7QOdLcqR&)xG(ATu~mY~*Eugj z$xD#y;I4dmSjJu+zjM>=>@SYUzy)t|D95Qx!o;7CDveVWIbkHNvm6;JOdte62p8C= z`>C!ZF3qV?d%vo14iQrBMLvCC#+2w3P1?UDd1C(IQEY<&V$jfwD@YAW%-0hvW1g{D zp4X7_Xy4W;7;1i2Cu+xS5+=qd)Uid6Y~i%eYd1{1=M7hYqwW)g!7Ak6yv$)M00yH) ziQFV#oVgGG6NUv4JHfAJ9xoKx_IXykPQ7e>Vfg4oa?LN96sB%X4VArd^=J4dX$!*Z_(4*@G7TvlQy&tjs?J zEng0ztF;^2zfeg}`7RHG510_o2DScTXkc&PyU27tY86Yl-;VyM_FAC^)Or*b@tW6KWVVfQ z3LMIR;@L-XsHQ}J3>rmFO`3H8n1o2{k9^}Jl*;-1gJ)@(GB_O309Q2sYjTzny4No- z_Vp1lIj^{01tU5@#L!_s&2Xoe8A)X82OQVS>h7DP7x8O5KPrb3zkWyl*Q5J1iJzM5 zE=x+##kT1|)cwCY_5*|e4Rj2!M|vmMdFO5UQ?edgy&2D5*qzo`AvrY6a&bc=M_#^~ zJ8qZ)&QK>Ce9U&#hKin0Kf~r?u|#KlOsKia>9CNhKtmi_2+b=h(92;H+u?k%I-Z$? z@mM7jgVV1cx?%G;7F1c14lO4Edfx0AMNu#a6s0{A=kUJYTx7g?7IO%%(0;xO2LbEg z(FCwdU;IKIVA+X;>2%v3nO=pc)+U|FE`!Th=YZ0eGfs4^ zGsu;{>qIi#i&aC~zN3D<6AKfa`K$oiXg*`B{jeDDmUC{5;3W8GzBF%Wni~W}Of1T6 z5DthYu_Z%h*e&4vyx@kBc7n^15l8=(7a$F7^0j;xI#vHi(N*}v{r~avWz1&UCQi*^ za+<@8#H#;M8E-Hhq(j^S{+V{EqH=l36YoX6+=dOe@7XP^akMHq1L zU_2j}9!n-BRUx-2Xl+-5@vzB_bIl{)4^)r871%(-q7L|=-%gc2=K$cT`}Oa|Tkur7 zuoFk$PwB><&s2NzFy7@aojfky6NSQlk7d}qf>r&t&A-_$e6;>iVj{oCL7n_Q#=+L; zqKf8Mwu<7mZKEa@H4kTTK773;u@PdFm;m%kx^0@y`m_%H+zu$hjjzdVtNS<8v2jY6 z`Bhh=4M91(%cBd` z0P&x{M*IC|-f1j4<1{mX)Qb2Ob$40s7m{uTKt5E**>2Dx8ze!F5q*C;AkbeDJ5TLm zt&%8YbZA~f(mVV93BLcYGsrK_1xCmr*84gB^~tB?@Vk~VUvDpO=B2ND#qh)whve9T z*Icco!_wd#^#H$|3O}utT6W#ls&4JYwiZ0r#=NsGTpx}m!@uPv5ce09awgX03vh2!{FuxWkvjjZdQ@8g?3 zo{+N7_-62h7GfjC-{#UN1`bgml9$pcDdA3DWv{fWe(<&h6^cR+iY=xF&JOLg<6h=&rR~{iqM309W2kZ&pJ;}Si6-jGp!Dqs z5#(eSNur5;ETY(1#dw168%vCa5E<{Hgwyv)XfLq-*nPUc$)poDVKa5phK>;zv&XuX zE!tKBZ#{aY*FbSpNd5vo^t220ZJ~asf5xR09-kRM-;O_pT0B)V9(!yPtrs!G1SBT7 z)@eQ(wxnIUuGAgPQ1*?M8#$FPNbLb zLK_E>AVM2&@V*(2NIm|*orbKMy%Mekzo;3+p03ch)AcnUyAeY<{+-M=%A}$Cpg2!q z#O$v0!76p!%Y~1(pKVi~N@PNcU*^t3%2{29&l2ZMa;tzi>o=kIxX->Khv5jy7mz+y zzGtG#<4q2ekLf;egAY|@rp2AZC|1*RI$Go!aoKRSOf4u69#IQT?@{ab(z@N@jSddp zYhX1~V1LB1a2-Oq?arnQQOuI8)eROVV;n$u(>eS*JEXpsz&o!EG|E7sm_wBWP>V)k z3)O()oe#^5oS%a%)fL{pEa6x)71dpx_VbF$y<*1*p`Ev$krN$H`n=Kmx|Bn5lClTr zueBnBH+?LGPi$C`<}I_cq|t0l|7Jf69gEQYnMnirbnkY4s4On@8hr-H`CS(}}#){{N;Ik^vvH_p{Qc^O5=cS zxHNPlY?7{lpyxKk7mr@pAkqq@>-DuXLaF-Z&sy@4r zIa*OAUHZ+xN4YtXm=)(jO%RgzsPkfpm4P5gw4bY}H;F%qa^1x^J#W8$Bf81)BLr{9 zpKOKcvXH88&(LY!vT3@TwZG=Be79iPqKEj#1oC1tnV`hO@xs0?Ux`)c+%<2j)Z)dO4POngRc(##=%W-%E4OLNiZPNe4G)_8 zszq{D$~l;AlL)8AN)BCZRO`^FqBFk5qjCuPH+}SX$Y%=+a;qyOnHb7CjlBNo6l8#uwKP1|wo! z>r+Bi3L_{YM6Uac!g?0?-g$-7^Cj@k&|U2qWTyQCP2(#7U9Gzk)tIh+t#Ag{K81%I zAIkAvR&hcZe~h?gL*h~KR37CA?&HG6P~6>#H*-9q5tuvr-0rnMrBL)!raMN?54c!_nPQs{MC0wg9Jfe+_n|~b zujxkWSRG}R10bvod{E*xz?$3dH+@(0iNILF;s@a~ZzU2{HCbQrBwxMwV|fLL19n)v zn!)EvatS_cBm({4m->j(4OH#Rvk1y$+FCo)sYmqfG;#7!)NXjj_iNXZA>(?6FS~1P zoN}%Su>B-rX6yHDdj7-tR1?10_ONc5V)yuebNYIzq0hlFQV!lH_j=6kaXvQRQ7ip1gVM zSt<5=T1K?Dg4#88+aemU0=Yqs3__0QtkSHk)6bgC#$IPdEm*%0-=MSqSfB6Ck~Jbk zCPz8}Nn&&&xB6?5JV-&GO`q6=0+XsZQx%eO0V>IBn#?cP4Jo07y!EC;g(fC5v*psQ zGO=Oe2@04ql+d5tg{nz3HJ0qScC{N8Mc1#xo^^c{lR3p}($Xs5lCs|&zo-$X zEASw{PHkknSc-5(TF@ID?R~vyWG3+ZGw?H7lSLKVrfEKd;uAmjM&S7s`SeOk0?1-H z`6DMF>bkLjYjFY#fge4}rZ!+|oVO&QO7y-8;r&^^0blrEc3E?L8nscFNKySF(ZAW_ z_75)m#XPK2(5oszz0oE}NP-B{bnC@G&}WLzL2U{i)=iP+&rYMvbQnKb);t|hO+Dfb zdsdrNG5D9hP}YLmLBlvfTG3UG2=y}}^v0;Uo9HnAo(H-H znbuM_BbxH65l?NfnL;T61<=|#;`)BtkIn=G3}mao@S5r1MY_=TG1Vqdf*xyJpZ%~< zw#wq!h%MBjA^s%LmL#3k-HHsX=fZutO%@?Cgm*vv+%?!uk}3WirjC;-f)^5Jm;upf_#8IMB=#8-r^+UcbTUFuUKdtp z(6@#4i?Alo&ImPYGcm@Af-`hU8~%^BxQoH`{n@yZ13M;9bacehr+>a?gwK*KPUAm35i02-_$!{)3o_!zfxi0pa)=<@qrW)yWdT8 zI-yV=9Iy7b!Q1b|3lB?{2FG*a!w+Pp*MBbZF!>_J2V6DSg(#tSfs#e0*^lDtXw|B@pxKx*tA! zxv-2Z3<&+fkHY4BF?+v2hIS^Uksr^odz%_eIPx&F-2TT<)3vCzt_e?Wd0t)obXafp zWM^oo;wQl`?}OVz&Q-(WpD)|amK9Ge19aG%YQAlE$^o3<3-|E<-er<_Yxje?u1euX zwcH!%uBEs5EwAW}Onl55E1flU)gCZ@@v=&7!oF#8>LE-hSNIFTYwqw7v#NMyop zm{&bnejT6H6YhmWHA{v>gmNr)(*C50eL}3~^j_K~8y;p-ZejOME8e0rsygN)1JGwX zW&rSEgG|l0-+(^U9pFYacWn=Er~iU*bDb_R$+KE5#-(Yz+bB?q00zjE8y1Lv?ys6TBGj+-zJD}fgwUQ2n= z%nnI1!Umzk?tWm{CWN$Xi0V@+W5W+$f0@pNheN$O({ASF996Y2rkr+3jtE&fRR5eu zUGrVzp}50l_K3^5qRLDmFUyD|DR*`y0eo`zd8?h(&avMxiw){P()!$VkyFe%M1Ta)Nbxm5=fo?D*yX- zv4Sp75h%8^UB5`Q|AMpG#qf<~DVVr`xaCk4R7Vij^d?oaPg8LyRwK1r`vxcv^oE?d zYC;p!8~bni)D~<@mUsNvU~2!S5CgB6fE4j<%^My(-Xt{`p*=dVL&0PAx+6x)hil?w z@fFN(X8J|Hczzqz{-jnwV2VkoyCJEF6?^`WYfieWDz7}=ebM&z@qdMA6iP2}Snb`b zhLoYd8l;nc#d7b+>bg+Zs_@WH6BV%9{noi75Zx$okdS&m^#P;|<8zAavp+|`~ zeCde&we>(inis>TaS2tiNv@)iG|hpxDvG11r6v4HY%8|@m)#-^*(t>;$w6;nvok&! zaR?@b%DjgxF-suiNhv zjR$_`SmPqHol-dwyjm&*)op}DznkKIOOxqhHe)RTUT_reZm|69{w+qQ^TlPczEgVC zfQF#`a|fgOW>SrL=WJ`2MU0z4X7i zh^ze@jiWS=OJT>~Yea=c5!1Hj`lbpduofMv?mzas4{0nsn8rwycgIk8>WT%g;?sat zFW5wf!VOgn@zmg34DC;x11nzmaWM7tO?UT3my zzeO)Vx~OxOdKF^HE|<{)QW>IcnNtbZV{-E@zusu;WWZ>RionAjWUAcA!RM0t&D;Kq_0 z-iJb&H!(hTxcfxoB5!=4Wp2GJ$C^@_pR#Kp@o!sNT0d@Cv1TWuo5*8xO`>SmLSzm< zdl4y)1(>TRX->tR8RjMKCF4D^eA=F*r04^DEpn00yu0}1rIm80K2NVo;^!fqe8_J= zpwQ&e7pbqa$60U9rLkEVEGlFL6v+Ln=q0$lQmdlk8(ZDJ9u!kd!uJ+fv_4mU;m#L9 zZUm?)+J97xL{8puT1$~Z-`e9{jCUU>QShY8;twX@CkW?q^$!+_ixzL9QJF?FS_qF)k* zyj~6{10+nqR-hiCTPq+Siw|Vio_e{Qvrn9q?>xGSKCgzMLTzdCR^bu+}pa?|Uc}{tIDBsGALNqOJ z4l)M#OtBz|ns{?p7V-Rb z<@PYp{4jk)FI`XSsBl}eAAUHYYUlh*jyP{osnNCJ%kDVGX1)~9ttKo|f3dukwk9@Z zm?C7`@vqiq{&Ie`k0B(OiV4T{8l^Qq7Flm$J3MUaY91l7`RD@R1I+vRH&Q13?o5{JKzudo1yi7bDVz+p?qh#PFI;70~L< z9DYz}GR_sHGd^fS*eDwpF471Tt?6bYnGpFZqMmpg!6}}Tt zk|q=%dW;7D2j4dkd_3I8HoQzV^HI$FP7f1E=sHw>?vya7b$uUXppDeLRoUdW9E(w+ zdC}-)yQqYCBr|cS!!+X{Q`?drX`;vjl$p6BnICzT@uqBNO8lGM;}(da=Mex3Vr@LT zLwz^qDXz#M-#eC7(P8navHsW8lSM5=>L~g0FA>5QbLkPFlt854d~8L3_ICM*0N`kH z92}Uhsrb|ekC|L1t8LP_(rNtkC(e*bgs#EN(ea&3+%CrwvFNMp2DCTFj!DZ9QxYr@u^70HhLw)hB^Ku*NEoEs+h5K^ zHkV>(KK|DrQ~@u+WmN;8>C#(FyScki{S+sVK6*0Zw#uP zLfoP!BZ@i2UBv?B%zr-VdY_oOIh=C-PCiazq|M%gX9KU`y$*PQQLGK%G6xz0Zqzw1|wr7og;v%QM zoYNfgr))`i3*@?x^P@}+?BzLaILZ$m){Qrroo#5I$NXDJ|8cL=CRm3Vkz>~ald{bN z`kXD16Kw+_>qm2{B0yg>(-^e>P4P-!X}xX+%n_oWudih(vdZH}orAbXT2vS@kKs%t zCE3<9cKZjW%n;sCLTqv?L_;SL2BwWhv8y5bs(J`-jQEwHcp)5E=Wj;QVX*~gD(|L4 znn~Z7@S6mVNSF3J8-IL&e_ddhx9b40j7vY%STZVDwq96jFU}uG_%#f zu7}Z1E?;jkopw!=z_)%ADrubmo%R(?m?eAZWj6&zjKAP*7s7@~d@ErC`JZIJ3P{$P z!OBI>A3>r{6PxE{N-UZzJz4M>rzZ|TR@9zyMu@-d(<;KIH2}2a3-(+Yok5b-iWhddOqMQB&6yZ3?JH897i{o;=}H!$ae<=JDMln+rFwHY23VxR~5CO zplLx=_k*aw7^V*Gs_I(+ZSMauV<#pPZ>qu=S(X2^j?i8C4*k7;y^_XlGdvJI&BkAR zhJ$s=nqFiGvT3GRw|^lu-7kx9d$G_7v(F(#*31Yho=1z<{`KO08Fu+)+b{Xh*ebdE zSw?XV-HyrE(^cT$l5tb|uykaed7~c9H6X5>j{#p@msRtKyQuOH6q05*4P?wH15P6u zykh880cUPvHvb|f$P~ep$AHA1oE0qTf41T+jkx&88jPoTU|Se~T><#x zu2l*wqCc+EP>H)URd}loo`5ysWZ3}URMCV6g=G&^&Bq8WvYJ^mS(yq$(N6ed@+FI_ zdQ?J31FZb8iW3ARy zS{&I#z89xLN-aAr&7AKdA>XH)*(B^WG>wJcL~`VRY^~asP^oU7y(S?r4apW6#D)dk z&+XqdCfIsLJax!wSqmAVH@Gh7$bz(9^NraXmwp8Ldv>!ji`QXe@5oz3G(7L4f893$ z6=y=xq}uMXG@o&N&-DYXH~6y&MT11|{@C3f-HKXRD}>^1;qtC$Cb-T}?G-1Q_$axq zy#S+OM6T+>IGfeD3KNBbD9(S=GhEqx3Qk?twbxk&D@t{IVvm>*z6(17wxY@Jjnx7w zi?i%R|K^n&)w@b0V=)FmCn_|Wrge7Dq^AF#)!^--SyvbKemQV)G7Hjms0lULKrgOD zpVI$ls8&2UbC5Qrgw?}T%^crg_F?b+%0!J#==*`wyn(TOof`2uPQ=lPm5M0^yymlH zC?2+;Op^SCmPPb3QO5oex1ldEq0SABO>V|tuPF{APc+AYFg6?2h2s+&?bh_3B#^~) zbJ_GxjEg~5qPkndc!fZ3D?*8UZ*TZXZ5(v}56WgT!+V%Y@jqF8Iab=kU7Oe}VOuWM zl9@T!^`e?%P7oU%C>1!)r%LTntj7l?3PrmG82eH;)lfIO z!bl1i2#-0Oj;R#^Qsic@e(V3&3K(=*(rziub-}lk4;*ZcF1AJ(?k?tP-dV%~e`(S7 zUDP8t75LavEZFLY;_WEOBGxJa$nI^Di1WIToaS`9VzO)Y3f(6STb?w%3Spny6>MmLJj2q&2LF; z($6m`BwhN}?8%C1J=F@M}R?yiuSo&7Rtd6#X>VY!{vtLYb_F%=M z71M~<-f6!jtzM->zTP}EnR_x^v;uBmD;Y`uP-kaC*Ba*@&y$32P0w*3eIno}JEC8A z`I0@kc=%kTThqkEBRn_{SQphE+SZnnQZwDQJv>>IB_v%;x8 z4e_XOLoDUA@6{>1N}!8yz5n_`!3eU8+oFyVy;?L5Fmk9}bq%UDZ2)E5qtO4_x~(W# zSxa*DadB#}QB)YgJ1)8BSFppHpB5_lNYwtm23Kk;8|POyUrc-;5KbVf(XCN;XiHk7ZJYM{biwc?E~jgwNx$P>pQ z2s?ae;|blXHMd~+BHW-~tGKYi-1eIQZ>6*>qJvN6r3!&*t`<`@gN9y|jDCTbP&}~B znrG9VpDyNNvU1Uj(|1!}m8^s?l~ZGZCZ*G%Q%-)We9dPo=A79kqG_)l<02QL`^5(- zj|Y?q?Z0VnK60=3P1@QXB0V8uaCRUa*rD7Cfj`EI;pXp0tLl~VE5sz}KFU&+s(vD? z0MP<8_R4{mG@{(NYG_T_)~v-7 zJd+aS44-*=02PRJeOgT*SMyCI1?{fJBnewy7O_?z{LA>j!FQ@3LQWB=hGRi7dvK7y z@aG4Q+Z<(Ue2jaC7#9Z>k=Xm!n$epc-|3A^oZ_a;V_}k*H`h1%)*6R}qA}9hg)+~$ zfoI@Ss_8}T*TMuL8rKORe0a95LsYwr^2Em4sCIx#Osvqrb%bowLkcY4_q9U%R8csY)8-8`jEj@wD+^>nPBR-a62`>F8~v z$?7!gDw|hqyT~0J=HmzYH43Sn;dNh7;$IF%cg>YMM3GNQotVbammAp}{k`)k7{8B= zqHHs@Er9Utd1bV$#W)D$)Tc&Q_Si)SN+E4(HI4@ahEPPMN_@J(sP2x6#-AufHF6X3 zGj2aYvDA>Edd=WK_@i%Wb4v+J+OBJ1$BrbIy|ZJeN-5Csg~hnCWeW%k_aaq9yxhUY7hT zz&ksCP%!J?4y1yL;N}J>^Dy)`_Y3pFI4*51?6`;=)eWOPWJr7h-@Q%jA)B3+Ln*2n z2m};3a>C<(q_}D-_W3~?xJ-;6r>N%Jw_!*1ODEls4G#Pk1MJA&a}(m zRW-J$nVpGj4J>YWRR~GU;m&)dQdJ+eNMJFY7oaF5H`MkK{SP#!CslrIc`cwd7a^1f znI_H+r)L|)#5I>aPgdX>buV0~RG`zLpq1T+qB z%9tTx^V4Y~!9jXR{F_`#x~nc0uVD!09fQ3tbRnF3hPXWbkfB(9_X55L%ka&}FsfTK z;w!5>GQ}~0?`xk_IhkgmISKor=$yRD7Omu-ORiCemOI6ud^I!>Fp|w4Wqt?uj6qZn!!AMw0DM=TtXp z8-^yTJuwRk@a2v&ETg5(J4zg8EA*!>0tdz13{!!}!#SPwsr0ToZkL)=N%{{F&Madw9cztdx^#+jA;;qF0K$wqCE2r9`yAUl zv`jcoL4B_4cu=9a(c%zI2+n2#S*m#0uLYE92a4sU?GMS|f}m_0vB8H`Id{e+)+pXC zvtb}^$FZA{9WN)O>6F;_rczluStD+APobfdCC(wvO5_C3cd?;O@O|<*{E`7 zx9bE&xg}R|%^;(~z{rr`*`mIpy6YGCVtEA<;pVJ}8$K0n&6w@JL3RK9Aha@rzjl|s zz?rP1p`D=J|EFRnM58eN1KeZ{isH!jPYq6`WG#}Fhq2=&Vqb~e_SxqGy!_r@2HPJT zBlcHL;73egGQIm~nyi-`B`ch{L>)=;_X}D2l^;6~1{OAo$E4mUpZYU|rN1Qj!dEp1)>j4xOgP-Hp(i-JU;t0>xbXi( zqC3RwUH}`zO$`zq@2e8V#Dx0GxZeH{R~GwQG`SrYg2|qp3-?NUI>u7}wC1;*8Dd7S znzhlJ+^`yVs+~R^8<8xprtE9cK~ok2Kw1s!$GiBIw#2J` zRaN#62}S@W)*i7W0RE7N#eOs*`tpkfDi)6+=`oPQRu}?(T2GgKtq>)(Y9WGML;jQ3 ziy(30%{4O-_}=nnOgo#|6@i}JMTzkm{hMAm_qjMBlpmrpy0FiQ`zV`!7VO{epR^{b z8~A}f@Nf|6=fsv@{mWNkirMCUVI~f0f6=U8WFfQKR zJl(RINk3Vkdk~Pg@wi7cVim-Mm}&2HaZv?Mt}MtZn*5smZ&;+E&~eeYt5D{j<1T7} zbIy*~xrO951fW3>S^RK%*`NsKPFrB|o)9Yn3t|eF?bm>U!g7peE7XMnrs*12LrsH7 zwSC&+_S3U9?<+vQ+t{+mC?O;EaT80Q=@>fSzSbUKbym91YMd32t#fJdG@a(KRbGP2_96 zbh2_qY|ho)A*O8Fwz=S%1ehF3Ae=`5I~xkyuTM7uU~Ud4fQsS`aGs3g?$8y(4*UF! zPMSk-pC)JJCila&8pKN6AKuu11qa7myaLx;Q4D;}sVmynB1=gUFFLt*glneROr#J6 zhN6tvT3h4RDMzdtkJZQRT-;Zo7PG(EUA1zP_u{3_Zc^#uEk_vE1j0DwC0+xzN9qQF zUwFUZr-obXP~pWp-#J=?X@J0+JYte&x@9;wc(0$(@x~Ndm0XBB?F^sG>0c)pArb%16%Tau8_9KE>28a4>uUXzJ3GEbP>XFr^)oFZC zvu?k8IXaRh^D=VilPUIyFeJyWt?1)&V`YSVzL;;F(@N7%iYtKoFQ)Txa*@i+w2^b% zu>BOgx~e!9s+QKFc3tR<{W8V9CD|2X_NCDO>eAWooo9bUW=dr{4JwyTYfA@BK!fww zBhtgl3n$#k(V8n%{%P0W)P1v>t3%s5-qhzAz`14mTrOsmCU}wPyAC%j& zjQ|Pt%vA}b$EvaOXj{7s{Wpp-`Ecm4&-{kWhAGHRn0%0G@NnV0@`K*2V}H&>M^TH$xdSsGaG@<;W!P*3J8yU2Zk)l}quA%)D(wwbY#qwLI+= z?O#>uTQqrQh-)W)6AFFk!FUlM|Cy`i-umL;NC`q<&){ac?yS@%Qi`DmpLmZ2+EOkP zWui_FmZVOHTBCZnYDG!iz%~rmm8?2bmNm{oqk-GNJU<5b8NN}?d~I1ZSs6P!^%;2X zSzLp2K(R{U!Sh2e0wi{mf8xfq<_c_}&@JO8#nSlB`>+&0$ zWSSpEk!l6ri5{;S>l|Ao(@aMF68}d!Qu=v|zd#6^+qC@z2+{J&CV4Ffb`cHi7I%ewCDpR|QEIv3HnK-6GF`A@| zEw2E+l#a-q`&_y6CHOl7M?ay@wV=$d74-76Cu{O@cI@(xPufv`=e>wB8IU%x{jH0) z-d-A5Ae$?JJJD~e=0X1^*J={8UDL!`sU0udb<4eoDgPrUeH5tm4M~0AcdC#&zcYUR zeF1b`;G_LtCp>#Sl_Xl_p2Er{&Sl~@_|(9_J?^Ygn7~FXUR_s}Q1sJ$M#@eC3#G6{ z0zC13!yr*M|76&F?Y0-S;=sSD$rnss{^-184an~ZpB{JG@Ib|l#H&^so$Y~wvEd|g zQkbeeor1EQEo)*ocz#?MVSd9KI+rN$57heT1I+7vlT`@A-v%T~e{p{Me5_S_sE1D2 ztIu&A=M81!tHR{eZnvYXd=DP9n#EG%At}Is(!lLe}|TQ za^&2F#HPnV7*~wMbY-7goe?3f7Wz?8g}YR8*et74_)oIh$6Oyq9ekkXYHQFcu7y7u z#Pu#D7xC>x60res+G!8Y&kO#NC-xk}vc&F@qX_PoKD*8TK=Rw5f&{Fq67)yy@xgBM zk;G7iF7!%8V3sP~usBMesV`-S)?4#<9tXUDSx_n2Q>{;&zE{<2A&%s@4lXX$S`-k=1q?{ zEgGnyRzzwK<$fmx=|`X^45k~J6ObIlL}n(>w3%4|``i@H@ImhvASteFh(m_zk{-0yTs?pcoFY6pFMgOHn1ynI!l zP!-cQANGwCu1TA_nIu&nW0FsFwU+cw^7`p~8%cri?0|!huiLwtT_jHkB`Xzwd0Ds7 z{51Tsl|Trzv#c&CxkKJi)jbS7dFsFEd7d4L5cnXl^+lR}>|@ZsxyjVV!MAw3PH!4a z6k;r$sW6CzU4gw}TY5PUNBQS&QFxu>yTY3Du3&Ez+c%Pj7JWQ4a>JBh-)jqFr`ifgss-UEL>P%dPI zr+zY5`kErw{>OVN8r8Ad7GLl3n2L?)^=l3+( zy**Ea5O1tBAgtMu(U>2V${$`QzwE3A){D8;WXs5tGx;vTWS{b7{gFn$PY7Y7j6Z(` zX!RRtJ>G;0skgDz0JSYWu=+AlRR1=#&qVe$8-@z#;;X4rbpOH`jhJnaQ}FPi`Q_tvTd?ty(i;4J)hhLV`?7J^OgJzbj&!;L_xit%S{}?bt|507Sl`SB1={ty;~X zrnryuMUA3*<4M2v)4!#hnUOb@idB7fMC3G4a>e{QB_ z7x6d|cyG3KD;|&sul-Q$?VNxgcsi7&jq6g50raOUZ(}aJ%@mjE3$}P^_T1$)-@ayA zWW({d5mC=FM_YL7!P6>EL?Wr%stdGKSeH+YP3Wcq>_dM@$eU9Le^B|6RdAnF%59&D zPLcsGFE0>rqM8E7CT!d8QjcR>Sm*0f@wE!Jvir{yE1cQohgHdKuvw`pzo;;`vvS4| z2d!`Bh$ArA6c{t@bNZld6_1Y(Kya+F^!s?#&xKz&@u1i&Empt6i#n!06|D7d!h%LYHjuW? zvq7oAW1qhr6>|A`Ne-zKAi}`Z{pq5Ei-AE*(J`D=;XFmSiB5y5?D@t`znHG}qaKB7 zwtkP0s-r&P?m?tAB!YbuKl=xhFxUvRePFL+w$Im5Euyjh`@7eaTgIf1F+0xbn!;1i=1 zWrHTc!Hei3pW^3T2MREIdpj5W@e@5X7$o-ak(CJywmkYE9f_en*JSJ7*DXGSBeX~rD|wZ{b*;gMKxKH z9|o^V(e_o~jW4=bu|nb5iq5r`H8^vJPv?pDaH^ zAkm=nQ|+(%%&Ua!-UGPx#RVCDKo05j71#5_iJ5N+C_GAr%VY!SfaaZUczCk=`2A-% zZ!h{#g)h+f?a)*aI`^EkJZ*g#6 zl`9U3sV>#&3?8tw0BU-^8#pr+`%;4#@(z=H3h%mTh27EfsaMUwGJWkh>cxA+2VXB( z3&r2>cO9yFnzzhe(~Z^U#yg)vcA`+W^jM`QZ-DmMBiSQ_>*=iTv@fXi8BEp)Q>h?= zxy&~V0if`OqzFfL)?HBxCf5W$U~sNK|L&q(y=|~tT^604Ud4WH(joM{ge$8q5yyg( zYJ0bRe@M07{HC+G;)^<_Z1hIfxVL86ARq~ANP^d-rLwGt8q}cHWK?OH5_n{jdtD`) zp}t#kpIs?hRrl@p;7W#3&B2dHP_|xqEa!#a^LntZ{O=fbQjyf7tegT z5YOlsm4kn>AEQ~9o8eTgz_IpO^;x~st@XDdh^{Ovq%|kqro*VcJC67hf^l5cXUzXv z&rNkeX|9awsLk&@8^6Rj?XB#jtf=o4%}GR7*2KT5?b9n`q4N*7Qc%6Ka|yK{Eh9iy zw^2`XZ-uDE>1*c-|H>CMkQ5d_57bZ1^bD@pt}YnJC_d0Op}kt3?xlLlHV^EfY%i-& zO>+$OyiqKk=+_VY>%HjHIjFEzu=^11_a~knI`J#KXH!;TJ z@v`Sne9WPgPP8EvSMyUnj(AiUad^gUi-6oS!y;gi?HTqqHtrcHMQtL46l|#I{x&3{ z{^(6raIK5^Ksrr(9Zg;oT7KIuk7~FTUoiOfxx!BQ$NH<|1cW&Y1o2>>(A?b8oLbPF zq@cG=dz{hS&+FM)^!Zh%O3TLSs~=f+e2hE zZezJWzu+`~nznkJo5GmuLT`VDP`EJb-v4*!M`!I%1}4%&;-tmv8Efl>bKzy7l1MOPCQ{W(;Ne~pg(;`6D0 zAZvbb8ey)h)}jMz5}ywPTu;KIK;WwNB`af&=OpwF_1TY%__@}qAvPzU3f-`pGhbyz z6_9i8n10DkqJ(w$JumEa*Hz2cwwLbQ9l-&Z&jiw;e#n2SC4{fU?dpYkB% z%7`;wPNAbBp`#c39_EC_(6Ae|uWKsb90U=5FLthJbRg8pKu{h!zfEv#4uZAnE^b<} zKE6DqB?54Kq4UAE=(EIlot1jj_Nxh2!I@$4Z;}uTnEl|`!~IZYf3vM$1@JInf|@G0 zc9vXSP!nSD|233*eB_>_WYICy+Z7$MrGs$J18#~s-(<= zxyGe#byyFotd3?MnT&y9`Lg-2rV@*)Vbeah`4m*GPL1{bqFrAr>wnv28dPfeD**~Aqb=?-;T?9JMO z<;Ue={gQM*bx-nSCnNKC-i=Z^h4S}T(7}nq0HtBwn#-EdURDmD#eWM6Q+ie7FG8RE zS(7oCTgxy`a(Vt+A)Ah%D`!c={_Yz+}Y#tllDUvdd)=ypZ?tr>G5%(*^<_roRi6-RY(} za0hxD6??j<;cOr`bhz^awjptZM}&T4CZ5j;HPx-ZOaYen%eD!6yT&gi8j#^mE%-o# zSF0>asMslaj6P+$#0C-in5FYIO>j6nc<|OtrU_eSakASC_Wnu_Um&SB?q4~h~#4cK0Ni}-Z02Ho%T z70Pm_%@=DH!HDach&`g_7BA4uOdbAC>~Czv)liX^QC_^CGFwQOB_D& zWd3A_t7g)0&mt2MOZ{f5;=>)Cv3>)$?xaI{IME|XYy$Z`+cRlbfj(_dvRDKW-eUAo zp9PaF*HC&1w22wm4R-2@o;-Y$5w`JJ=Es&m@7*6#bw8(@5)*ai07SyP$ zSo)@*UI~&VopYgWef@?=v@P0j5I0wqdfpkf?l%#(kMWS`P`?KbBihHRNuIMU^;w_A zU;DTUUecNHKA@*NYJ=i>UVHBw@6}OTq2l1#3(hAQ}YP-`r zMkGjr{bn~wJu3W?p&~ypfcVA?wJrly7teB|fyMf=MxH*~DbQ$Z^dg2InsA%R@&Z;q zom8da(W^Ca{B{_1i7hzo&UGg4aizhbSaf2%(L#u!eE)-bg`lkhSU86Ht1b!eVBDN_^38g(M=N0};Jn9Yfq0p-` z!6y3vQ(Rwn@mosO-HZVk{1)$lK(Z%qE1w$r9geA(#aTzcZe1aqhFyMmk*2Ac*703) zwTxTqYm+ToLtQ8v%cYJu0}lM|Z|Zj&*0pUAp0w^)u|9nd3i4}*{p)~;AFnxOOLNKo z=)Y+gUgv)_hz;lo_*_-nq5hGo08#g=#xlTKPR=>8uD%9}*Wu_*=oK>>XO9vVerNta ziq88H>i>b`L{=iCj57+^d!DT_&dlEP$QI5HA0sN`Y{DTS+u554XYX;w8ChrVk(KZJ z`w!eN=U!f~=Xg9-?s0Yv(dbY51Z6NKPb?(oetNu$9Q$@Kh5#R7J2TU8gEC-$n8s|6 z{9~E>TxuN};LaZG zYYgOZD=I^Kf>~fyfIw*Bza~`-t(+}q#%QY6*@xY2vM(|0Yp?<){IQxS@yFT&J1g8? z9pQ;KXN~yYmv75Ygz(UX4BUM~#f7Sn574PX{*Ss1M}t?okXouQSF|X?I-Qwy=zs2i zai~uIW$mu76%ge7*F9G{{VQQ3o2R;Es^Z(>D~_v-)8MwU(LI{v4tilT2Bd+4AO+eh*!w}P@mE|sluA}^-{hE@OSmQtp0@- z=b0!?vQ1gGpAxJkjFG!g^_DxBN-TG>@DOvu6}FW;m=;kGf7(B`3kkDoB>x|6A&rf_ z;(98pWkE)$x>ENs{BiAY%pc;F75HO!MQ7Coy2+MJ>DPPdhjWR_`u#frAJISjB!>;v z;BOX>n+$2M(UYt5damm*g?3;(XRO9ayt*`S_Le($hNeErP5w&0f35fuIa;iiT9*8g z@!WiMl=~Pn`Z!r#47U{)p0QCTZO@x%9hY4^Cb%7^dacg((2y)ipjc;GRLb)4-^=~| zg!fNlY_ZHt*on61O2pp#w?C?2cG0U9NhG+U5$kWs zDD<_MzLiMkpgxdx+E@U7|M=I3Y$|{_c;#A)clHTAt51q=ZxynFV-;6&XRnTM>mg2EIin^jyVdjopIeP zly(^!D2QW)g3zQ@s&0MT5x_~f`H%V7pg?5ecQubTBDNS6@gK@uUcRV3;s$=r(V4h?PE=q zU@G_O6DsJ!g_nKKI6m%I@|AS7n9ouw0|5K<4{oSm$DBr;STeoHSX3>xp*iT>k5k?5 z7+elJQTp)S;~Iawv-Ef0-_A}eaVxqrb2^B+Le^}&3Vya$Q^no@#f58?HSl#$PL3z{ z7t2S5OVz+XMeUgIba{1#(JXxFA6=0{wKI{fjj~VIU1x6u4H=)-X+nA>c1=0o(=D+? zS`iSl#GnlbJY6v(Wn~GE7FvbuAb5MM1XK^(vga@MXPgy?^*D zmJkuXFuASK54K#j*pm>I2jYhpp{EicfG@P-eW-?D$frOTA*FPY9msod{V;U1?wpIpK5*@q3s#1#NrrsHOP&-8Uvc zbP&VGi!@)9w9g=Tw2z;J1|r}LhEM-^oUF;TIX3pY;C75!I4*y0MPYxy^K%B$Y_b(> zv=~#wcHR{ztw?kdvM_KxSD1=RuFxd^ufR;39T?|64)lm#QDCNkYX%r*IyL;$7$^Co zC+7LP*+KupH!Y#uDR4)+Z%>-KL})vW)YyGT0lZpEDZigB1VfM<3)X?RH7@PnQ*sHZ zRf~Ep%9_94unrSXPw}OoqY71TuK(!kF#9L+O&HP3R%tPYsY1!Nmm`x(jQM%IKlAYANAb20}BPGLDz@althzyGcfyWDK|C1mpK&9j6EcEb7( zXVQ7zKKtXTOudAL(a$CL1EjeY-`yzv*lNf+6p!Usxkeptt;Aa``S0061X*qqO$qjeh2fBDxRwh&?L^^TK6-@scMJ$S$8t8L>(<% zN-0XP`pEdvv*jpi?DFTB$LRs zFAwP*v6cN#3pZR&!}|y;IG1g-0a0RXS#ad(B)x~sf?SrLuutsVd>l{~kE#zXG*K-* z<-6D&EpWd$iPrlko^X?7CgBGYXP>&>YyQkee<{gN>hK}R>%+mjD<1LpvDX zbBA}K%7YDcK4>SzQ$BxigrZIZ*OpGA{0Y|zwwQS)_6OgX0(i?gD$#6j)u|7VG`RIC zI0?bK6J=M$BN7bcU?g!H*+s>Wt95wCjwIu1)9VE@>shAY=@W3cTY36|+8<6S{wx-2 zHF571ed5K>L&00CO@Er&rbl4L$0Uw@?IzM?b0GJJP`Mbs42I2l5gszJ23bVZwKN~D zCW#g-yWCW@4=9X&AnS4TDCI>JMn;gC$H^7gIsfE+W11+%EFC|m{(yV5R7wxx`qWos z;REtkuqA)4-<6~SY$Lv!SJl=MXKtJN{smBT1uu+Rn|Tz{Y7AdxX?lE0ey+ygT`)Rd zXG|oO@{}*g*=hHm(Nl)!?B#(6Vw_XWL5~qg?)1qxgVjnTKZ!XjcRbCBvbEMDa;GUs zGQcAmJE6VREjG3k!${y4=@1SH@17x*Cm&%w*#;FQQ5-!(h2#$FF<;cH(-;lRXt%c3{ zgH(|;^(f;l-8maXYcYEWtLqU!C)Un7wfdg(C1O3PmXzEH@i*GIiTSQ7!6TkR_0(J- zhEla#%R{iv257VWn)pTmvA?OnghgGjNb7AU=*#!+mgrr{Qb3l3pXXO(w(A+-@n?-Nr0@nnBQk8BnzQ?vLv;{y_Zl~+Iv z!d{}nzWWi2iJ2(x`y(2C55>GC!=DKv8m_B4X%6SB`Eujm4%3jTuzWB>4_Hs6cz@s>$=|Ev zbdK-KsnPh+D=gVn`YYp6(#G9!Em?RXnapUp-_JCg%Yb!m3aNR;dF2YAeR9oOXvZnH zYv6zMH>>3y2T0hBA02tWRl-l$HfiUsx#4T#(Z=}qy#~9^fthVRW`2p6{Nd6~+8T9j z(K7&>?hhS*yW-gCVrO{l&O}j1eEuKENmz@P#~AXk2_=Cn=wCia(@^KWso9idA~gPW z`=b6-MYVZJfPxf6>Wx%7+P+&i#!KybMtiYs;p2XfGjEf2UP{7^Nh?-bcTG8sxQb)G zIdMizuL^ZwnL`S}1g(KA_c#t$StcjIRp5BxZ^4ZkclTfXeJLWO;?dVeb5TYgK$Uj& z0I0;9o|Xo6=Q2^8rra)kJt3V2L=?ZjQX^ zp;VuLG@mb6-7j{sWP~9)6WuJ%U()b<#*wWtI_A+k(~jjYC4L7v8`~&2p$>P)GwmjM?Js|r8s80V<#f^K+>fQ6W(|?EhY8L! z{(9zkvh+Gr;$&*GUFww+`I1ajF=8{}Pic)mwmMl};i}~Z*F5E*_%8i@(XU+^S0*vR zbEId1=-2MapD|EAmHxhgv0HKPvajc+m1DDtjaQ!n%aj%S{&}Zee0#j{`>jt0sDulF z-V$_rG}r5Z>^=#~%k<>RCq)~b415P)&kXU3q(znDs&vZ9opc~0h6O1~#p(B9(|Kx% z#5wolzMs=Bcb=@D0;Avy$I|irB?nP#iVn#hm=Iucqw}PWmg64BBzp+hb4&018?7#x z^{I;Mz*8W7jiB}D?;BIEf!Bpeoo+wc!+DWJy4nd5`o6i-ex~wGlevqq*pWFqCEA_< ztKm50|HOh%fBa#%usphhE8h@0i)z> znl|8#;sd$o^L*@jLBCl>zl90eFEccNGRj1CNIIw1t>nm{gAZJvsL4+!wU_f>j zmrp=;dcM-yYkK0jQ;OQl9+ zZffQ~61n@yCIKA275^P^d+L$J?N@4-jgjhy9Vp?BGvwF7of6j?OomG$H)N=UHu>e* zyEd(IH{;)ZgN>An?s8e0V)=IT<-JcQr?$?${@a_)p~2O2SJD!VaF2c^Nx;~~9ha8) zqh;%BWO4R?<$gMs`qKsJbR26u!U+p)78BB(uQHj_*GZSUPLO$}@WR2tUvESS3jPn= z9j{`AJYT{WxXDX0f7VWoQ6CRsh1M3)n0++FYHMp3<><~AAD4oH_A47366J*6@^^57 zD}=Beat@8m>eR&jE9;k{dsbB*dCF;3!r`8hu}tdbyw60~&@@YH3ESq1QONnVh1yHm z&BiLxyRrpE6rW(FU#Q`}k-l_zkEaO=o>5R7Iu+X**87v&gwnm@=d;a1=>7Dc+@q&q ze;%pzLBpCrJ{1F_szH(xDHtvGV+rtTE2$EnsN=xi`4!kcciKTnslgVkzm#u_uqoaN zX&v#kmvQID=J{$Mh0TA$8k*VaR@*l`TCLMf9be{8oJ~)7-DFD8X}kJ21hQ2VgJRHi zZv@_jMgJ?aljQT|S9}ti93te`Tjwpw6DA|Ok`HAs?)_kLLciG!^A*jw1h_>rQ!SQD zVi4APWzhkrC5p&vY`{U3(uedU1iEJe36g>Z8SmF_Hfci@#}*(_Ml3!r)t|A#OtqD3 z6B-_M-~dZUF^axlqR}mUPuA_<71R0ov%XW0!(;;=XRf?SKuH?85~XocBs%-$154%y zLdR-VJFz{Qq*?%?e0E1CMblbDJuL&|z`Un=2Rt@{-mPf@R~7UxSH_7Fci~QO=djmx zJYJW-CFdk+Gup z9v&0)itvDOSlE8ch8b+%%qRB`r?=ySMAeuz>PgMKn7cgTOFf=ZfCriRr)6Q!pYkp1 zk*SQc30k?aR`2bVJdLzB@>{oocd$%ca2i|NWbILE^oNq2vH8WCGR+0k543APJ&c37 zCT?RbHkW9YZB+FA7ysI~K|g;orxk6sRdqGkFg4m_`YmRt9elmOXDZD|*ycFvXS38# zp7H=m%R{KTxMt76u`Is<*>O~G(QhQgI~SeaKCNs2>fu~RjwsQ@#4w&?%}YvDxav3n zsAR_VwCyV)grN+3_}OKPe|sNM?+|1S?!4i+n#I9U*tD;jk))|qUjHo~Wgvnp86u@W zXjIQ<%8Z0gp+!wFN39L-XVl($2SoN9oxEl8N)-4oS?Au+Y}HC?YrYe3Y%a3H_3l&l zg!(W;>AyqL@Ulc+hS`-zE(r+me3Wsf+m zM*<8rc&yn|MR*7_$(&cL84Wg;mWvMzf=`t62cDFWGdF;x4Xv$kt71SU3Bv)#A1@Cs z1j_EF6lEISl!W0;`^KZ~U|cYsTtR@umqmxSjFGVgW87UQWbXduMwZM~;I-_jt%e^j z9e{V+O}EzGO>RHIs|Cb)Z@{s`KL`^O=cxMK{GY5v{tVeT&fwcNvgkht*khiraCO&k zggxAOGDagbEPyH5kXOb@Wl-e5Usq&sf$tB71cWCFTuTUqmhz9Bi&+L5$77emK@$m6 z_DBzH0ChZd@%uTm=f^0L@X&r*z>Fuh!?M(-Y`;a6Ux!g?<@TKQFrd zP7{wSQ-jD5Vdyz&w@gN?A;uB#S;BTM7a)i~ePQQ<(|aPa3hCk)1jbKjkrF#B%!v}) zOR+Ie&!kV~4_*+)P||=VRa~*)PF7D&(IQLjZ4^nE$M&e^+4rm_43S%oD$gt67k(iI z0Et+=^GGy>hHJw+JGYlwH{rQBpcTf}(+r`ON+k$(TlHY&1%ABnN%maPh`$V(tQjMR z$&1`bD}{MA*AaQz9z~uGE89~vg9h;#13GTTrcR20bp|3$Q&!tWhr)kBr48oj#OCP{D~sX(e#<{Ry>m+by&-aW4v2NXgT1 zE@Yf6tpH5+Y{*2;9nUbu2I>=wUnz&M*hpQ1;raSAJ>; z;LV;_2*zXwj1l77*ApS>i)UF-#Gr^#WB_ddWyc+%s)GB;@AzP|a5PP!E9=G=X=sH8 zuZzH=q|T9mC?5fjQ8ew(#IgUE=&>EzeeeHy5BweM{+hSF@sfw{6%!xfA~FRvnkrpd zyz{5HxlT{(m4EA1#zrU4ZB|#g^H#Iq^ud~Wx00;Ab%*wrbKYaE6!WvH3!1MbPrbH$ zucGE3Mn0i_0>#m%Wy9P6D7w|kZVlDk6YbW_Bwo{bhQWkXLY#z**{}r?@-)PGUpc4J z&ME>B;m!r=l@#zm>+803&{UlDR8k_w=~Lh!&l?v!w_t{b{A}8!hpb<(2cY{mpI`La zi65kom>pueX4eYrmm2kNI;hZ~)3dVeUEaq!V;h2`mknY=fxRn5Ypi5-1IryV=xUa| zKI>Yt^NU7)z=M&#?&rA50e0vK8WU+umeq%};pH?v zbyH_s?T(gJ?HBtO#^y?M_Za6|!%bW2~aCTK^zR6$3|= zvaXhM4RsnGuCsY`E&#?9OUc6C9)$Pv8It=UyBGW-`TOJ&ig)^Fw;@Rj%}V$bli9Rk zEm8NH0}UwKdB@S3(4uV8xC^}GKHOg_gR$M z(uO4dXv&!xRQu^_O!l3utoT@gi@WuI{f54p`T0KAD&e_#SRmXJ z$Kvm1BRdDmNm-F~y=*QC`e(PF4FW28Sr38Ew?Vt&X}qSQq;X<90VJCHrl{T@yRrFX z6Tn6p@52m{L3lLDlvPWGxK)%CMnNE$dOU?>p5|`B*}YCM6WOV~a&u?_XnI)Iqt(I73icZe-Zlq-3n`8X5Kd<29w9tRlSukch9icZ~`0s&Ma=R6iIK&b2PYCflDNF9dziL z2mT5EO}rjM>V0S?QGDayoaKVre+I-m8Uz_(rFd|U&|&>)uV}D~yutEj;gH7ykPARP zYp9BLhAk#~LTH|4lUFyyXSLfiCt`p}KF4@^|FEK!7*d08Ltdr5sc#}gsdLMN)xMpM zL%BzSoEa5Z>>UHW`XpYqZjCN&;v*@NdOT#m%u81{O=eii_5GuICHPNSb>wrGn2%06 z@BR!Q3}IMwDp_q-zSXC<3T$Xj&rT%&#CwSA2lBownDRusjm8M#3rJ_&R+pOOpWSH% zFC8cE9Kd2r0v>>eb%5#AgKVY72n&dWFAGmsgXq>+_dpEYmqHE5Vxr0RlX*UtSR2}# z#zS+>5GYyudwKIkWd?~ddma7tLNQ8URq!>|StP;-0Tc%W^%(nS-E0Viv$-=62;13z z{r5A@j!_jOt|rWL0wWEXa3o=j7to=VoOGI)y5{D_Z12q~|FBF78zxw4%~n{g z#5VZ9+wXf=ZA$y>b6eF$nMgsCH`E*j@AZBl zeN5`A1p5jTakrFTHJhe?8J~Gb^0fXmw117k;S**mb0_0f-eyC>J-SAOoc-;}i68j3 zgjNKVK;%t$gdE;B^jb-@Z{M3K;QrE+Phj6i0%reCJ?PkMj*gZ#e2kE<%CKte9gu?k zHC%H4odOwXMgNAAx~vfydrOXfHMwigEKpdvV|NJa|I+C7=Y8-eCbQra)D|6S!~R7$ zNo&x93kDhPrCu9G`iERucGua#bQy?bi@sf!ql+m^%;}`WX8C_ml{`i@ewneT+u`Xf zh}cIR56z+bv0wQngQPnGgApS^JDW8hxXllV%v=D1v@-S_`Q^eD34@{$X_D{X&Ir}S zaO1YHxJvSJ`BORlhIC5ciM-YvY&RJ(k{j9tFg3LeYM@F@`;;nDeGRuK1=ZYleBp3DbhAB~SgZ1QfVz zH~fi3tkG%g5HppS^defNIsJZpClKwLzA=G-P8bF08&+?Bo=~aL&3bv&LEEc7Blu&vIYS9vt!7RBdHqwwY5ck; z#b4bxi*vS$p=QB1EvX|~1-b=}J7aAHGd(SLw1;)nk3C2g;SfHt>vPd>&16^g z{>Q1%5aUQ$gh7g2y6DF!sttpx`>7qdKlFOmnaQ}-e#|+j3MS%G6p~@T>31sEge&;0 zCs5jTC)MZQvnUJ1L5>E^*2B{W!~Z zR8Xm2en!r0-tmEkuuU*f#)VGi(&IrdK3*c1lXld&+VL5eXo2gOf-$SlQv6TO-$CVi z4EM_ZMA&|<$dfwm>%_U%|BcXBTUv#A!h7$h_tuW~ThUUi)7GyQaC3kW@y4UOAN&W0 zpAeL@<}F%nf$D!WJ)Cycvdf(M9j*IwjcK)?>%=_yUiB}FOE}+znDF`?tc7-AgbVZn zzijZL=7Y`cv$68Dl#7(!At5p?%You7N;K__XGm}3j)x(iqK48sXYHZ2?%T;Pv@Jwh zpu2!6T}QF1NI^|gR`0{JGBd|gCmmo2RqlRfPp+CL2lgm2Hbh>g)WF%4eS$f1?HwL| zJRy(ZYz(wK(2ee0i|;*7qzq)hRQN@0tl*+YfQk&nf|Fmw_0{xig%E>;*nqM90`iG)>OS6jvcX!9(3kz>QY&H zo&BBki5y)-`F?r*UB;P`iiBK`d5Vdz)*F%aY2Y!mm*M_KS#-*mxML93UxaTGc!keZ zk`(_ikRD0R;H_MSWQf%e^@^C%b{*;fg-{W0?i)9x`V0pz!6@H=PR$WVUZ&B2~OBk`|pl(L%=rfSx}2NNv&^+126@n6OA*y?S0z{h1+!%L zQn%SVIm)8k+kgDn?n!c&5{HHZ56vTyP1wmgnfre!o=rV(6pQn8fm3bAaPKP@&9wNz ziYt~erGS&d0#av4T8JNz@mJO4C;Gg2ci_@c@Brc^CHt;RsY~n{V za9W)Y7UN77GGi~*wKgooO4Q*vJVxiYGP@&1_c-2#ur1GWc9!pd17iX;IHf6Q-?_`F zp0i5uV%FdicieP77m3b&gCaG^6GkJi9hq$cn%KFWfT-=JO&k(oIOlBNZs(RG5kS~J z5gdb!K8@{_Sj#DQXTWze_9WC^nEA%aTx8{c$7R$*%W`nOMJTfB6X&w|=^&CtT!Iu9 z*Dw5B#1ATzNEN3kRG>FgqXu%x#lw{=~yR89AzL4I-k$ z?5Sal)rrb#CQWA5h@`uqCLzgy7~a{aDD!`SHt<@&Bj$Fo<(;pXzXXF3$!Uc*$#W~5 z@ZHe>+F%#lN$_Tz0FBTu4wOeua=-*MVni73&MEVbG(lb)<+qzr;JNS)!S`UD?A!yx zFn2%W8&%Oe|DJn6N}v&#FPtHYZiB#-*H)k?C*0rT1${^dQ@QszBI2L^sl=%S}|Q*yYF@@pqId@_L+RVWBr&snnJhjSDpz}cn^AkEIY zUMaBNerL=RiGFpD)7Cj6X_!zdWQT;$RhC03Iz26hAMz?_ME{3rUV9PNw`@<2}ETn87Wq#)6ej}J}w3wQ2n!ffv*P_LjHTnCuAbK9fTIGoc--@-nB+^pL*8Go)r^WwgfR%shWe<)iGqkDG0db($$H z8Jp8SVJ|bD!D6vVx+dE_`Gb|%7nKsCSOvxYY<|%^Xz;+KHO(kqg@3t3E-i5b4+a-r zw}s+lg=qo{pi>uAlq;z?pkTcDyi@_@f$wBM+kXU8XcIKVU}t19*eCsj5Nb9up)%iA zt*~#&@J-gKFo^%tVCE%ErHo8?`C1>GHpGj9?ax$)c?4uV(z7w!ayTW{bx$z`0AzP%BC%1lPIS)!I_s?xc%NN0ISy$w$pCe{0KX4i;mb9B7$ zkN=KR8Fh13I;W}CsP&R?!Xk~QGLl;!vt~uP634G_q5@X)QadffgwM*>4AY=WfWS5o zt^7&lfaP5mU?j~>Oxnhz6XL)BC0!boqG&(PxDfUA@f4S-8!@TY@a&BN11g5q9)pWL zDchQM_vNDunEif@@76U8jGBEs-QHIZ62^nRHX?(?9A}&vaQ3r#Vsx9F7UV0l6)6`; zPc&tmk&}T8g%z|`wD>SMA^_d;sT8bi;BNT;VcA_?FH>%tb~6qk0b1vY75TUAmSeP)ekgV zUe=2RHu(WQOzv?>D32buZHg}m;p=!?Le72V!09HVeLwbnMssh;$dhxz}H=)i9Nbav!SG^V|8pD3Krf%yic54Abr zl)%Beo^dPRujq3cHz8@Z#x%WyVX|@03tR=K5?g{km&<+dk^=f_;| zjr7FXKQ!doUmCF4$?erAmCG{CTBS-1c**HX2RYIt2D8xi2;T@}*Q*<XjthTW?)GRv8n>qk#m0bmBGb$Epa}J&#)ucth~>URz0Je# z$RR*soZQm*6d2kMMbyBCr5&qCNr9(uurggn{-}4oq>K=z!reC}338#5&}muJi9IeU z7MZ!OT7-Y}%6!C*2RemZ5IuKO^;E)jN}kTJ(U?`Pt}|<$`<*C2A9FLAx-fE%_Ei}UGCLypS945 zZ;FXe3UpaP>sW8yqJk2Jtj`K;Aq&4E?s05wr-9dy=!wyF(!x>s9}ba;S7zv`FEa70 zswF+22VfnSxo)ncnv5b+p?EQXexi*W%zLx(0kFh&zc<&%M%pNGNx?aPY%Xka)797) zBm39BIEgu2f=y>OzWjts`FM~t3CD2`MbT zpV^h2b8Pz>L#ZS|)48vnrc-w^0>#5CGD~zm*_yd*qExQgTLxC^E}C#Bbx<_pzKO!I z1vQvyBuU3Vk|XthEKO8wJ!70M10G?@a%P4*bJU!=gGs4ym*eB|Y+mx z{~9+RQUWj*K8LiLaO0^R6p7-1)ydO`pqz(R5tgjEsxo9{3}P#TZwx6O^wWUNT$$-y z=5K0Enb`EOgbBle(#``jDtlfBllJ%MZ65D*y5qpcJgE^P?u5w-&JX9r`5pa840a_&n#VcG|LO)wsy}=i*EOhe`#^1B zMqqH0e>diLTcRPSn{7->F~DpcSMJ?>&ZV!_u>O7v#jSvS*Jh9?vl5=ru@V)_$3IWS z>MlFcLmdU8lsvVFaX(FKoy%IS1sH%^>&JXQzeg_C9t~;kMMbW4Urw6l+a;Hfa;2>8 zTGC6_6_<(x3?wu5M4Jvqm;MLFz6h0gCsTrG-XAk-MJb>+Sy!%SM4hH$^s{4}h+7_i zHg8oKP2yV;Qc|HQ)%Hug8sltq&spRT%~&h7DAQecnVeH>saB|1tO>JoftP{S|BH2D z$)A6;SYL7oW7K=w83$hRFbvO9t)okN?``$HA^{+0znng_w&#|PZmKzHfoV#%Vf+`Q zimd0ph+ZY34BWO`STK4}*LQg)tpoq%_XWQW-(-LK*kr4e6A`*7FCofgiWEz7kxAB> zZS#KdOdFC&8^WBF%`K*(^p5aQu^sessUNz4f?asaEE+b^fw6Xoh7ikir4TLC#V6uNGW)91>Zn_CbPje3ED7yrL$~ zjvMbmu!h@*Ue>zUs3gqSx&h!E!ZbGNvnNf;eFXUwF>XY~TgG_pCY>XP5J4%*75mw@ zHf1jxUWD#}M@2<+gvF>cdEqIEZaaJRiT3iY7k&X?8us+Ia2ypYDMQ&w0RzH&9ESZv zi*h3+uUu%xV{=T1xfDNZ43i1|mAi01=+un*1#fJIDa-vd2L2wrM}!ha&o`X&vq^)U z#;dPBr|o#mw-Y{kY?}j}E7>3LJZ$$t2({=B<+1$c%wYPYf3KpsBufiN z3XTXtGd`u#kq5>!D+5EL3rQ9c1X;9vY2fvwVxRX{!_1f=k1<=sB-ER?Noy$J)NK0Y z%s5@pU@M2bpYu4JXLhU{J%djrL3z!8+0t&ni#eAudnxI zu5;pZso7&-GA8P;Q}R_g>&NkNClz}hvI*faoX4`9)gFytP`nWMtioT>crX`|<&D|; zM-?WjZz$gqNjRJcOIoJx1Ii+;ILEUw=v;G7ox(+y?C=@G1Jc8UZ?nt{0ruM(l-M-= zzTP1%EmZ6F?{|qR+Xex7_hU=7o^ICATGCK>EBY;(obkuRmDAkF6C{IRAM#TkoBfAf z-T9JwCEi}{#$sBxZ#_O4>Ts_co9;^D&`rkat(En=@^TESp(8r#QJ6bHfcLguMf@*3 z{56)w2W`cyli;4cg`s_E1*22ch z^P^2Ge8y~GeFH9_<#d8Ae->9Tuy&|5w%yLS0lXevgrYqO2(@%AvKWb&)Tb0G-nWqj zjtAf4tbcvF5(CBe9;P1ie?uD;xYz>B`_5y;lmas7X#ocJ@3~P62lhDVm|+gAAoKv% zuoMCCw09h3XowL4*andA_Y_uNmT;{i;s_L^_oriMKwNLR_;(Ry01wTH%;g|Hg_}E_-%Pu{^hzMuY_l*%rGY9Q)A{Jhji6s zQvYVE*eT9|%(h*(i|9H)6c0-H1}f-E*vsI}q)y9sAII9!sZ;AHm}^>71N zy0LTZ*e;3N<8Tc@yf}4qgsbKsu@Xwax|u2o$cCUE>o-SyF5d5F(1K;?2*LwS$jj+4 zkuTnvqH*r#o%vS%!My<=6!PxJ&n}~&2uku?kxh$hf6{6~9`1@?P7SGSU{RYNf6 zPA@CP!?CLUO* zv0)CYn?z{~@Se?EJ$%w%VJX>-?R%&9a1B_auPoos-ua{dl&XPVDF8iWwOw0rr!{_* zJi|oRemWt-ASA=sV^$>2M_|h26+qI&S}{WG0yI&*Y}kE^Pf~Uy>k#RpmHteu^0E{izd?C^l@jwCzAEMMZURL|VUHfE!?5?GO0HDhKvj1vyyQN|= zJhs_*m!GvDIy1u}@Yc|mkOf^pAY~m-V&6oq>WN7OQnd{rm4Ox?D56rP$jEh&e{nQ0 z2Wy$KWSYHyPH@B3-4n6`$LNNcrppi6l8NK0o>saRVd0A^IFY+y5(R#FiaPT1ej{=M zT=c15s2Vo}$&)LYV-WmWP6iH7a0{fsu+a3f#+h`tzW#o`7L(C*A*6W?`u9ELpbzC7KRuo* zX17LIA&DknF*2IZ=}wRSP^2Q3HS5;l5o+3OdY30vlR~@J1~gWu&dd`5p~pqCnf05k zrqOCTXW&WTWYc+ zh}z)G?BvVBwo>%IT6q9(Ea5MzaUIfrAbTOiRS4nyh;$D-;IWcI)o2~?VWdvuR5jDM&gs4!?n4^vhhBtqyeu;|8y4w)wwNuyknObH*=z8s z%rN6%o6WsCQJkq|c~`rl*dsLavkn1~Zh~H}w|6slis;UN_v63V)+Yv=HhH@8+_1;R zR5}R7T1=mkKh6>NNj3yB=k{@!SA=gK(=Va}*{rG3c|=e$>hE!qns5v!h7P|!fazKi)*QeWyT{+e;&tyg zJwnzS1F!AV`l#6Q;`^yqK7HF4nPie-0lG8pcO5!@$bl3>^qZ~PUkT%mJGSFUqK7Fj z!Faj-cm$PfuQ;h_ALXdSQwrW@Nq(3b$U*fz9s}zvl6j22LhvOE;)i*lCYN%xTg%7B z+gu>@sc8wdb8rP5}ulM#q#nps>)uiN?e zQ(tBA$klpi%SH@3BNVIUq5_>A9mviS-P``+0+f!mS1licDwOkQg?J-A*|4d^4K|Yb z-Q$qlmBcdHkv!R^hGCuB17h<2m{Yi({rzBzVN3VLS*t_|njXA$5>kOC#Tag0O)ar7 zuwKXnaHnPs2=8z^DL5+NWP3_ZQ7o6EE+M`I5*;!c?swWhv15y((EFLTAL?&At37dP zdI?288d=%XtAMo;WGPn{t%A zL-ap6e|E{L@%`kxNB}Bgi`@9A^NQ0s8wlhY5pIr z(SvUFOd8VD9xJDRPnst)N1DFc)cW9%Fu3RcZ9ew4zNlWRQQ$0ZT&6UMnS2a#6o;$XHc`q+iNQjRGSIkUoZyc5WC?V&e93p#^Jy&5O;MDS! zx-QD)er&ukxrgO}Chi{Wwj{Y3Ay`GJK?gKTd#SH_NTo|0skZp-JS9Xk)tX-SNKz#V zlqW?^Ms!&bG904_I7(mZHN1|g7=i!e%`khd#IqOmE(~V@V<@s`;Y6|5BB?cK=P=d0|GBQsrJe&u746C+xj z+%?y$Oe|`f_C%DOi-_ErEL|m<`WUmY`#fF8HT8i#oYF&tFsMAJDOa8|VR&aQ>bdz# zYS4YibD^2SY$ms;%g&B# zdDC~DuuY}@`ZxrWME&~kQ=YByO1P7I#F<6&_+R=*-wSUc)PV}=AW8;E^%jPtm}uI8 z*`{{>q`#t1h?Go%Pmen&F;$w^n-0AbvpSL4g(p9CE>)t%*dEj7tjMD6mG%i=w#^d*Z}vEJ$2FFgPDQj zTtP_utOST|X6#nOx#R_|Ir)vb|F%V)I-T}K7OtM${nUI-DwP}dGc2riX7QGrJaWaK zRfi<3q&x|6P4c&ySoK5h?sQVKM7g2CvuBsjd2w=VpiakEhS`u~vK6h3XhqUR+2DXP zq!xL2Y=E13v8)=y&$RN#uOC{|vosu0WGq(2^As8F50YjtMYfumv#DW%hEuM*G25-y z0S*7zy>n0KsZyg<`xvKH;E()rtJEx-kenM>KPI)=CVI0o9_Iyj;4=X(_NOiXeK{Qs zND!@dbt|K}*zWP2F)a6Rfmi7IF_4R%%$BiNsU=?&Pw7bJJk~5Oi9EU8!>jW^h{2s14R1*>cR|-dOLG9aDm-u+kyjO~e^cwi%#w?OjjAF&D6A8Q(Fq72r1zdDdvQb% z;gDv4*Z(Lw3r9NtHjK;Z8iwg<#&jPso9^zJ?mD_-X6)!`4yI;$x|ukRIJ%p|(H+Bn z^FHq%@jUnUzV7S#T$HA3_M>k`dk?$7XO^KZ74%-|+u^`9%xur&xFgEMlOmz~z|z3= zlKYrj9w$Q6Wi(2+q;~b8s+xm~-l!kpdjNk1s&7{Frj5UDT{7@@0L+*3o-R3tr(X62 zuzPYGoDDks015G(g`72^(Yh?0x}wkGJ1GiXO_Tsq={D6bF({Pt>Kwe%%rowKxEdtw zUZujclgN1=NP{Zxb}B#pf}^Dy&izpVa*?KhZXyS#ZAcq(lJv!4)ziQRxJS1MG2kd= zG}~GC@m5q%Y2exLAz5kf+5IFt;ZiSkFLNZO2o-${TvxySKl$x+V}adoOTn&l2Q$kd=0N9Q7ZWR#*)WiN*RYq7p*5L(gLzqWs29 z>uL7)yzAZib8V}FtQ}~l?x+*XQjF1?$S53YRH>Jdf91%8{aty{Vt|=ieJC?B+ZYky zYTFy9DDgU6-uU6{OSP+*Vj^L@P(+Z?^FCFN0*fW*c3n>idRgs^(13YA z>EnMc-BE0gDlicGIC$IW^>mz0*l96)iy@q7SmI}M3p$@EMkRAfUAFkLiCYe{-v>IwDbvcgEV?`3W(kq2ULKP1H4^blo&@FERW_ed3RIBz7~xpPTMe{K10_+4O6#*avuDc z0@~Za{KIPtn2D|BG`+e?EcD>sT5eUCZ;cY$q>-Hgt8Lz+9`^b^oD+{XGHs$()h#Jg zL#gU`gn<3nTOQcA%mxr z_*$Nga8oNW7WyQie|eP3y&Q+|%qd2Lw*XXfr#i4DNm_ekynIDfU1coh19PGaVo(JE z^dslrhcddD0N(nkoz4apbcj3KS6k#l=$0E1uiL*hN&11m*}x0$f@_%~whvYoD&-I&IG%y{q*~J)qcg`j(|lo(3V?p)+jeAP%o3HgY^Z1TW8rO>o$>Fr*{s zaEKseiHo(6u{S5#`#OJAg&+;7${e8JIgb2daaU3t>Z-zT=?T-5j^A1(Hc7FCGiWqg zdtoA-PW!gI6;Crw)}&^H=lqHSIb}!|cP!gYI-lCnCa@FqI*d8VPpr%EV=r%UjRXR`(gs`&L;#fwb0ZO1gz3 z{M{sXn6zPi`B)7J_-VH2MT1kIfU^5@r9LT&tFuAZ1NW+tG0oM#z(lx`oMlsGxU4@F z^X9swNff8o&`&l$k-aJ#Z!r0m=y^%_jZAovp)vAg~V)s6Tf}c!SYs> z&&^Q*Iy{w4VaCG;p1m-GGPGa0&7dbvAry&%Xv|l<)rXn^*6Q48k<}ljN0f-Ybd<{3 z%zPWOjgw;n^^$SQO5$8WF!d-ybY7aNdY=avJ(PFpck|0!1puk zhmQ|{_C1Bv_8NJ*TGb=xyD>9!*Ffp)soWadYP2c>B8VZxvuA;ow=YXxMpgC+juh_j zEH`H0dqt;lX@H2c!;vpnpNqXYpCf=!4>L226AXoOm}1x2GGv^^S>Zu?(KqScvm^ zlqzp&ZM!U0Kg9|%3Z)bN*);MMyxSoAwBVQTlle?SCmP0t*p#Qfsn$@3b)(Z}yy8=_ zjGYm=FLm^Wm(Tp2@V-kGWc&6V6~p9vX8f}D%gOa|#p?7$x{ifDr{u|dcY}K$&ZU6U zX|;itbQ*&0DGqAZh45mr3A%{1$%^uX->RR;_u=5Z_P3UV!uhIa(vgWSuS~E!TIo;{ z4C%1gu&b|p#KTXM{R_Ta*W;2>`&$l)y7~p20SI2p&E@uQZCL3av?UjYl+Zae z4PNZu8rbJ;dKfJ z#uzXapwV4F@{cfQxY}f0{5_iMvx2f{=d024#Z)gJiPo)}BAT%Z@vS|4nKt0$@4d4O}m8y69(`s?Q% zrgS&u16kjDNH^{Qc! zcvmaWy%!NQPd|g3ofQJZgrF#QoAX`Xyn$nxDevB#IJ?PU`$!nF5jxZF5)?U-4sk7y;jz>ffJEJT+r?HEoUj z4q3Z(nmA}dMg-f86wZ>q*LD3&#(n)kP)Vc7O@7a+E+DOr)Oe-!ETHVlV3w${*b`xp zj=M9tP_ut(1<`(Dmgk#4fAROCo?7V4g+j_!|I z$T{Z7e>v*M5394}=^cRURdBnO<*ER(ICK+Pzm}>3pw#>|o4vA1!udP6biDviW}=F! zNIk*qt;@(%TkO{8-E^&ehoJR)buPUqTIpHC!{zzzqJTj23~R}&;q4ydfhK&-@^?wk za=^LGV|N;sBRn3&j%xUHY#oZn{gW5t-u{7BDrcw9crINH-dz}}`6St=HIlr!Q0|~Q zt{*{?|8^+lh(WbCckBE4DY=qrdR^Cs`D`6axK&8c=K#;~sqzdBP7|KEIvmOpWs~QQ~nS;Z)lq8~D+Y&lcy?%_NIV=1hG=2`cV5+?0wiX<1q{NAGsYwtC7UBYWKJIMK?^l>pk!>lSHjASF z@rZ@|I=IV`DXWLI{#p@`puuEf0JYCQXb8t^Q`O&i`uqDyPS>Hp}Qi{`u~2wplXja`@|o zCTY%m`nthEjk(@ME^oa~*^?pzZukg4m=M`g6dh=EGabnRTBjRAyvG9JG~y?gZaY|F zLRmu!*r)>2d%|iqgRTkd=6dD9$*r6j9VN76YRZ*+qxwbBUA@%mJ`T*tL?w}C4}#x< z@(S-=@}cE47SHC@8^ZR%5J=jQxn;?tjgw%grdH4;&y0Jul;3;ZAfd4kyXT{o?y_sz z<*al&vWSjaj16M|zSWikFXRoRU-aTaF=4`*LVYvqe_6;XK#RTofly74t#>b!fK=uB zrY2fnJA?n?(w0<({^%+^NM`bmBY0rfV~s(SusWe_c)Qa>uVtv52`$EnSdr&IFpf)6 z(KpwW9v1b4=H*BhJ{O&eM(;8y-29>W_XJJIh#2~6aL#3e>|KGL@e!upK(Oh_m~wrs zxVAVYYMqmMhSe~Hc{AC|OvmM@QUkaAFCZ~oIv!g+hL4maub$SbpZ{6I=f;rJ_I@9* z^v&b_26!<#WL}CF+n`CAFs3=e2=^IyK6m@s#!vMRr894KF7>@e=SQF+45+_RbWe@9-8ysASv9fXKkvXW zNPL^B#I!&YWPrd!sK5Y}`B+H-uW0)dTDH!*`bEL>zFimZA87&41$Y*6_ND0^ggdge ze{PCy2JHN(t*aP0u%L@;k}3eI?j6$KSt?WiJ*sW}{NlV({RxdHW*7v29UyZ4$G+6m z=n9mxpdvKh`QBr1QR^k@lvI}cGLV;*$|Y-2T=sX{-^%^V`zyUkL_-Md$9~`Yu8ysq z*}~NT*8g~Z%p`*bd728tI{B>Mz!*fw8iRg~O&utR-)$aq(jBa}H5~*jEf-R}qP86tKL_EAT&6eSBtugN7sWj827NePffA6^ z#MsJMvxcVg<+PteKL_P>y`O1e6kp)J+74-WzLR0??ee?-eK3dnUfMsl&Lq4GwohMc z)D5YAU%MBgau&|JzC{_Ckisr2(Tp-`OY$8bDLNrJAq#Em%gw5hLrOu1!gOq<>wDZJ z5-d!4K9rZ!F3V*P`69Bh6;E?X1I+8o`tL`{dTUubT3SEf_eD;k5=Md+zNRnhudSC? z>)F{n&JE<6F3$qy$KH_OR(_x8=^p-`p%q?A3alutWt7Bne;F%s< z{`UGcXtoxRO=lXUECMzeI~C=McTYK{lVNfAUU$?<@z;uk zphCiHtRUZ?+6gsUShujC?W!9|8{qeJ-7!Z{YAZLjc0znvk|SN zMvRQ)m(jUQd`2R#X-9piCDSh1ZS&CxnwXo=N0-6mbs0IxJZz2W zu&^9?D(i{mE^z~+7)$o&V%ot%6;^1j#LrW2ZbnCMFwGl0oW-TJaG?2Ce{5ZPnyC*i zRq?O9e{msd(%$1yZ5G>K;Zj-st&(?!U+>l1lC7|&K7(?dk_U$Pg4XZOe=-Njj$WL7 z-_LPAcnIO6%o-x?7T=d7xw^i3LL*uF6Ld64H4o*QWp9YIdPA<5y}l-TEe?XY69rM) z!IhS(=bzBRdLr}1M@YeD4bZv9eWq>_2QdJ-*q-)LH*j;O*=6?c8#lK~#|!05lD!`T zKjAGz2bPu}sU=6e77sOkzr11Xt|OJ$Nx3&-to$XnJ1WR0F{t_}FZHdSJIB*(b;+7S z@Uuq;hs>xE;@}`7@YZNUpjGK*qPP{>koNYo8ouWSP=gm$jqXzbd;b_ z{1k8Y#H^B~$_`H6wQzh%P#q1(IpQrI4Xf=9W?|X4K7`7H_DbC;U?{)7h14IUBz8m7 zY0YX*rGgi--61Ef2^eXq#UMP2DYUhS!pEG6FRI;oelzdVH66$?ZUp_6GDRLdF`Wns1c>$Fi*!C!M~p#nD<3C(KzoeG~KVzT0v? zvwg^CY%>p5>tvv-Z$g@I_OfHO8qa_>9fU*4O)R%vDGyxQZ+tP)f)e?icCZ+fI4HL} zK#5ap=tCePfeHE`{ZlMnmP@~X)WS2Ky~tW=6x5j^es_uPEWT4+xPzR6_wj%tG6P15 z^cN#HSA`&Cz=)ZR<<18@{hxdPk=@XMu+sit=T)(_Mt@c&G%?^TMxK^tp)vk%+S)uu zrV9&UbX)&iBVM-m1vD17vQkZzQVkg1I7jX}RGN22X;NT6Co ztmroRG`A9!6f9iohwonE+4b!#K)>xIw<4p0@jVoA0GG+7GDkCTs$~2YJ+WiSx*qEk zS$*mfh}QeM6;98SM_4uJ)ypD5c@Y@x-XK-+_gQE^mpu|_r}|0G#g*rO$nD7Cl3Q+r zW6;Gu0;c$9HiW};m3LdCDf`m-mdd&1dG!GLKR5W5TWwX}M`lUGoVmP!_!{EsfWDsiBf2HL;RW;5e(Rbf=V!Te1akwy0M{C}$tU7{z z*xi#K>MQ9iy!)cDzW+@e&vDT4ROa;Ev%cYx=#?&wt&TF4oaf*T#`#Y5mJAVd%?hcR zAOPxcQjL&9?o|)TgMyKJt!KVPot>k%RlPC)o(Gm$GPAJxC8k$(lhgBAS(F7Ljf8#- z(17p&yDO#0S2`bmX`3NGf{)v`DIXZTR00qZbPI)lyx9x16j2=1!}}nYug|;6UUJx4 z)&j2FxdHplO`AQ`e5Hl(XY$WjV)6$ch~Bg52+g}P?7SVr6WU&|aJ6Ft#=WUBaUTNY@@2Lg zFFF0IUEqx{>hXQ0V#l*hf6^fPuF8Jr-?;kXzc;Us2HkSust^N+6I>Lw<}rH8;bgr^ za+T9Rn>p5)u9m(^OG1q#^mIY-Cj`F_L#cUW09raSL&PWf&Ny!*^b<-pRss$w>EMemoS${V5e#?4~Qk9*>TpJEug1oRznXuU~o(sKSj zln;&s3HZ78&Mxq`q9PXi&f+gE4ClU4aNqCCQQq9EnP*5`@M+ol_Yj?+nrBSx4K=2b zwzntoH!f8~-0b@Om82?XFq&EpF^IE4uDZ|JFc9XPZ16Vb3qoF*hsRkxA9zbB#ApdV z`2gMZGaz^90g<6va?FQLv=vWxQUw-rZr>1MCyvaE5Q`2J-sgiY5w5d!73|(q8t`VH z>t05vKws@FWUk?v}PHrr{r%)c8;b15i89tE2o^eSY zx!_OaLQk%33T=8hB5c~-EI~(`YLNsu5Q;?Cx`-tmN=;@70=qPY(qK(t24bTU9IFpl zsTpOg$A$d1bWr75$qUWQ;Lx9|8bp=Dw#?~zr;#Tg4AKoCx?d$Kf1mD7U^*HF*$re; z>11W4QbD~Ia&8j1dVC1z60@&sr6ej|rc>b1rr$a!P%5FcaOe_vM-fKA~WIr;#p=jD|IZG^OocN-|$a<*iqj6RhaU z_dnYNj~ki+CI#MUA9j6py0pI9pTDg?szzyK&BY4WIPD)YGidK%bSNb=l1Nf7IHk~n zZ9Ip9jN;5pFEj4i^fcZBZad%ETw9xD*`dy(fveNDl@{JSuIroHf-PgP^>c>`NK1pj zoi&^KuNIEP`%{2WvYo3$ z$=e!d2Wq>^7F7A4h24;X?!@ai%1tB|9!61_~x6Yu-Wqo>xGq0AN&^&fPz;z}9OpQL(QF}B zwfNwr_lqmr_Uwq|0c=KvBZC=KTLN?Q7aI;M?5`xwrwI}U{=qa?Vr|u6l3S-H=n^5u zmQpUoMO0rVRA@$|sni+n>nA;^y#8Js)pI>=mc+xpF#9z3@HXol#!}hoJQmA6;7ugF zYz2lgO`lZL9dg+b78eMLnHM(@+9q9%2dr)WcY(`~&gAAA`3K;niAzkYpZq@kcxbA0 zTf#<*#5x^;Y|gtS#TZU|ab%f94qFLWy1iB{)k>iq$zSU6AW22-ZRXt^SjKIQDz%^I zKPVc+4;e=-_R?!@nSb+;eqUe_UfcN-F$>rP>-_;b8YQ7*PTy=J^_f^>Zl-ipmr8Bi z?*)Bsp1b-}k#SnG#Q;Md6SXMg2UPrln>8oeM6(im1Iz~WbJqd~m(_P+qC+8u;5n8} z(IMD8eJspcfXN**S!M9Oi4&g8aJ-8OHPt%p=LZi-Iq#i4U3tAz?)m%@rPALQy;Uh~ z*vSrQM;1NHsXE%nV(-O(a-qrQgcthKKj^cJv?}>ExHhjBK>O-)9${!P1&dV zK|?4mUmCkdm`Bk;{2tKt>k;bN&@OH#eh=6K&a-+dE34x@_x$biGt%gzwuR9!CuaSt zj|gEt)o^Y(Rwz#{mEKBsSg{vU5%neN*Y8Iinn;e_e+IC%rT@y<HLiwbr6^Z-c(K80s#jdzw|sG3E~eYLsfAQ027I~fF33Jp%rVVv z$4&R8Ry}2lMB_vT&om)14kAKP9YG63k{*j9sE6c@Gh$~KwgT>p&w5a5TYY}V$$`h6 zcvjcVp|5zOh*t;#wh6_a%u{V5J#F`fO#F_vr*i#_p%tDKb$Gf~RSgNDoX}X6ZnEH4~Nn69``8f9< z|ItM%SuRhhv=2B~J0>eXLG2k{N^g8U_JlC-b`mA$gi7Aw${1ro$m0)V98^qSms59{ zNE&})ao%yja)_U*Pa^D0#v5`;BA1wJc=IkHiS%7AOWFw!&#zsWugHjoHQVD+$|=ux z^XtR+<<$`8pFmtF!tvsDWEA$uHT~h=7e8XuxU4#@lBTHEbY5F;w4zG zDel5qvBgm{ASY=Rbc?rsi(CF=akRWizuP?zxOFqLb9)+Y*Ih?W|e5mNfXPvvfj(iRBq^WT6=8A)MoT^hNu-A|9%YM8`zWz1q0BJ;e|h)<9Q5 zpxd5m0QCfG4&tTmDAUK6c?|qgfO1cEe64;LI^V?b`nf=!H;J^tu=O&@`mz+|xGnN< z@QSjus#(&mB?E+ZpHiorZD_|rVBR#Il+Ts!4Ginno%wdIS_ciVQyau|&!foPi3gAP zXGaH}!KWsx0q9?1sd*7Ev3RAos&~LSXRhS~`+GL8LlxL`dmM)o-^Wy6)=x_rAnXt< z4?I?b*uV@R+n5zSq)~#4Hn_B6GFw8&8fm!o^h~CAe5tcwaspex5 z#3sg%eh&*>_TIeeN8cn^Z~9;&im%>vw2-Z!dAcMv_$yv40{76zxi{Y}F@)OLu~6ld zNbQc0UX0R!;ZvS5zgwl5U6=Lj`M)4LH*4gL&I`%A+ge3zl{=Q!?Yi&(-MBIl@pLbiI7zWkzU=qpg}HZ5wNfIcB@j|GZX=n@sH97 zID!kJ-7IxOQImW;urZ9TB(3{G2QE$nh(1Bsu^vPld0xuKK5)1+I8yZ?CJEU8A*VQW z1wrb>0*Bz7D?|~s?PH3vvIHyHB)+9G8fHg1mP52EMY5JSMJnm1NWj^}!gf1>idpbiqailLr)+B|fe@ISEC6H2sv)&p8jJ7QYPndz(dx-<0m+ zF#>G{()w_j7}58v5b?hORUK`KUeN~=L9L?fNkGfd^q&4)j&ZW+R9*{6(&$6+p;#Er zIe24r@jct%Om38+fv&=T(@LzHgn&ypFb$ILJGvJBA!Uh&lflJ9JXg0Zxj`&%H@918 z92~TsZ6`92i1_U%u2E%_q-SAp*b^qaHThp`m9n_4?+~ zQMe_X{UH2{4eH-XARoSvuKVASJ2NNnw59>&bW>u+3quHf2@nK#?zQQIZ94U>u|q7k z(ObkpJ*F-gBTBVhrhXhhgebAxm>i^>O2K(A{YI_XLyA5Bkyx#(NSw+eB{i&7G1T`zrJ zOHKVB*GOTrY6WKf&$`^YR-DMh?r+=?PiQ{mlcA1H13(t`CK*?HSE%<{<}L{Q2hj3L zPZN8WtAL1_Jbu7RTB6YOCp0~#6a$YM!|AzGHUQeeL0zM+e$UlLMP#ZJACP32Yck8) z&N^I}LaouDmwmHE1a@T1 zO_V(Y_Z{gev51na$;38!ynvXpuO(}W4@#e4MIuOmlI24M;AMaN0;|4vr%8zq7YQYn zG>YY|K@3>A5MnnZ40uJj)?E&WoKk!4NL zp`+OMeIL{PUEdYlWxQ0Tv1tesW^vF02ScRD_+{YF!!IjY(UF6pi8y4GS{vd#eQm6O zyP!Y~@0L$dUY!$%dM7^0+Mn*+GZ0jH^)lmjx&^!nv8b{;%7e z?Xp&upeCVbYYwZ-=+%m zgv7vM-rg?rA?!&`Wh(>vTnUPAiG@DA$(b)Zua5%Z`|Q%JLXjEv2tnG^U_*vd=Qm6N zaHeEAnVZdz9K%!dbK6rRqlFd;lqbp#X=AJ}JTh?>eJZ4Rw^piU^{DjF>fN{teV=s~ zAI;8j@m15UkC-n*zrJETUR(Lboy@Pv^G37nQlt^*G!L-%sJE3{1kv+xYXi8);}h!S za;YSDpcnP6J{{7pPui$}%FlzBfWLblZoYXfVy(~1u#*@Bw)kM%SFKJ^pKMn6&tT$E z0HaE!io^Eneqi}m;jGh|t*jW`SgdP~>GmXC0J~ww)har<`l^yS-gh;YTj^D+vy5^{ z^9Qe$(SU-d**<*H=f#*H3RJxN_^Fg<0F3eiZ#iV_Mz{M5;tB0jkVGlpF-*2U(&)O2 z8zgA8FM7Ha+wJnbS!`L)(?V5$Lrh>Lbd6hyvo^ob0b*!Y$Ma{W!1UbI%jMxxG*(Y$ zZ?2Rs4-6%87~jfO${O7b0sJDfJ~a=YEDhZsWfZ?qs&tUv)1{KQsTE_c~mhBFmsu!~rrE3OG{MfFPiNiyOVoea_18AFo zu0}?IgvP@@Xx6^^CG&aYos!1a@m+}_^kwdwnEI$)c`Pn!#t%XJ6($Jj>NutJmo3pw zkPIwIq}5&n+ov&;&&twi=473g#R*lp%#z;2J)X!b=ykaLU}PiF8ZPE^dsw}23xO{{ zo|u_oG2S!yM@NU|3_y{x>nPHW@)nTO{vqQ93jSmEBE?eRgS^|qMT|aAoO9GoO{qck zs}oz|abx+il>DCo2ZJ7tQ{-Rr=cq3-#2FJLGz^>s?d<6BjkMEP3RhQ*cjJbE9^8!A z`YG_WgIPl-*0tu=?YVToe%I)V@f`m}9}BI9#BmnsBd+)+T43!MMfn?FMtPt7@eaVc z>CN?aj5OW#%0zd04D~6*cPq%SAu8C(`qkVr%fAWFtjiVFyONh5IT-ZnhEy<1y@x6r z-mkfnIi(IM+#4fp(dS!O#ivY3Ar@IOlAGuj`fY8wm-fCd-2t|phNfr>kjB&{Q#{^* zJT7Fcj@(hDk`6Yfu4Kh;Z$9XT%%m<}d!@E3*Q8{#iN7^TdVlCL*U9!>>!Te(;JXZ_ zwukt$?Vmjz2_kcOi)LIcp^Z`+7N)_*7>e@m``|lA#v4m|%HE`#4ozYHIx2>mV*gUs zo_+IuxvU8L!2g|@^@U9Y=rm=xO!=M`Mnq zbEdi++^nK+OBx^&n5{z~fL~~vwp^n+=GxzL!S+p*iccxaFFA#*fZylf09btSyrbp? zXrC9;>^MCv6eOe~k&>Ki7GD`7YIoyy4I{W~b{RY;klgvOm>Rd{JxAMBPf^iLq5uO& zHm%&OLxVFO78Fva-^mSpHe98O{(B_JkE}rKPI7DI zh$=nJ9Tc6f06(aTk8^(!~rktM=L@ zoyoy-;*WvH9|h}7^*|~e$g0xa7lj6B-h$2VnN%GQk4r&=Rf}P_zZ5fS(Y^$njDh^h zH{0bc=W_WDD?fTqvwO7zdk=Y_)cD?p-O$oe(mSQ6`IEGM2!%vzf{m_11JKE3ysxC) zNOYS85g-)KhDmIqh3AJ@ycT}7tOcIQH#~l%nf_zXYG~gPGpCFN;G~Y`?5BDCNm~Mw zMMYDFHcWpTJ3BQleXnG1W@yK*U?aL#T~Q&Vm7UJX@gW=g#oKFT{G3E9sK7xJn%OFu zno=8FzIZr;I`LY0h0z}t>cY3ve_Qt}FesisnV4J+_GzBQ?ApJ1#Dq2+ zM>-#zZUxsR#Q2a~1`(L09#opbwV{yv(%=%KP`<%ZTeGN2C{2CA`Ok}k=Jk(8xsF(Q z(6Tm%paxjkhM&awa#duAFe}`NYNz)z?f&eVZpMI< z*wN<+jku)$L}-;tHlXBdBurh~X9E9Ka?1i*)POB;1gQ#Y}hEK7@*Qp+-`bo7#x-_)j)GHRbiE8tFPF_VOO<+2H*Z$ zq4ieNISO`T`mo07_Z#wK(_l^1*!J_0BXfo{r6oEF5J0%=eTyi*CWoIKWkSqGZ+fv}Wmqv!#yq$nV~g0)`nwQ(pbwkC3ccD?fS- zhMDZ;e$R}(_79Z@x^Xz=`+Y+hreW!eEl`viIGCD5iF(1jJ_0SdWNSMuT`ww$rQYHQdLqrzhW_IHRpfz8tGH3iofU{W==O<(sfU_RQ?EqKPOg_ zKwX!oGfVv5^coT}6Ap|bE%l~}CYuDSzwT>4y^;CWVg+nMx4vwx(!r>lAe6nNKMUw+ zeD%;ef&%IVU2hx`2MKx|rOX$oVGtEVymAr>*CL3uG#kyGAe^_L<})ot?~Qa9gU;qK|B9_d(LU!hjxuj zGPMjqK1Qlzt!q)U)kEcBC}qa8aGw+iIHx8P6WC_nj;9Y9r9@BXj4g_Du=>_YqO_lR zwo1H~8+y-ag<}J&I8uFxaHl(W=D7cbqp2tqoj1qNqt$|C(-XAYbYO4nXNDTHrVPF^ z)l+>)@&k?x>zT?6K5lHgZPV=b$?k$_F}m_caM;dzTkeOZu_(4E9pP9ow#3flP7M#| zZ|V4QKffz3v85MoMUV8O0)}uDM_X03vv`f?G~e5h{+3s5D7?3|LWjPCWlc;of7OBm zrGqTe>v|L?MjJaYcxI)g?CTCvn3*KBE#xJhwH_&@Wq_h;xqG;(rOjSRxcgm2r&#({ zyvLij6=DidAR5sJPnaH;RBI}|HUq2%Y1@=d(SJTm!G#qw{F{@G<7{70e1evK24B+y z{VOvyrL(nF$ksJ-QFRYDtSBT;sIA7+uRp4x#M{)TT9wf{K>8$cp{eOA0L(NE zk01B~N#Jqe`e7Ij4N^O&m6(I>_U5K6@Q=-c%=OtM8L;?!an#COpZ6B%SkliS=IJn0 z#NkL?p}%d~n#4rBpM=K|_&a&lKs*`t@71t{B!`rudiln5=U@G{oT$Sf>X$OpojNGe z%5nBdd4pbBm)6M>BlY@6MO^>Bev(~_v^*P?$^xZqt<+5JL*S!e%`h7+BsRtf=ic{8 z5KpFcVvGqHfeqWvb8E51hRn9&hbD-o zS7{8Ihu<8GZA$Pi)UtFL4glz+h1=)ybOj?t-=fO*dJ#kcLUZ(A!3A@FV$Kz;Y;S8q zFjHYz44ynYS2)hiAbh`Fp&Dfs+FI#zA$>ONSvT{mjhXphrA6>j! zhLv}0t+bvdbl(V#?lSO1MPFx%(zoJi>WkA88W*!ZX&_qA{O7y`O#a7KwCIiV+A%v5 z@x}>1!!^=X1=gCwqTId1fbn;(=9`rC8V)bx1(coVNu5enHr*$LH;z&M)Ov>krf=J| zbj-W^{j!nCYNw|>&n-anHykW`hQk=`b-olXT!Ow0O2EWwJO~-ig!&~49hg?M*5LNB zGzFfAYR2u4>h$OeEbL8~wxY=Xmh8AwiOf)yl@ShhC1ni5Sx!+R(ZMf{D{!th3slFK z_6Nga>8n<%kkLcos!3Xdjp|7wTg6RJfIwNZS2=sg7y;`L*Dus-` z9csD-)SUCuhoYmQ-5-q*TOrOJkk)}7d&V<)3Hsq9bKL=pk_3vzH>|p#!e|%tAy4ks z83MW;fZd3vG4vsVhZjcl+TB_nP0S9NlYC$n2hJ1NOo46baW&N&&F??WE_~H;{1QTz z7~5ph8doEL{Gm(A+zXe!`tU8-etp^aj-k|V+Jd4IN3Nf?UQ^N&r`Qb>y?M5xshBps z|53QjisB3hDx0t2WPe*U7JNqt&F-VGG}bswo7Gq&3YCCr<-R_d5U2QBlCA%X>o@X- zo|{rB_{Po>_B6NOQ-53NZ;1b|95NIBs|{DrktJaaJ4}7I{s={#k7F> zODhK)Ts6Y~E{-(+?KiDD)yX4_(4NqOQmU%^DVe;x zzAIkxF!lAhf=^5dp`>*2r`&WDV4U7*s9-{@8P^n)pflyC*TrnWU%L#^yY54jk3Y{ zlPK6!YTDpu4e=N&$7OjlvvHMxvvR6pr`k#L>#Z%TP^#JFrV*J_@hC&Ya>6q1bGeWf7UtX@l~2XIH2BIj07%(s*#8jtF?v?W`1ine3?F0^KY0z zE%vvE6Qt;Q@x6@`Epk6NRi^1$pQ{0{$ptu8-AG&qhvJI_*05wKiC@lq(v_V+ncPv; zdiSwd)FW-2s5fZQaUr(u+< zEzynheO0C?=ZcANx%!#Tty9qY06i?Hsa8=e_dJS}Zo}a~iEul&a1W*i>OZ0V8hE*v z$lW!)M_WqZ>ZH+m;KV)pCTm0mdGoI+qrK{a`Gce!V^mQhk?(x1j>+KRsU5yo`V94( zT|uH>!zJLmI8-@B)U9iTLox$iKbbjxS3tE%Z9^;o#oI7z3p-dF&|9D-Y|p1E2p1&6M(0O<->$ zHz{{8FKgnB^jUZ7zfL>RRLf?`glQugh=o*c3jp>1@p(W^;FRB`@Gpf_MNcy?Oq#uB zANw^FM#o|erVX^o#|Cc5bw_C?4%9uZ_JAp8lF{HGrJ#!2GS*bQ3F-;wzayS$>1W7L zEZtwWUp=)n3n5opg6USp(olXcgX69_=9cP&N-j*th99iTZ1f%0bsX)>>bB`HtTc;p zF5M{pq=A7zs!_;QM+KlFDbt-i_D1)Cju>sL-4g!q)|p*5J8c;YFehA4A{Pqq?Uq~J ziloMbHr=1Tcu_kVs^#7=MF&rZ4A3zajjOVx$1w_#N|-w&UYBdkrgU8{&D#5)fa4LYGNo}nxW`DdYNQ?U1P0Q+=IV}qRY8H0;_Tf za(OQmJWVy-b_)LOO$A2@4T_uZ-BQk9!@jtE=WsdkzIm`SBdWkYR^km#?W=8-(yl`ty zmuGdZMyKmQgUOnQrqX*yxp(So?znlClDH_$Luixg4NlQGMKgO1zSGj?N?-@W5wfb} z7zwMDEdb3Lyxw|7y825nE7K?9Z}fWeXT)Yx%G2a&6C=5EeZYrD(L>JDcT?C`b{u0& z*Aq4q;i}{3M$sboH_U!xbq6RWb!E2C0ufUGxx^YTppJ73%bcH-9HvQ@b>1 zqJP{$yT@#=C$2A$psH;miyFBwvBNTYwhR7)wm2mPg584SR{-$)QrZdoyyfz&L&}Y!i!R*cgrz`83t8A4-vLTgfe_&WTSnl4&H0)~^Muv`^HgdKecLOWBYiv?UZK4{QTXMY<& z*S=9`1jEJY59CnP!zY6Q?kGn;8ph;8J}q(K{9ar)WQ4v$QE5MUN6`?cQ~hd^4W;aF zWz;h$6=rnDbeaa>3XP(f)xSdrgd%cc79cv{CEiud5&D3>w(`N^}Sr{(XVvmNR$iEu$En2(;>>5)^J`wuY)R$J1O-@uF~2D+HAsdemCqOs2F-p4fFX zfJ7Q9m1}p4y`X{LRSfO@D^RT-bLS(lY4LEK!S}T?gTk6LA}H|~g8}r<0L{mOPAyYc zTEMM(OH_CeQvKEa3AxEi}6v`CHafq=++|K5KA_;O#@b6lB;U2@EU#5gKEFJnX^TZm2I;Amc?Nj z2y=hHTfW=@qM{XcKs2tiO&!{4Zc?Q`<$0&)F{L7xI!|X3K^1VXrx%O2ydZnn@?`AN zJ^I33r!{Na>!(H0mgRXkZPGK^$4~d@TzxpP^p64Qe4;$(kA`WCGL^= zhHeJhelhiuoCQyuB=gXiH#hIZZZoZLvSFA*3Czy4GZx@ew)Fwd3Qmob2xNaM|B+Tj z6X(_-_$Ae^C=qnAV6kNH{Uy_{)^?~)3lUItG~3(-`zY9uB=2X#F7_G4)(<7s9k}fJVq-Q~%KR>nj4Tj1ZZ;u5 zoqvByfIq0l(&C-)(tyyDS>RT=c=hfX!vwouhW&+$*IBZ0#D+u*H29rGj~ zzNC5J%hSoR{lt$NZFX!U&}#viWa?%OlXQM@#qqvXy7%LtQHWuc46QwB3qnJnVqbvR z+?m0o+xvw=T!!R#@dfv+W)CCbQv;S#K~elV*8RCU_jXbu@oE!aRg|p+!Krw)lXsc3 z$7+ZXMshR)ZoE>*uRf;zi1eHL#O$)8wHAEfFp8*<)WZl34IV<7WsH%q3^WCjp@leh z=`|9}g@(cI(PJqa;h^)x39E-4vIE;UHcPrEO()Jrq~zi#GDa$m|;=*{x4;4J+B=GDik&CePh z$S&HrWuA<=-!Y&K$V@v)G-vVt9XK|3--^v>xuThk^1lKv3X#K=Mg7Y3*(`BtYWDfm zn1*r(_jPjUQGFQvta@QNlFS9RKt|V0XwTgeVLm)3>>_L@_i$29{ zCF>Cjbpj?}5y~_z6StaEsdoYf4vxzuL#6P{ow>znPd`(E7O^EI3>FXyw6)M=*(I1e z7<8d{>o;;GTolNHHoJ&G}z9ZmCd^ja(1){G$DrSG6^sX)-9~{Mf#* zzwEV*VDWN~%)Qv2CqWn(#6em}dLM>L_pdk&*+wsC5)&eMzuwLLvAxvictRs_oGjo6uU=i6E3;5O6Tt$8fUCB*Qh^)@Omg{vZb8eNnZb# zKTK=eEigLqpWZ5YLSJ#Ayq(lqveE&oupDD)^AK^fzvXcW~+E>XHr7Q+Z z8nBKLqqi4|k7{}Jm(teua~>Dg=a52RUSC46W5juUltzT@y|4za=0#|Gyu+UkMjZVY zEPP9l22F*&U(c&tPq&%`xw$=lD2b!G9GmVxWSe^j^>2_UBdNl#`Hx@B!csC7lnNSb z!7U#RT;HW^HL-thH=iNSm#OC=uTQ^(cO%MC;3elI@+1C zn%JjF)z`HpJw*tCysBM_h@Te+UNJ8-1JZ6I796vk)5I7e^p~#e$rIg3i_qk3;FoEh z#=f?;dVTnr6&_yfxsV10>Z*_MX@bbMo7aVqX1ZL>5P5`PIUxWtg>d$P3mBPO$r$o& z5T`%08N24MDonoJ%Yt0AN2t+rD~bvX@a%#RdB2ZgTuK6D_54M%QM11BFAZ0eE8f0i zH>Z6uT+MsVag(O!DpB+#K0O=Pu_}dLui)jp{Vm1p=i2{;&@bA*zF3b{fvncXld~^( zzLAHoFEOme0~IfP#HF*&)bng{`l8OD?v>|*{+7@!k;-?kPHFhRg>1vU{>J+H@~_-+!zLhfy$@y84JBfxL7XY7RD{t}4W z9CSV^j2K&uQzGjusBq=ajF%^uGQ9PlJ2vspR8d@goUShyiTfzXN}s&vI4p1s(ah0F zeeIVY|7D7-Hu~&h5A2{&E0iaigQMb`mNdaQjk+78>iLXJhgOZVAI@ETZwlaY^~uzZL2sY{9;cQPjPsglKur^ zqFSANi6^1qe-%$`vZmpb=cgKkA>-PaY`S2p%w6dIA)U_$ka}l=SXM4eQK^HD1@POn zh2!AZ3}GO=KipI{`p%IWZ5qa2sG6n?kJ}=zO79bXYe2|%3Gzf&{UtosWBWA^W&cW| z?c~YaY{-!4#?uFcUAr=V^Hy6idn=49P=8x+P`aNU`hxB?-Va~+-^@?9y{sHWY+;Un zims-ojmr4yc>{WTVGgt$RYUeYCfHP_R?e75HZ|YYg*4QOUOd);7NqZrXB!$ zEDU)|O8;D=Q{4PQhT@8>GoHcNvgB?`;mgv#S{N|1To0HkFeD}~q@d`FcqRoR-|qF^sG@^lKBv+Wr<1czGk^Jqc-?n#5cWB^+@c-v`v zD-jBUXzvmB&z-(!F$1BGjjcOS2Iu0MQZK+h*-P||&SGWirt-6ftB*+Jd3@wC|CskT z=s5U|$ml;4M?U30F@Xj9nHF=d`8AvuU<>dE%FxRfsgt=904>UvT{HB+|1*3FX)%x< zU3AV=q--q$wMY!#O?;bm)Y0QH#*5tU)OaExJwaHve@e&1C5@G1%MossX?L?xa zRWnILp1SeiE78ez{CoM!nGgN|tAShi>Tsq5?${_S;-es^uIVjCd7s-f-XFqqcx>=i zckcOt9^YC&6}{U@Qeybu!>_j<%l{gL^8mGL>PA9EGpgaYv$Oqf#X(7-G*4MG#n!CA znAEr3vu#d(dr;am)oSd}`75my2TjcrdyTbZr^-@;3CB(AY;ep-Tci?s&?gM^Uq|V{ z>A0*BfdM_C9b5UUnz$~DRFZ~d=x*kYxew|-zqF#_g#S2~1B-zWxGyXu3^lwPcaKvN zcx^=d0NBpZAe>%t)GwHS>0z^vX=tIJKYe`(+_Ko8uck_S2dic?_yvyy@teK5+2J9% z_|NElH35r+R$~taj3+$pFx&JF%%9YMe%ufNhH$jCJcA`xoKhkCq?QLHc)&MpVaR&% zhsU>fF8;HEAe2-pvv&p0#`B(YsMQI7>t0V>M``iFe*yRRcUmGkv}s%Wa!9xTy$uf` z;-|D%uO{m+Aal@c$Dj7akVYQy5FIN>VuGkPRPpPdv*7!pSDFsY#Sqqs;7ZdItT5$PtbsfpNF|XeMcOo)8WC zn&K;9z32H@hv&0DT=?lQfuz{Ks4Y^a!OHVA$+ut^pf&Rj3Q5XW=W-3QK`}2pKPo?hf_ z5~tnWT2n=-Kz-C3cVZGs#pCGpRww-PORTAA)PQE{TR1ebG2r{mC?0l*^rR`8U=2gk zmQp`Ct+#TE#-7rknd$o@av-MP5b}%v$&PC1A9D2H0Hlh=Vv{@c#P|ezJCOYn4t}ds zTFlsb+zN4sMnPy9aGXH#h1!1CrG)MXFb+hPb+sMnK3VwD+!O#mjbp|?BB|6UJh+C1 zGlT~o10s8TrGK{;EJ7qRYiV|Zv?-DPWd&J^vy^X+=2g{~OEGm~jnA;l+*YebkZ8{1 zluwwePk01v0^g9U^6@7ip+g&2klnbg>6%D2pIR`cSt}tkV7DTLl?)6&r^S|WhGeG+ zxAAlSPuOvf-SCawCk6PVVIrOC?-I&#d!?e7>iA2fv+a86{lqbkO}!bF^8GcyfVCT? zF`m06ZiQ2pY{9VgY|tM$Fbi$1xRFroD#AI9=Hg$9jN{cI_Hc(SpG`(>E$n(Knli}> zS?#u$o9ox6DzwSpn+4KO#UvI%i-1~$CpX&i-ZKX(eP0{o3nt$iT2Ldku%SY zF%+@7*b`gMZ<`a?S9nx6xJ88T^lQU=%67F&EgxrM-nZYoMOzWdRq0EHH+f+;PLT#T zSW(a4HgUniloXn8vp4;VY=$+tttV5~D8MAjKWDwP%X~M9Uh>t6@O7#C*iw*Jt8n#! z%Wv9wbTXB9IsS2Fs+@nmGa6+4CY9PDNPzsYcS5BbKhfe@GQnM2NIv3)uaQls?%gF- zX*-Sxx`a~8fROPrXq!#};0^DHD{N9$vToTu$vRA;kdR?A^pTz#r5O*2&+p zP+M|(SaT(=(L&#MK7@jdS;kxpuwYGkDT&Y%Y}ZP0VKQ~(^!vyCc=++3N;s6GW%$ed z)kk@9#L0VPI-95&_Mec=`v>BUG`m5~2L(6B`Kzdychbb%67qhnjRMMT?u!{J*;z}< zcF0LKf0vE;$`7!2&7+*^WW4v=M9t4aAjY?WlKyS=O6_3O3wFj@|!?WFd-a&qmffb^~B8DlY zg@-rJ&B)Y8%8#5jPmcUfW2xmVR6iOEs?Ru%TV|iEU-3?|Ic*DGnmMQa2yuEhyQengn5?yr3S&SaFUZPWMSC?gBS{_TS>sPee7vOAZ$<1U%MBJBZO`mLn zo4j%8DF5-D(J`MTN6SxKG<73dr=Ya&y9Q3Z^rF7&EP4JW8AlA8+_I8Yl z2{WyG4%7FM8c9ObMNOX(t z@P}+3u$;FJ5lSCOHt64!u3hmL&#lipC8>;j5D+N*j}%1KInApePk#K`#TP*tg2 zol~Ej7jw$Lhp!~#dKyH>|9LwS#1V|w7yo6CzF2ph(ANqwa$bE&$DoU0%WzdCHn%v=PKNowEq!-BBp_g4Xw}3e04mT5XN-!pzOA3Tu6}>^)AcO7s4S9! zMdh{WlN(wjqE{d$^?Gi*Gukx}ybwhiz`IpXK|rKZKcrOTz!sj4!niaauWP z*iZr0AjVjR%cN$U+$wlDE*=Rz^V-I}ny`h>8b_=8`bRY{9yOQ$aiNo|;`OK;+A*#? z3_;NY77jQvQP)Jeg?%L3Xlp*w@q27t?As(aU*X+`f0Xv;#sYia^FGo?_~T-e!tRxw zMPGDVxhqWwPCw3e+YNkR75UW5*y^x{W;In~Ta{weVIfeGaNM{}D%GCO9&g;SWv$vY zqDy48?Oh9=@aRp;AIslO0)2h&nfyGu6$EpNi8vayI2ODJ-|2(GSs6 z5qUQSOD_-HUJbK3PE73F&yPmuJ@kDwC7}CuTjhh9^@!0oROwF5dMQ;~w3v@6LoU33 zl^43xukC8Ceh&0Ko-d_lKP2R{V0#{E2pp^vU;J)>io1{{6IL!hu#XRYoUyN;3U%;4 z@qWuY2pgxZ!TRE3wI%Q_(&NUpBS{gpZ^lR|tmaRpcE7jL@zKS_vnckN^IAuR-O~y8 zF7o;uRb*vw=$YQ~t_lpScbZr>qNze<*;gve5y_aOW#t4a2KyxTHJ>FL?x zRtfIx5t&76cc9vC9$?}kz+YuL9-8;p*SGbe_w`qi9?*?)5w+=h8KXJicM#wuu?O-l zwnDm0RTK7Xpg>5tP|)d4dkZi3Hy4Y{HX2pSJLHsg{fDqjo=Wz{V!6sQn}p@hs$AEo z67qupeXU>>DBOG-5^QQ?dpa`8(e_U5MSEGP3D+ww1I$JD2|rW}XL0wmO+)Z^6>er% znm9*e%2>9B)5S~?nH3;$dj99LSm1RIyH)Uf>(4WVN`KQ}TX*YEuOLiMQPm9kiSa28 z+Dg<}3|s8=WwY4fyTa37Y@G<-&YX*?ys8;u9{JWgvSv#BmY6rwY%qgz=!y)|>kV0w_hxS1{0#`$3Y+ZvWV%OWZ3ctN7j*^AG1 zO53uXOS7Un>%5^P{~Gck znyNsyvBAcstbZ_Rs5Htp*cA3-)sXFbK7oIb|AS*y$bYF+cQ!4TPs@@zJ~mQSc;5LY z-!qP};`f9N4=Ha8ELy{UZZ6a5w3&xl@EQMkTK2hmB9YU$!f)iPs-O-ZCY2ihiztD; zWvT%+>%1U>&l%kEkL<`s;D=TYN1#$J+$#_;2TcWqHlrquk-DDP335AsL&rW~f#R|P z%P&Wr5e3Ny-Sle}Yt!jM1WVYMe|4vQEx>{}{y|Dxu+yF;UCkp){S8rueYqfB$X{dI z=sPuaENb+{nw!#g_WXB(f0vx_W(7RmGz&F8P7S%Jl{pDjW z@mlSKmuu@b@np3+d^UpqFN+~2VB?wb{d+&_^S3X0Llid+BgPzj*` z(n8S!p`ux;Qe)hNXI7r#|M+Kg7t^%9%Bba%>AZ;hnc{?zG^!-B)$H&(5+Gk*Ta2`P z@tx!k4xbp>VZN#s;468$+IobiWj8EBrOr1XCH(?P(|1V%KGZ$IcyYQc4FdVk%O7w> zh?EV1rW5|`Cnp-4cSfiirO7mbS9y76<42oeyTz7r>4GWJi6Y@TDC!a}w-yUNE zJz{B0s)K!5|Ip0Qq`S-_*4xKa3XQhmpJ(Ci@QdW#EAe`>%!yUP&nR*iK9e^=yJ<7N zRr#50R?Fu#%uvhf1*qp&h>iVIBX9q-Qu>(JgC4(F@T%Bpb6eBM1(2 zI+JY0^{RpB>rLnLOf!<@m33#O|Gu&R2wcPp&goldm3r}4u0c(7cb<-?>o{y}kS9B@ zuBTMgaqL29rz4Y>iSi*h?ge_RVavXLG5@=u{@4ZO9pJG={N z6IVg!`=@A#RUPxW&`QJ5x_dehsMd3#ogoki7@r_u8lrR+u|3=T2+g9b9=_Igm+qM z#AMA6DPM27{$g=&bg@-3+!OuEtQ-q?2Dx%P%owv#6nNc@zFGWY*f#XZx&oB*HMOvv z+DN4MC2V&<37l-*3sIu)6JT$ib7krX{KZG}J&Jt7;0*n}v->^hsCQMt5H9e}T8(RH zwO#Y){xsFBAqWQp6KX{~?~j;&6$c2~eG1i(El1^h8lZ@|-8Ui~Xua<#&!;~rl@Rl; zrgmMgT~^J{`C5p5y)+jG(8&*YIwmuo^lY2wD3isT4?!=u?R@sIqPAv2vs))AXy?`z zfsZLV;*u{hTg)PHx;{yxWx$trn(B@m*7k2_*eqiM3vN*ZNyh^4@3dFs)p0{+D|~f1 zKdr_wyxFs#Zf7@s_x|{58)Gg0>(9KnCQ(7#cV+8uW==1e)4Z_>x6ug4$VU6(1!vO9 zL?6|H*UaRT%J*;_hTOF_`pHW6H9$3N$9AvE?n_!DsVO7{qEi+pBFY7S!+)=u%KM$9adY+a#cMFc3**SQZHfEygpnNem_s6R>Y`MwX zuT0-ZJ*#}mmcx5v3kwU2Y#SwLP}GrVU^{^W;oT<>jqi-(GY;#&jM<;@md(R;`5VZt z+6Y!FZmratX#?c^v-03wWhy0oDbMJeiI;Cgtq9yEwyV5R$Ma8VK?3&Rd%s-P|;c)<+y_<~yr#gK)0gs_I&Y@`k*A{hzNb4fm6>9w5^|>MTs?wuqFO_{ z1=lD3j|)6edTR6aaccJoABK?NhPuZR!XfrZi+k}~NbYaN2R<`qu#y_zd|;eW|C9>V z?9-H7-xTN;IbHQ%$>999_5>*VCk0K@Mn9>t(KV?3Kck6F) zeNZwsrBw!lFD9-VWR^^$CrwDu@P5J_i@_S7Vgp@GvLY+|(Xm{ibi{8jK%gS*Y7i70 ziiAdw{n{C8A><;)bJFie9F*HC8|5dT3uKxtm&Sha-6q77Y#jcq8q^*AOg>#dMDSK8 z$xWjC@S<&@kc@dA{wIuOOnz$bA2ns9XGU%kGn64L{N#5l+5T*8pnzu7YP(xt`N+fp z*dR)vDoi_OTYvR&Hf_hFA64jK$}r0~_us=5Vm68W@^77kz^m+`R!vhu;jP~$vH^QY z+jIc0mg7D|erWpRccyD?FNmJ<0}e&Ckzh4JiVoelJy_|$vpE<42aeIMmJTXT;*fab z4MT9VkFIrfMnNV2w&&n6s5-LZ~(qQ61bM$FI>CN3W=1X zz*9!9ZeRNVDdM^IyrYX$x2Ny!Jo^QsnE$#y`$q z#!TXv8Y4b8$ur(%XH0lelTYV>b`54acUVcR4js_A*MeH6X4sOY;6 zYQa~mAIay6cIaznIkiSQB1aY_G!FH|Z7TwE`f8UeD&9)Vaq%TC)btD;QlvPMk?K^_ zKQ187G)+i)-k!9{QtZ$z(;2h&O7R$@_W=&xWPW3y-ouc$Wdg5SATC{XeVBb0>;D0au?!o&Bou(z%qRzkHfK zugdE)h!zt`ke+Qs%=7YX=f%rtr>XT`Bu9qLPcQW09C_@+Vq5g<^(nvk{#IQ6U$5Aa z;}Nnap6-8%u{+sl4EBsRDf{`NgwMyjek#8(yoZnil@dLBmB%y7oRJcXHV)Iq%Z*Rax1;?H? zr{3C~XD8nS2_qM32aO3E92>Slp+lyQlYceHqV17-OymF4x+&is$3x*6b6R1n=}`>o+Y(Bgd1_r5)TZL`@j=4I6?Az>i9 zI9On_dZOmHGXJ$`e*z6L7z2E($oU5-3Desb;xX^Pv#2fqVj#4qCKZ)&V+hg@3e2Ou z-9n*6^2X%C``p8Mzi+XLBISnEw0Bgee{$vnkSLcTL9HGr^m)MBy=nt0Nk(A?mTeGC z;TMNYR1QqyAWa`oou6<*JZHd=2Jhm#qD`3i&8G1uf39DB><>&A~#hIo*%Ud+k<;559W4CDwim< z{%AbT`b{4%jb&)Y`E6Linu%(|E=r^in}Jr3aD#O_hsl6MW{-ccDpv~v5zZbeKK%~a zWX?g5Vlg=_nrt|4xnyDa1@mcswqQUerHZ%2NC_e%^9Bbq1y0mD;EYapF|hxUuXLcY z)1fp;ON{}7n2sdJ- zk5;D_&~vjKR#9E528ouxth~Zk14P-Bv>@ui;^z&_-h(de)hi-ZAK%)=D%Nn2GCT5T z`VN=hrKad>7W|Z@dPDhSD|#X3gUT*s;vT6W@c^IYdqHXLWx+FnJ1s=}tZ~tcI_pwn z*`67q_O=#q9J<=NeRqaR18OB~|LQD4I(_`*yD|6;;;Zr>2PNeW2kHsE${!K`MH`uy zRqhf7DWT5Gc>Bk{9D=+DoY@efavu3)&I&`go06);-~4r(m%klh>f#au6y>pH`6A+J zhrxw%me#s&iD|l6Xl^16O+-V+W>kt-4A z^(KBXAC(S~L>*`<76Xz>v2{dBMqMT45xFo|313&LC9gUnB*?#hs5l!|d&0ZiHC8 zbIjrfNJrD?7~?0x41lGQdES!8QJB{D@e zx{D33{~K$b$kAS|DLB>a{%?2eSA6cDyC;gwQv}H%E0;krea+3d4K5`t$*T=qJdw#x z&gX!nd3}aC$-fFhWp_FTXT2e{yocyZW^!lK30wr`V6hFD)mxqNp?$9O+-k%$zTaNh)# z4DiRhCh7!aXKhHxgl9XyAxxB<(h!HtR2~Wu+z5N(fS+!V{H*yY#!8=5rtA;uY9Kc>?6QHAL=}QnXebiwdmQ5T6AD`yEqm|U!Tx-Uma$-@7bZoDUz!SrcvHTio_iPWoeVE`zHcy=Y zrSi2U`ESL80r$`kJZ%22hdQF6EK);M8 z=@Jq^ZC_y#QxI?Ii@S$Vr5Z~xFOh)_WI;LM z-eMjkUzHD`)$*%%pMO@?ECi~<4GDMZxYabUSs4BjJNmOO(a;E<07rN<3*IO$PrTE7 zP+^=1pw^!kv{8jdjFP;Ad%yZTx}HC$S%x{*3UKW~o&`J!GF!l2^KH$>tjy$mT z0tG^MuvqOHW55h>^t`xQDvX%B;AA`Q`!+I6LR(Bdz|icbqXP>;x2bPlS# zc6nwS#LZ`L^ZXQ>_YDImZhmVj^?P|^h2_ZaNUNqK{LliIQ6O=WsBO3YwrQqne7d8W z9`yRFhN^x&$KdC<*Q+CPI*8E?>{(%kmwiysX3a_6NON)!aEN4WoPa2D8Uc&_j^rep zgWhGn)5SL7L^~eYfuZM5MI979#WuuD>RUO*Ota-e|9}{uso)4!EsD9&Ft&v=L2x5*F_Y`okA2K#N?&wna-Pqmb5 zTsur_9(@qUI;5k|*17aJWg<#Sw87a;6nez2@nM|`65qlDoKqsp-zEi4`i}@$Qrj&X z6aC`)3-uBy8HD$}YY9i$PtyA9O_#GNdBm3Q4Iei+1}J6pmxRkDi9T@*crTmuNk$*r z*}~q!QMtp*svr;c-ba3-zhb)vP)&95!g3M{9x?6?)8I+-X=xFU)6m7&2?@DL#DR8# z!cJS++pxbA`YsAn4W6$*MDe#0qbV^@bAHw{5RTMj3&OW%>dRlj982LsR=5*U8`c_l z%el@}RL!ESmeaMvWd;#s`E$*qHw+<@qaGh@@c^r+oVWKrPt$R++ZN42`7$f>`1>}4 z$C>O+p%fl0a*QNV9Tjtf1UV9Svvk?IZ%)k;_jbbjD2y$4w17n6_h`2jV!mwq(RP@% ziHqGD%1N158rxEwU_uaa(&zZxcOQmGm8Wb!U|%A9jpaK24SIf6_||)uTrQ2CU@gp< z;JA70fww9iF!t9pa;syiOStPb@_%i}Djv5Uq|gA^)*l0lS8uBQD1KQcX>?{Uk{A9% zi20C@d0&y`S57dxgcT*%Q1R-mj=hn&fIv#CPTpx|2Q{g!V?XD-_NqWB|aOT^dOryME|6lOFOHo zEk}Ag)<|)koq|5mP5HV4S(|PA8oK4p2h(y^7UEN%NEH0ev~^#E5`QuKN-&WLLoq^l zNVw9HwS2Ld@l)lGwG!LsLSu6qKwag)&7@CR1v!&&25|=pK!N5%Ax$U1vT_IFovJ5D&Sr^fhej%Qn~gF{%2o=B@-#iZUb}u2P6Xgo zg4?v!XzgTGw?CZ_&ccm#^t>K^X}=wT;5||h`(Q;He1|LrOxM=5<%A(($X~_x4Zdhj z$m7EQ@0}DD&q_Dql3w~9CL79?Q1Y)Ssz*ae99c$UZYZa=x=OU91>r$lg5XElav9s=|AN7tgj{5|?OrqD4KRbzM z$Sotq-*p;4p_FLX7dNVxD}h-Vc0OWU+ayB#8sYul%1TC<8~wo5-faUN`bsXZJ&jd` z;^EYPO8Vv7xYm^O8e0wQHof?G3{trD))ryi&K6B+y#IBEX#U3r_LDhq+_6@gO{=7; zEA_H`oI1>rZjKp$a?M1G+7{;)NAV}@Eg%kx+-uOn;&1=nHL_f~1Q6sm4OIUDbJD#y zp4%|@bCdJ>`<1|9uPN@0*99vcQiMu^ZSAdNS^JxYrp!yi;3!5zRKM_HoP{3fCRO>btfXU!(l4qN1D~xBhHyeDW&$(fEc2Z?4Ox0;C$zEK5d(If!M* zp(t^wpwAM|TD;txpbSBDsi@gC{5W%zCP5z%HJ7%Qda;VBM=kNR>S?U)MbEA7DrwFm zhRJ8FEMmQa#@0hAJAD2OW@L_x}?R?-`!cdu~*y%ZHgFpcBZYz3$^eP6OWY6e5?QXx8A^(5DIv4 zYy+8#etk~1-YPC9lHqyj_1c|xsfz6CjaCF_L{ZIn2k{_!(rhk>h`eD029~TTE=2?FK<$ZhzhW)~H-z`NZH6xv9-AQWExtP7OUx z8`qWJ(Pn5xVqTppzq@I!QvAkU{Mywp%5G6cN${0wBkpm@zJNhdtiA(?<%9PH#!b;9 zhMn|D!TZecuWu)G)z()?5(^wn$KKkVjn|Bp(_a`9zF4m7ZqnoBA>baC@-#<+nLgLX zM6Xe3$N1<29GCgSopS>9Jdx*q28@Y1PXciioXacQPof15vnntj9545gyGqNGR}%5o zg~0wkoHhTJbC?o(&7Xb~L%8vc#?zDHuVbOEF6r%y;aSC!I|P+8kj5& zTm&!)5$$A6g7_r`3#vWzM7`iC{QJf;^uZ{v1N-oGzQ%VZwu4A+Yz1;!c=Q_`u?Wnb zJ^Tp|^@{8$BDq#?%6+a+jHx=sqaAMYwoQfnTo}5vmXiO_WjW%m+p-~Ac1~^mRk>p=Fg1fC|90 z)zA0P60Jk&TJVSvl_%~aRS`c+DvMQle+4im!>(#xD3{qqH(%SzmhnNeS^LSTzaPTx zR2;`q$~(RL`3vBA5Bzc!w6iQ@z{yO_fn(tzCRWU);0wLSRD6BZiT1MICcP z_Zuym{7&D{)pEcBy7h=rt&)Vf1q)P?0>IC8i$r0Q6q_lM8lM3YAKY`1mxLK3V8%9t zCv-NtvghgH1^S2Z^^meEwv#LQ6#^2CPvs6kr-NAb@PHK*A73akSK){QAum+|cW;AGtsO+}};ein(RH$}~4 z2&w)Ajs>-0Y@(&feN*cyQ#nhFSXrW_)uoZDJw}E+`!`+yeDVBP?-AoTUo1!>%u3#i z<3%dsM8iQlf*)k%|GaFjnzZ|m^QNLRmkV9XXquMh_u3Hlyta9q?RrHsi~w|%@H<

Aag1|HTpt7VXBAUk$wUq`lk(&fvQn`p*Ek}?!=A6(0D}-e zZkro79G6fVj!Mcd;kl@|MB`8M;V_F=&FvXCY~(}ad8>BfaY)3>GdnaBiXA&vQd`zq z2S9j-lVdgKBa5HJ5V1?{{^Z$r^8^8BhZZ?XoR#-vgJO*??SlqzHeN8 zm7?Z*%pQ~|wE-C8pdP*$x+Hzc)(6V0%vo>~ZlWeG(~M|yk{x;x12NMF)U3-b$agQf znj08$zpioc%}LoUy@JA zPOsyqhSHx(c;dSOYkYaoKvHorR!KY|Bi;y-E$#QO+q;60eUW zw_w(kmqXt%y~w;Yq>?_TeDm2USJIOLUo(!U1h^eO^g~}?^re!u{=vLfE_#r@5m6+% z&lp5+NkFpPea-%~r4qa-q`OaEU-=2kczR%U9;|>s{cK+?F51*3M zGnj-@H_p&*Y|6l$+3Fs%8#gIHAdYe>m*M8)3!O`8M0g;PkVY+I`zQ)N5xL7|rnBhC`nfXe{CkrfFV)1uox;Kl?OY|&R>;D`VU{Tl-g*D-?P=T`Y z#7&y)z-s}pT)HG3no;z#^sAJFrwU0FQ;gk!H7qRkm%7fMXsX^H{`~%&$*KWV1fMWF zm>2r#%ir?gzk-A1gl z_TTO@>rZXojSefeFB^;pv@*R7&dE4KpP@%jkDW1v$jJuJRW-k!iYg*q84-nw4OA%U zxT&@5GE;1n?|hjNB1fB`8IvBinjM_Bp=XGOpQoC%v!A~JxCg=9!lOKBY4w!;{7+9` zJlyZAPo16yMe;!&PfLfhg8$ro6L4g-?&SBZD1>;9W_^AAe*;!SUY5d24j-6Al45q< z0M!z)H@Pt$P=E>oA}h1}2#sJteL1R?lJ`JjE1>V{Z>UTS6OI|an5q2~g&V_#&onZ1 zVIo{zA4tf-#VhE`*~DZXXl&S9&X!n!pX^o0+EK_ai}hBT;ezE?0U1+JxOfeGmS;;6x7i2X~^-H^< zZ+K0hJ8HFRE(2*Z0NE%Ra7`Fy7`~^7QJ7T4&c}_?&0Q7_Z!5IlHUVvWG6x<#?oDKC zOC4ykzG2|J5P4*>;}f<<^a0~mx44=dB+I43^~x2c%8fRWlTWg7TWRQ^;Ri0A))0Vm zS1nM4jsF}!IK#H|zf)p?eqzJY!=MF(Ct;Ko*6Ydyu9qf$2N5C-3J<7{RL`RZY34OR zi9Qr3OHPOE+Qu}f0|5rt%s5K4NG?xIN^->9o2k!36!#{9>(!!mJrAvF4uF9WpS!N2 zkr1IRc-&B?)ZuXtDj{hoXXVG04B&mQ2r}qI#kBTp6!=TZ1JeJYx94+Fj?WDa9y~x~ zS6)c-IPh6D>TR(W_hjVPZxj(HlHk9zLfPcd;1#2n1_4G@vO;{DMQtm$J4D(g(_ zIJN-wYBz}9l-%}~${6xYeB7u#x5-ilmN3=u5zrrJdz~`ANlEZEBzh++d6TVZ_pstD z{C`-3C;|VtVc43b>QmSgp#bz=e*l{}%`GN}cm}T8o=tiIVnc`<7XH6^7BS%^^TP9~ z7x(*rYepLxU*N8^zmc7eTezn6B5{1P@~wv?4giAp^ru{ZDhJ1oaErI?_$v@Nab@X` zYgSHjvtBg6S&;_??5UxM_#UTm*i&Sstuc7Fnttj#|?z6L4I#we$X!jx4r zsDpiqUiE_09v)!BcsV(QJ3b+P=&cJ%Sa@I#xm|3S(ycFx@6is>;VM=?ZgD%T(bc+S zPM}o1=3PP>&jqBK$55~?guCf41zTg# z3BWqOG0_|z3_2GrwvF$V_BDU-AFl_ITj9K6rekiH>6?A51@0|P>5KM)dZ9fA?aZi& zG5bTb^)wyq1|j3>t9!+jic7;@{p-^9VCrjN!6=TMOp9F79r)AUYbPViKJX}s!CiIC ztNcd8IY=TTx3tgxVNsFu$^!C|Iv<_~1AF>M_|)*iqoVS@lJh916izXZA&ZEl&Z=}+q7IFCr4cT6X& z+R9c<=kd3-Xv8sc)Y6B4ACfwe=dOhwwL)Nu>Alsr?_~u#dt`liAIx<;ef@dw_~Bos zE75otoo$qe#O6$a^=sUF{xaZ1UkTca5GhP}rCVgHM|4V&Iv)bb-aH{+)Oz9df&uIN zJ-5M*p@8Kx!CKQvX;j4A+kmmpnZjDybG%~7504li4z2&5Fe!R(+W=q^an%r*71jXG z`FHzcP3;E^OfP<%OyMpnO!VBihd0~a*1xL1y)=|A1rouI_#C6u+)#7%Lm-79P~u;X z@ARKXhm|8DS-@8G{bzQIEbEq$o?W*ZK&Jn-CLlhwx)WZH7;OeBIGw*c;;Y`k6}e7}Rc;61v*$C-$NYsJ@5ZwaqSMJz%I% zNUY&QW@g`itU8H7Ku2n0SaxwZlRxUV6)*BJ?eO&pYZrHZYy4~ZPBUx}>-d>nICIQ3Sv*bp_bNl8GM8Y(0>?UeX>{9>=j#72T` zuFM1KKQ5*J-P4Lw5+ENURT0}8AiFns-Bq6}?wxd#rWIq#0@D%nIVuy-gAVt68-Wo7 zLv&a|hpkbTLCRx>?_7jEjQh#oZNC!lw_g0~S6(^iu=@-!%(uesfUznKJ_Snmojhp7 zN9;9e?kx>XN3U8e^ z5>dp7+D@paSfZMqP2~W)_(P4(u?@=tGr8$%5x*LiC{Bk$LQwaLqBBR_V0lMK`2TDV z8+X;L6NXYhygZL9Q$B1@gsyAgyHpJ%+>KBaie2n%Z=^)o0p=`H4h;kf*CsZ4@buW( z7Bb%a-=EnlH#ZRn(BF4$ldoxf6ir)4Ua0MY`F zJmOU$A_^x>D5lCZXn<^9K#J!wms1rF8igaqhQS#YM+J*J_a=&mrojo^Iu(r(4Q5)0lEVu-@lj5`J?b zqc8t1Xi%~f6tM*vipwlqO1Vbo%LESRyS#XhuSb@Rd^=kbOEYO;iGQ_SKgJwU6LrS~ zLQfe@3d~V1JfZ%J&s?^Q$>nPe6j=OOfOcbvwN85#0dvMS7Y!W=n`C1zD*jSE=C88e z2j!eBAVCn(343yYoXy&VVU#oPbg#VHyk|&_7^nyL99q0`cIQ?IY96$yY79gXnY4Ha zHyZ~ka&#E%^-&T&4HlCtU78d2{< za1jm^mF&`rmRqE$q-qYmXi_I)YoEvu$5L|a4Mo&OIN!hC7S_665+UR_5+hoUIn13GY=jGWRVa%5 z9tY{g8kE>t4==7CtMyU{`ajmRm)(dH2%D-BZ8^?mPZDRGy0SOK-IE0ElogAErrZfxCd}oe}t@d-#N)$mAoD z?7phdxf1L2>yLre7(nB;hk9&5wEO|^y-oL6kD1 zQpARG;cAe9Y?@2gHNWnqBPlV|=}2GQxb3R&?vRAOFVne$(QXPI*4xEDTctNuO6OHN zSp2`9wJw<9mIbZ`g-v5!uBkX@egF>R?<3@GSNVL^)vSR9=Y>*?RqXp0(3q@ztpg2g zv=?l_z-Y_sLt>e-$9Z0s%omEuL<^Y2Fuvy3N}q>{AL_|@Rv%2FqG<_knOk$)jq5ArW+gcZVJi|h~7C4i?XF`Yy2%1*ekCwm=(R&7NFMqzD zNUtbU7(Wqc3L&BFa^-ohwaRnWh<3%CCRbd=6XWe5DROHUIu{)lo;huo-|T~)@cjhNZO5sG#h^%nJta_XAXT|@V8*BLtirOTYLusQ zTn6>_+lu2d4M(Ccy10Kml4Nl*ZLBHc?F0JZ%ufw0Z*F0b-ZYEbV>Z~-(SH({9_lbWl zM_UPAXghG49isv)TnHZ;a)Bq9G2N2$qwE@>9Hh9C8g1KT)W}%kt*P=@aU}3+4bSX& zfW3H1mqp2RfK61 zP|42T!>?7HJ65D$p0@>tS8QPWW%jjBs%RlL!Zx$4Pmv_y$jb%GohI|7d9Zc|niiE8 zQ6NQ#eEl!WJE0ytF)Nq7GiPFV$M^g2*&X&2@P7kgZkuRqSGcLRc+aKfu)SQ8N$cnx zNHitO?S7YeLcIndZsb597}LnYTZ~mdUG%LD8hq-!f{qmCSuagR=8ae0p9kQa{p7A` z9F`OvS_ovHO@4eur!R(%xIuN%S=I|C=H77&6n1f`pQ_;!cCn2_NpJ)ab6D%9D`qR& zSbyCLz3Dw$2?iTdX*RfCdu#5*J3t|dZ>w#j&*UmNlfv4=U%s!m>vOD%la;B^v_1aC zhuk52o8cNbz1)UG?|MYo$7mQMKFa;T@jhTC!33WC^VEP^-I0E4&F*>SlElmuzT z2$+c8fN3WJP2M;%`SC@T$`Cu}e$Hv4g8ybWc6gGKcO)gN0-sTT{Eg=l)(HvUT{jj_ z$p5c{heNB?8LRgo2$s$T>9;ON7VE>}t&rkl>D=Rm`-628X3WolSc_o9@(5zdJz%1O zaDw4gG#)k$;3}PDzUYIn2?5_+M0O8a^HN{a3sa#-sJWGd(`CyreKJk{Kzs{(W3E6& ze(@yWFC2=Ro#ts_CWJ$7kAqBmpjh`?D{KA)fkU(z-+VRv#$h$h(V8Y;H-rO9P(@}+ zXUlf+yAPlBp%P-BC3o$<{L+W%RS;&#&uzi`!;LjUF$@oGL6s~NPqjYwWjwETF?9Ud zlS}*&(>BT0lS+|KTpWkpAB(6^Q3H(FY3_wWYR%aSsneF@Br8o*`ndh^={1t&p_yta znf>0+^X-=?-UCm0-Y4TbB`Z`e9IGCKgHkA5IRFoAa${Dxbsw}eWO`qpOh}s8PA44c zd*Tu`6S^|i4Ux}xnf!6C<*;)sf_8!Ham#rH9^8dIqjEeJc%=J=q3YwBF%0Nj=3@yA zPLo?<-t-feSgqdpR7nc`SJ5GUW!wiNY~D8#5i>?+FiFh|&3~&+$2{CUE5V#iRz&~0 z9Rh`Ik=HUk`xRid^pDs){>D;VwNN^Sr^|A=701UyjD4j=p?C@jnG@d+e0PVYmQ44W zA)n&5<2DqalptRNMH+~3`%QZKtFkR|RjY&kn@hA=Gb1PB+ElI1Ky7=-L!dkbrK;gj zS4-EGwiop`D-=}&L{`{T7aA8T=PJGX&cAilu4P?}kpOi2?O8;N83MCHwzny1Svt5vv*YfYx2Uh|Vzf_nvFi_F_yjE$qJC%-Lun4N0SJ1&qH{D!Bf?DMr=4O zU)HdO1wiL2f*GMGZf&%8#8$qD3Fw78fza=_Hh1%8hrR)CCJm!V1o{^?q9E&o!a{bj z+~E`PY!YhV9kP=qL2Eu<7Y+33RgF>t5{Pd6rNc(yvQ&d(ae-T$(CQPAbA^=?621;;|_o4q3ayV(W5nvn2~ zRjZLFya1pd*Ia=aOc68ajR$fM?TwCix*z@W!>)pLhtfbpIXL%h4f(pG=kC9 z5Kb!#zi>IQtvvpd-FZ65_Qa^h33y16*|*_?6Ts_U;>^N{7=?-FKV!=kN)HleCd#_E z^D7WlonA>F_2RC5#{bIto+bM>l!Sv6B0JHdk}>NpW}A=4MWY=1ScR1xD;FHxW+ z2aeU?H!X>}SuJMpcakgd! zxB_U7c6MBOlSTBo`E>bOFYi&zm8xtCn;K#F;XpgiB(MK+U5!*$8)+u`Kkz5iAbi|; z@`H%`e?4Xgz0VkQ8xu~>4yFeKbIQKoL1Ssr4V*iwc0`I;Cr$gu7(x1-6RbI0D6B`i z=EpQserf6Q{ia)I2VirWd@w82=7Hq*$yY&RFDbirnHA-|@WEv;d4b9(X~(aF1pZi_ z@j3nW?-($X>HqzLE=Me$p1z&csoVr&LJ3aFvsg^ScN=_k2$3tflqbBLT(C`O3X&{ZaDZb zE(x(OQ0LMVEt}Na@qoNyaMpc+g_DR&CS+8s`*13P)bx=RO71Lc>=l*6Gu`^*Kd-9C zS$<2*4H^|uHX~iHm#Q}ia(DwuHqy6C^|dgwr%VT76X2YkC;c;OwpUkqeJDZ+SNF_P z4Mp<2D0b{Q(wnpwFMRfgW~R0q{LjrLQIL#isJvA(By$I%-czpwY=TM#Wv zR&QsWUVQBem)Rfw@@!qhq}{}vYS5FztweTcY~NyRo@Bh|qtCnMwBJaqj}Du@pEu_6 ztW<0|)_~rj)-vEqA*Mpa3(ErVm=WD?#Hs!~;a(8FL)~onL1%y)Fo@E>Xg8eTD`jOa zBx>XCLXOB>T=hH$6^*)rI#=E#v3s)H?CzyEhTHrYJqodx34&65QvQ@WoLN)%H~Q8H zI+EoVWHkP96Bxa(C-TWINVomeQ8VbG;CZ5+-rY1Wj!T^bSLeoOR$WGqa5|)8qM!blqoAUa!Dzm z=o&{S8oJd9q558+2yM^R1YDmg0whp)`7R=x+L*m*GZva3?t7*Z-*@ja8YxGeK;(ZT z8S-=Ga<)oSKl*q2wa$5`07RxBn&HMqkKf(N7>nzZuNQ3jg^9;JF~$Vj`LnP084V8a z$@O!K26?;>e$vpe+``Y=bB#BCp*SBP{vw;lbBJ=>5jn2m|1M;V0_4P;cqa-yZuP z2n7N?+zDiC?`o8x;DM&#F*2Eh>b+FWOp8doFJ^kmjHKaoTEh_XU< z??c8{UGFHd0R#@@lb^wG8c%cN6;x3{?67zM0#1k(R_YMM0qJ@d(gs4r9FL^=#I(rz zXdiT=c%{U-D(sc1?^zeBlLV3@nPF!jb2EnXFp{fpf$5AP^ zFB`o##n#0_B?H43EbSU%{;2fy*Ub06#Okc|ij8mQyuI2m9SCJPVp9X)z!y09K~8pE zlZ4Qky>Wesa|Nd`Q9r_!!J6M6OKlMn+fBHnN!8ojX*`CtHnJSmKOy@UKb$W={v8*= z*w{Wa?zirmZ0vv4ITrGr@>_GLSk)1woV7pnAwQ<&oCy-j&5fhxVt81?TGSsa_iUOP zK-@fNu`KZjWwYeoim-2y7odqTZ`es3=84x_FdSXJ7m>3UeX6b)*?q7}9xS7_&1=75 z)(P0|himi!YQ(}5*<CAF7oauK0c^E<$;vhA9h^roW+w3E9t3Mx4`;z?YL4-i zy)P#ZBv+@RqEEa4@<&fvP9!QHJ>leO51=HvGz>QI^T2quaa-WR;}8gv71y8m5j)&p z<5u!R2YB-ZRERwDzOB)aVsz+=+xC2D(m@j?h_UIr&{@%gi02xA64U;RJ(t2$ir|z)C**OvsnG7LDwIGc2;X z9AB5on-M@)G4R9#LN$tz_MQJGitOAha!h4#k(pe0w}Hdnr-mX@B*4mW2~@e79|n(G zR?=)x4HJr-xAbeV`YFG$YB>_Z3gThS7*so_%om8 zp*%~rHIDMGK$V$y;v9~kqqkK^)I1!qW{684+dxr^MU)y@K2(8%6#4{%OY`f}4N2bo z6eswnvFDeCq^+81PhjDK)z``SLr^v(j^1UmC-LC5tkCYsz=gAqfMt6ZK(H@r-M#ub znCK%fFRw*S8OAr3^7!juAZWYLxM(ZAWIu;-vd0?+_Q8X~3$!{#9=6g%B+8-KH)^IH zd(b1l9yUJns#_QK%@kajo%hBge`J4nXGiaMm>i|o_{Tx{KX;|;FxsJSkG(m+9ln*V z%5Sin;P>71P^H;A?%luzNL%KFXH}h3mB;dQ!gS!1~`}W5b3M*Oxc0iXyxdG%gAHw`T)`U8kgn%143ifFn6>2?9RWKps-~8 znyDX}pB4?0QjC8mKkT4_l=P+N8XJc-8@s7-LU$&@Xg4j^TM+ITOREyc>tB}l2Rgk2 z^5Xicmr^W)!eMLfSITOQ3o;gUImK|HNNmFO?^X2+m*4zMx_UIU6H?S=pfcCKc_DjH zVPYaD&*5(Dj-!V?;GQiqXyrk@!pkyD4JJVvl9%N6EL&Iw3B zB>yZ)UPMVXSs2SmS61#!+dUL_^2HYpTLM{B74jz)8l)B!-lMHt zXbX;aAPcuatN|_q!b_iA_OhkHj`a&%jWz{F&R9 zt;cMd4UibbpM~%1X9XnLydpJ=mR$|i<_=!_Lvym^IWPc4<(4k~M~74{xoMaik8GC6 zriZYT4@%0oWOT1Wc!N2XUba>%Z(VRkHG!#Kb08s#$XvVx?VCXd{_1GpobS((L$i@4 zE5@(XH+=3N4sh2W0yqy5N77eGL6N>=E#DtBo3_j=eqqitaET*G@ewj{l!N(ANB(*ghPoZfT~fq>OD+>-=|)^;`JjMFfKP2r0tO&Q!4T zD*uHo%t7?PjmMHQx`qk;(w;6r`S~tOS+r<%Y*_1+Qm%D=rFwdXvZg_}Tk!-cHz(Zi?Y~CWq{fNJtj$VlY;2 zKO@KhUA?y~sma%QT9Ia$lHz{dRI74?d;e2zl@YQtmsiyUB-Tq9w3M&9ck@xQ=C(4$8V|hti#b&IF(S(eFkfW6D$t*%&b;K&;djqY#wb5F)h@w6LbH40H~~9NWD{M*t(=P6BOED{ z??P+Dfp^Z{6rUtQPkP>ohBpBAR-c)0=zfPLqrZ0eRdDbAAO*Q#%?k|@7-MW!YM2ep zE)!0s`oM{#2D(3cl8ir+0{FnTOW3offDgz>f3Gc6ZZd-@8$*XusNC_!v8p#CNnWZF zq22uWoxUMMBA+ldGwkh<6KTF+7a%ufUQ6?!?t?PT1SBCB-hS-dsE?pNdhzJB5AP;a z$R@ho;agGXd<47se-xdIKhyso#m6w@QliL)+G;K-cN-?UxRtvQl4~xZ&0I3^bqS@J z%jlw+-YKn1w8h6Ki;3$`@GJ1o~zT7_jZpjnTT1! zf=?73`eFIdO*4WC|YftoS?>>ANIO{Yk5TTXZHdh=R{VL zq+$X7QrQr5xofXD%TkFzO3lm4GQ+VCjpe+J`{XcZCPR`Bnl%3+7c*O;`bF4xa1-{D z(m-pv;9B{oq|gV@PNghPx0)Lbx?is91mc^6AE5kk#<}Yk&N|j_&o(>r2!vo=kiU1H z(#~u_Ek6VijV^oE+O{p1(2*N02bT^70&ZXgG}F|9RE$a+GJf74!f-LvB2Dp2gZ)m(pY!3E0B?_YjnnG!F<(6mFzn^#Qnt2ENF1ZjW*Xtv&1+vI5}V*5f8GLC8YK z9#Na&3x~l8E{d6MA=&mxp%d#U(M+OE@hEm6^c;#Q=zTN*|ItrLw$t!e zd~PWS#lc|3^+rt1?Vr;?3X{c6!^vlkHUs$4(u=PrvR0$eu2S0h*#{f-qLE157p&<~ zn{B{#pFJJIfmZS$=>cY6z1Bz##C-CO4R?kAddXB`r>*qbDKiLS6WO4y9_4uSANwwV zFRE65n0cpU%>H7FYvPyGPVHoBo}8yEj?_xXZ+O`v@8+@JfB*A%s=*qoX*ww78lGvf zrLa{n-Tx!9&z^Cbu$G>E5YN=^)V92l8^(Ejx@4`1GPPOe9UdKRG@T;fvLyv;&J`2G z?GCKcx%}2AB|`Jz!0eXxMEe}< zBdnXkf)+bhp8@;nxq_^1U>>ND1VxpbeN?F6 zQnf~H$RU!X5&p_u(tmsDXWk_@emdN}N#6@<79fi8K3=){H}_;QaEbP)mK_soRIFe#GI)2QMri38k#T(v-xXjoGjs8Jim3Qwl1KMl-b z=TkAi?XFrnd(k?@1CGYYMgk7z-Hq{zIn<(+L1H(u+|4hZcH+~8mYEmEctFh-O92JtDR&8L^gs3+dllWCqq zZYh6jF3db<5S}FIX_(&8ak6reeVtv=z0%C#xvnhn>UYP>j}3zBq*jjU{Qr1(+}r#~9>_H%2xu;0H%f4`PM z>jGC@q3A7zh8ug2j|kbDUR8*0r_?v0Fs8ty55f@yC+s*Fa zSYYEasv(XVn?C*MabSmQc;ZF?iQtpx%HOVdzv8>0mRlnO2-b&wmBX$J&g6J&+YcH(I|G z>X&n?V)MI@T%j@}I{|Xxytl9X0|!a;%aZZC-x{j{xoP@`aKcm;ftQ_6&^TVp2Nrt? zDfM0&XuBI;cRhVuh zc1Z%W=$@BSe7>1DAb%zP18#V4jy z#0WZa`k&~9IR2>f6`~SDhHnc#M?bIpkz+lC2ic+-qklvNlig`>M)CXr`+$6pzLy+hi0ehVPbI)+vXcE&x$BzR51+%Up?AL zPO#*ypP-dAQ=cgeSxg*3pkZh@M5iqU7Q6X+`Hphc@2BOV2cmXPy7J$FX~jCf#^08M zb@=Q?Sjqu1|4dpDBYyMKGZG#9IbutiMXY`&^>lw&^dOx)&dNV;3OzElE*KNGpvowY z&D;>Nivf0#1FzoDFz@1JRNcM{J<~FA3OM_N*oUJ5E(w^n^HM&o{(MMp$i|4y#Csgo zeWt78p|kZv;HDUP{MCpXFh52P_-2F4CXP_6q6kOdypgT2;wHpk0w-;RK@!t%(;wz6 zX<2{v6XVns-Jn3hC^kh ziF!j;sRfu*(4tpEGKLQrRgi-KWVwGYKP44XunArOj%VkJu0d$P85mK?-EI$ia0k z=`8&g9ln^b!fREyA=i7u3Y+T|x+Ak93Y5l!=ewn$+R(L4*&A` z2hav!Y~6}tJnz(|Hb}YY969E?&5h{B1Qn%9dZsnvdK?`HqV41`Gkw40ks_TGTRY86 ztH-ewbwITmU@WyU2sdPzAQCL+S$!^rN2qBpUK?US0s)ofkkAR+@=`>h*7*zH61EVO zt#T202+9Yg@TpQg>{MDM%+`^~vGuquAO?J+gJV;GFXG5|A8o2B=Kg|;X0zos>i0;Y zje2=>(ZqIGr&fi14vy4LVOjl*;pwm%+iA^nFU<_{Z@e#o${2|e95cu=^+zA@34rGr z7c9n2=)3n}hFi-vcG0x|`}hX`l&BUyk%-|*&wwh#faLf`w`uaN3ysrLjnf)2=#o(l zA=K5cP)=r*&Th!cQJHu%*#k5V8*jG#)w|Y&ae_>E7>(o45?N$G*X;BBv&f$wjfw1a zpe9Fr0S%#Fy$u=w5Mlm`%=dn5z_o@kx4b!lU0`^v&T`R%ZZFMj(%{b*gO8Tl;m~(s zZPG*D&91)QMt}O1{}zqSfho!$FlF696qnl~BS?~rM^{>&%hCo!)_{=Y^#l|eqBH&D z4%X5mk;zwmvA%h%&Gy&2xkp`5I2u=!5}Oc|nm ze^LEe)q+cib*xF7oL9(JYQM0OLlPs5RdJ1R^gf<3N}J_Ft;8|+RUxSN^Xb} zlj$(wk^l#jW$J5H>WIv1bif#egderLIYCjG;-O39#^v24rpPQMP7OgrG)q4KO7T_9 zaF}&_10V(mKe!aMS%Sf2%UW`FA0>Tec!lQ^#SYr6_CfwwDey*Z5S zMku@&z2$qGubE+-dT*%%=%vZZ7;TT_rR&#qceO!%nz=PF$qUXX$?bPQbG9-#OX6sw zax7#R3bJSj-CgOngg#e{wYDwbX;7fO5?P#?0&{#ACP`TcIjO7G* z7Ai}3UU{X!pqg8Cs>2)SWuD*xEM+O=01NS_A9cgg*52U?dDJnlkr*(NJ^A3$_vAPI zU~Fk3qjI~9oP;$K*{oBGOSW&ph=+P(g=H6QnCy&~wl>yR zPR7Yb)Uvk)exYQi$!IZY-(NkBt)tYbJp~D@mZn8pf;iC7yi;_e;xWK0Nnu89F%1X6 zO~hfn85i@S(g$KRSa)T6F&`5>n>+lVNDE@hjP=9YYjy$dZaz0;B(^ahGT9lfa&(67 zu{B*B^~tSpf8cctLV<&pPM$@szh1_Fm@ldlviuaWRqQGhUh=5>4Oc84KW0Qxuh^nZt& z&m=wYsCEUR_kyB{5gn)UNkpAdk0jLM;uZe`#Eq;#>$}H4v;%1;Ak`+j_1xI$j~JKY zliRc<-oHQCD*%+fqGuDL4-;h=@K&i0b1OM6wQ1#(VNaj|>4E-X(Q1#-0ug&Ew7HI# z?jn!CICS^(!fAoE(T(%bQiDOeALfmydpH}YSGdbamBY@%w?No#_t!7JM5jru$igppJ=Iba=QwgM0`Ip_rqEWt7FgJW``$982HC+xS#Ix!kjC7-Hgu&G=8 zQN2aFAjQEHnS6QYJe7x((MlgByaKjOzd#1d0N(roeG0tly096t?byIj0qhji+@eg` zPLYcKt^yI^`G(Y(4vfatck9{|-+X;`^kDd3Hc;Dc?V5ofCfR>1}^@$gws!Z9kj6#RPEil+cfy) zcAeLzT*;4w@LQ=zT|PQEMYQlKV`G?_gDhfpxr_b3tX$Y&+(AHqq8HkMYOhEc9%gWy z#(aK0^b1`i54>M+;>5OYV*Ns*H8)FE{-!!t;!} zMkaP*0Lal9@PG2%F;3aIm_~!ix}) z>;&Yc%|Ff_13vMb<*!QCfAVVOPn4%T2&3>Z)sl*5qs^NQE#I22ifvUq7~?=ti`iGd z2A#bic(e0(`4VXL5fPmzaZ~W6XVRYQ@(7_B6{-4C7;aFkegx`+xmGs`4hM2>-&!P<* z*uK?QvGmkc>Ls0wy(~x=O0Ei>LuzlSO@oj3MVJ3$V-Wdzs(QK4r=UHlyvA|Svfw!% zb47@+{ET~(_gw$}$g}vRDee}U5$rHkt);KQa9eNw&^@Q4%%u=^bWiXgYUB)dbh!ZK z_2+F_)2|x6Hcw}hVi3u6M3$ByT8A~m){%8bWcO$nl&>%L0JFxd|H;y)`+f1}r7R$) zQg>^G3})Xy4O}6p#U@<;dmkaTVcDcMKEo-!^*U<+`rJ5Q~4$Or$k-~TjfyA4Kg{8AK#U-k?qKa9(syMEtP&WJoxD*B$U z(;9guv z5ZypvonK7hYJydT>C#%fr6uS|$E>PGtNGE|avupSO)LOf@}S z)C?XjYzf~W`bEgT!dr(YkA14(LWv5o|HYIZdVQ~vP0oW3G_-Qh@b-uLM)}tgG!r{C zopPcwpX@MB(N5mF2AdXS8!j=!ZE=>&pNtUzpfsVDvQsxx~53dIt3#=<&%F0tp=cBf`?&SVv?UZ`7({0To8yOTOL2v0^GU7 zVliN^Zgy;ras3f7f&{^*SsGZj1ZO-66XS#ar?cd3q1an>KHYQf{k^U+KDlllDYxV5 z$#@Sc@3u{F$$+!}@mL~N+ten8q4@M=tO%g=_eI=<1_&h5jaZWCcEwM$QhAI7x9?~m z(=2M44*Src`ZX!uz8)F?3vALF3{%PMR`QPfce9MsdmE`rHPvr*!;edYDc-N zSHRI`rQPBxq+)S!nhHOyy+99n zGeqK)SdzYipqVchdW0dve3W0Xh!wT#G{Bml8_v1ph0Ag^0;=aiQ?L*m(&~O|MbL|mPZBcWoXYRd*azWfI<@m3e%Gw(;+lZKHFsH% zFE$!NXk^2YAid6i_6MJyY6B~6V?N|*eWj5sz^tO`OO54m53VF^bmB;~GJWGGop|fm zaq`IdBisN)PHcM6Ic%gHT_M*Jf1;7?4UwJtPqv&?>YB}veHrU|=AZqcXIQ}a_S+{v zT$o{m_t=ibWSUJ=OaG6X4?!V+43OP(_7aF$gb3hcs(g--O#xF?8?1(r?vkJQ&5??C zBH$ZkS{#(3=U)}|d>CLbeXOWOvOp@OM+wUTp2NoSuw<=b5M^W3?vEaE-TaE^(4xHb z%IGH?=?O+;(Tp|7N0YR=H&Li>;*+bYfluX;t(Bka2?MHEJ^5{)%Lm5(h?&F0b{(>$L&@EFURMQ?$D#+W&~8Q>^%81c17z~;}!dcBcL zOeQah3xo?(Z@BZ5R5Vo_vp8H*;6?|OIafVEAKug0bV=rG%>_z-tSLVYf0`j z98eh>zmFh*uOY@-7NTBloqlWPI|T@vSMjo^HNJzmYx#DMPe&c86tkzTw;NAq!HP$8 zSXO!~&YXMC(v<#cU-_h_NEVA7&IyT--ImNd=p&` zmj^z~C90MT_~ON=3$_*MdXjhhTM&FBP<~a9v&SduN;G|J;{Gn2IwM;PlGux^l4+m; zo#(7C?8%!pnuC8>?R7?cz4nf`+#Q=o?OgezL3lbWFa#=v0)rgMEYllyN@46)gYaPW zk^t}r3ue&uupNo#AB}DOm#wrc>Abp^Gc)f#B-iZ^?#?M#P9%@zPWe*)WV^QNU<9jH z1WB4U<0QlU=*vKjx*3Q^wlW)tnTnWA{Vg<}U^p(v4D`;i@Pw4AJ0;Bnli$$p1_X|* zQsY6ea4>0z9IM@D{Tu?F+S-~@AlWhREGqv3-W0zwK6xCBQzozRS zVkmqnnkZVV1Lo1avkLVzRqaLBvd5K+*D~dtq1?!=a&3Qeg1Y~=&g!AGYO5L?^)Fwg z3;eCZhtbN%?=~0oR?;e*m3S1VO29;Cix}KMbydFxdJ#)@okD?1;>jv=k%{cVifT{m zt2>zZTZYfv{5(ysL8qi}`C|q|ue>W<32XdF*(@uwkZXMX+JS}JSw%6J1(DnSLB`uc zX3NEB*ql?$=_Zv+VeoH1_nNXlNrW4aE&Q`TDduvgrZ(IvDi_|xdj}K)XL9<=dkwU| zdM|YFp|Z&o3IC(ATEYb}j%j?Sl(DY0SNm7x`;{|HX%fEU7TWNdV8cleq$(mCeVA3YoL3Pv8Ky{A@$nRqCGkmLE6P~Le+641xz>Fg$*7BJgw`}pSNBOLU6 zI?i!J-;w@1-3rR=>d}vVqumVplIEe1mTlh^BcJO>-0BEGubU2R!w! z!M2RNzE^y{LM-bO6cg#2xg}j*%GUjM? z39+qZ>bFCJVdQ3I-dNPZ6entNS)kt}Jmq+J?10iE^iGZH<;w-j;n)QBBD%5Kt)lbx60Uk?sq0&28eO^_{kP3Hl640tANWU zx#)8L7~fnT+`Jue98UoOY~{z{D_x$W-A1g;7PWt_TLxmuiL|JaF_bv5(9zlwuO{XJ z9zmaY@-=Ujih@-(_0$3e=#lszPbz!i^A;}RBnh=Ic^tK9jCxEmB1=O9Vi#m7s$mQA z)tQMJqY*?Uq|ZWr3{wu^hyZCsrSg)RNcHrpQsxt=gqCt|4`qr|C!m) z!+fkTSAGM~(0gLK8~;jPr~s2d$MJ3D zfO{5gw9#|yKc%*2XHnT2$Ov`tnWfk@3oyw%e#<_tqwkhjgqOLG!LgfQBGtA!NWaqM z|D=;bn3lYNmk*^xBP?cXyGB3M)|4&#i%&78adXNJWWSSuL9e>RGVH$>nVoxxh++U{ zl2~4X!NBa$=#dK0RO3&EbWcgb0iq^oyY%MxM-y`(l+VO52Q+Y2A|9cq`8>_5V!A6& z^u1)2(@ zcgdE&2C|w>N)9gHm(+nhz(?A_bH;}Dv^@Ez@773aNekB6AHGS*m|v^a#DQL{Ja3I=PFrF(8Sn*4ojKXv5|%M#F`>FDL!nzD4cvD0*m4di+c$ z;rAa_*q?~QHd7#9fYR@)lddI8T!t#B?l$_i0Q+w_b6As19)XYw zDogP+K>_j@%g9&W zA81O6HU8VpFWkQ35%bmcE>@Pa8+8<9aMn~-uQR|2e!6PE9nleR9CvFJz%{$s&%}$ z@7*oa#b*2l!RwICroa{~SbnD7^YdhT6eUz3i-GF>Y1t%Blz5-tqNBI6q~xH1_8!;y zcowBtrT^9f5UB@i|Lx@8g0iA&9q8buitTEr$#1Kz^#?)P9}5`gtg;=$sU?Sr!x{Bs zunvE@(~_vA;1l2Ylp^F%vZa8$?-pjsi$o%g%O3ZNJ+IbY9+9DhycnND0D?e0mQkUw zTcRwz{yDSMdpl&?aGGh^~ADWFXe1}2nH1GBr(zwTLtz}(} zeLmO8cB*LSxXX&qcdDFfJPD}u!&xB+plD*cL^G-Ij1Brk^Wd0ULHEw_*eJtV_tV-} zJs}MFM1@7UmqJDY_tG zfjmwiY}nVHmVhXh-gr0BwtK%nHGCAofk2E{2qa%#JZ;&sY*|{Z32;@HTuYc>|H2yD z`F+ZQc+S=ZcYCZfcHQx!a35z2cE{5D(M(axBb1$>KsE zHR1Pmx$;RTzxasKo-ZqUz@~MVQ;Dgx5C{P4<~L8wsvwE+I+5tDqVXd471Z*mAqC%Y z$^@fjI9L@8d21n|`B%jW8;4P?ihMpW2Rxv5H`fvY!$^f8}ESy&JFWbL^EQGLD%6vozm-pt%|yh?PKmfHY?mWF&F6pDp{f(g+MhXGz44d=vN7D)KWAn&DL8|LW&i+ff^X1*a8o5_(Ot-8+@{xGVTBPmA&V zhhZ=3Ma(agPkzaIZ954(Lq2)Dm}%r;Qm!=jKl{JYnzxf=s+Ks2AAWTCZqv%RDo{Tx zLoZ!v%w7zAsbuWsNK08HZgyNGzdHof@T{OX^H;@nSKNZwZ)aak5usv^=V$10>O`Uw zp4NTp(>4K=d7RWIY;QSiYfSKE-;Z8s1VG@=wXFC08!mX!Amvf} zMpIEpX0RPSd!2iTa)@~BRh9dkW6LoMd)68z2!)ZALLnk`R022I7QnXE*qb7Dmf)I~QM+@9%*b^dcFv;QEu7e~x1=qJ`JK1_acxivQiD6wy z+XCE=3L3&d%v^DR<`Ktgy{@J8gbBHeH|rC^?GA_O4`pbKv4I7?qDs-*EYNAXAJ^fw zi}!>Gkwc;WmqcFSC73SQmR`AASWZPkU@FeGxqw|O=I;BN3;kAe1PWzp zjdkjAd=xjwhVbXAX}MZau#pC)1q*Pjcpy!1nYASDVZevdkLp-(+=d-1sh24#&vQN{^N76Lrffy;qAjE#SuhtcRwt`{@lND3)^ZN&%vmg zd9k7`Diw@7?gzDMAOmHs+xNHOJjS=?rESA^4{{uFA=|PFxoJ5dCVF-9rMlikO8>)bO7xNnK?9{!xwUAQpG&SC zntbuH7 zaJ~5F0AyzSiGr3|fh@GH-n+rm|LhOR)IH{k{j#>GcK`PQny1^V`*JDzdd`Z!94`t- z${kky4owv}lxbBlo+rA9%PBMVvOq7`R++5%iGf+<^N$*R!`k_`c{1{5cZ~l9MM<-r zt}}ogf1+KrNvDdF6a>z8wkNY!TDM?LXr+j5CDEXEXpR|y(;zV}!A)@uN5fRLqE#5b zfUImtR!xK;O+fMqbU>RM`d?nuOrUjy`Tj^%ld6z5ahuChIDNMq4$pW&$!}$DzkBfI zP*za85f^Jt=(dsS4?9&u1NW#t=Y1dymJGTdAdMZZM6YO?EiXuV-kGh|3TMmzKWO^; zC?W?vZ<*BGg`zK~KbD{HSRP&$TGF$HuC3azN0CMjk6YAoH%+RAcUe#lJU{l{O)z4L zG!b;|>qXnAd?2iQ^asNMStewno40y(X-gu%aKFE(Nxg*{H=m;nM)xW?%mgVHq%Rkh z871*?7Hu8xj~=EH9HOn38eY^!aRa1{MZmW`(*|BSQ(w&b`R%3yF@v-*ifJ3rbDa)- z-5>~5jqWaG*ROTPvP|jV8ua`%b<%$?c-f@Cc(ZUUj=E*3a@iEgJ~}hsbH>KJI+oO+ zey!GOJn?p}*iLsb`>^#%pK5@o#M<2eXSWEps^}lozzx5di3Xfe-*<(ufRt}Az*D1s zyy52JH8v_Cp-C@>dMVaa1=;kZ&e;x^Q-kxGB{eyfCpGb_ohXdij)dN}mR-n@;Y`4 z1`kaq<;dpBcQehQHy^;){~eVuGwnT>5q^plgz3Fqk)Dh!Gr$2#=t0Begf??TfOKhS zpSycf@-e=garYuQ#T3=hyS3J%fY^2;I3?&zK(YM66s5E;T=DV~h1>q#>rxh;=uYdv z=CCZiJmBp=-9t8%NT>l89v6Kc>4P*erd{(M4}ji)iT*iV*aJ_!=dxd;D-X<|<+?j< zgBA5LDYq~JH`U<2vZEjYM_=rcdmgG(R;PeE6Jqp%)q=!mjy+P5|0>?83A7gJjo&KY z)6{c5w?D!?5~aEzGHDPt|F6?0-<)!kW4Dpd`^_J%XUgBk8fyN@S{4&VaQU@+PG>*j z>xI|Y1UI}}5`QJbezGGtF_KMcP|C_Ebq#=ZsF>a`Y51z51P`B@`Y%#m>ybZ{+|AI% z1)sH7210awv)4G|84Q}j54W2?XZf*M&18@6?ysKZjn&%!$XyADV)aTV99pMP^b9sE zjRPv(GA4+H@1rA1{>gi?{-N`wC2eumA$YpkuoElkAgCGd&ke@|Mk>n0=gGAFe$Hc2 zIsuyg)_+|pW6NknaW*zI_Vv5#!ksagmg!_Aa4kkVpBX)?nG`FYn`=E`hEc5ziInU0 zwp2T}SaKlhf9j&-h zqEV>e3PYXFr~54Ozob6gs6ttRyqL`K3x|^%T3oWf{3lOMrfp$kxN!!3pnZ@l0M=C5 zcxCBsFWxmz*|1u2ch5-tmbaBNy*Y6;#fHC&JcwhC{cUV!Zi?Ow)C zTtKzeoE`kQ(FQ}or_WkF83FNKrVV3Mqi6KdSQR4iA-JqXh04}q(a5pCc2T8H7Bhbd_Sn9Tdndyo2U}6 z4s7fWIDITC$TiwUp3a%=j6;`$BqzCNm2?%>-9U-qj0ElZ9&|An$0LEZN_&nC38%(^5T zwG!0Uq+na>;rCQ;FvdU3#7nbpTL+?^UBH0u=&Gu$SlRslVb=Jt`R)9O&OYdI;8u+R zb9kb-|F+#1D$n={=bYR5mNcbxLxoGC-KTzwa?LR9Ik<7@BC8q!UY6!T|{qq!`(nE7t#l#AH)@9ZZGMH|suApIUWOnU7)5hRtbHtnG9}x(zP%tEO)$X8_Rym|^yVANNWs9$6Jh@X) z6`bjCr_$w$b;~tTNzftkoJ367)CRpvZn4KReHx&yuzrxFDXhym@(>F2X0r^H5mvQu z4r^4s5@)8}aSoB4a$36!mZC?U`iu^VV^N5q++!K*wh$Dm98z8E+3nkA zbmA zXc!&P&TjYOoS=LGaiEb(JH2jCn$-Q&(n}J1A>=LT0Ehtf{gIU*+J=QBAit6v_+({K ztBx~Jka##=t)Lf+{5A#vG=%5vEJ_()ATS{{v*`zc_o`hbf$nspWCjA?b0j1-`;8Zr zD%o(+Bl*#FAZ2N(H=qvOruN7$SccLsjLOgZ0zyVx%RN5J!haaC*Ry^izvhX?%u^!E zSB!j-^W$q3He(3!pyvhmK`SdkR+~mszl2UiITIx2|xMU{5p=CDkY+MTa`P90Fz|>Yrm#SqP z4Q9LE;~3}sGwjn^>hWeUg20MCa|Z!vB=K8)fNnFK8D8gA{#;-!{lR?zWOZ%N{w;ge z#YdCA+_UXB=G#pt%1*CHtc1~s_^f=Y#)<-lVdu?S+wbv^-NYQy^=FyGVnd) zoPLxNl&ACh-`xvP(j~_h=ksr4MQGVXYEbF#Uknn~&|dINF#(vF`btWpmKiqMSHd(5 zk}xXBs4YY1ZTXLKU@Arh>cGbU0NOO!~VBZ*8ER5fc6zBtHw6W8z%C4Th*6J6eXdoi8Q137UH%Z>D%yf>Da9X$2a;iHP`XCf76b${`g8kp$CwLhwI<`(I9 zA>a_qGmCME+6Nt4-7F8i8A62eC>!}d^K#4^pV4I7yeVfa5TxecefC|a<&B#GVC9jv zhR}{vzjl+^`y8?3LvAk;hs>SGyylbYt_HDCi`bb>M z|L5GF>?}My=TO~xUAJzOimy>I;QEr|5;i=bBQ6#@Cttoh@$~A$l%Gx%pcU1xvt0N) zFUz(@_r1I4#)3n%vpAgtN`-ZEbP}Ir>HD{iC=H$$nW!E3{7{*g^Vv(H&1k%fk;gpS&;d3xZ%B&6_j$y>YRuAg@KFRR{K8)yMC@ z$qpLwT0k7}mO=ijGW}P;#LL-hQ-sXg|NWU0^zBb71lHS%LvZsl!+OJYg%D2GpM>Y*xa-fS0?_mJ zk+HSI3;?DsAsos+*e+W_vZoz1@!kMlyl$Llu_du2x1)QXd^r`nZ_I*EMzzbReg-1C ztM-w4S3+)#ztdiyIz&=K|IPx?l&@#jfFpRd0s4&(Lb@aO>d5-fwZbPux;K|jJp<&g za(UQi3HRy+E~q7!)*Z6HZcRL$`Q|2PAMOw%dy z`x+y^KY*s6U}I*GI5PGu6w`EMPGXtOrL1dTPQ^4c!-G(NDu2+f2a3JlyzL+Y8uUkb zvhV(ItLzw?=mZLCSe{qUug6K2DwDI!^b&XT*FDth=7TCVqM&;;Bd`2y*BM}&iNAQ( zuRE$XWf%0zgqzMsN9?q{d^Te0YNpiqv+ozq!i-Jf*GzPpU`5FRWxlzvk{nAOCWs*x z8~%NM@_pH(j0lV%?(!xQt4`!Kmxr;FXHHZx9=ks%>MC06bxaSGGpgoOyi+*i5{u#A zhP@RyV~Pn6GGS|2@mJKuu)1&>>>>NYPG>p4RZ!${#lwVjD~$0)bWVXRZ6UPnx{h zr-togpZTMRiJjUeDqVl*xE_g;vDUPz#A5ClVQ@Kg;EgIzjd`cXE%Z-K#f_rG32#kPkvnmz<;zH;XiN1h`+RZT-NIz?eHck*GIHf4euW$dL8Nw_V{3e zB;phEnNH)i@9v{R0*s=iTbu%NV&gCiP|EGl&dpJPCwTA(=D+fa=gN*dHj+jzl>Au? z7L~uy)9f+ z?+8%MET>r%eW^d^a4fFfhEiItBQ=+*e=IhnUx$mPwmJ`$h$tw=K2~+;} zcc6|oZqJ*%|Bxm%gyMoMt~0`HFfESnBayf-E`|hv^x+@{0Yzdgdvs3?CI0XQlHUGw zX+C{D@0ou8jV`jA^Lph(7TzOb1G-vV-6{YqhfO2mt+4sl?2e0y~n;`)ZmepVVs zvAsr-B^%Nj?bvdJ(}v+>TWnoN_b$oZxc+GfZ=`(Sm3gJ|dBEGf*Q0`l01FAgU4P&e zaD9(JFe4}g&`AP&);P6A?`^^iNw?{#DJ1>q2 z%eM6x@~%V}d2s;@oW!arCbf3gw%oJ+RgylQseyO5>Lv&^0*7~WObFjwW~1^fT3I0E zG`eloBZmMROV^|`7A^QZO|}=UT;9t3PW0gZI_JT7KSpyyAqKH#O(y;_HR8*kNq#%H(lF}BFQKJf*jd5# zQxbZH%|SLxvoz3hjOPa~w76J&XhNo6{E4IB7&59~Qf$A7Fa#n?nPVsHV~h7kMhwAs zOA^BM3VD|GdXp2QqCD$sut%{OSd%YF8s}Ol1BZG|znk`2xxHx!d_e0`D3>dH>qkn) zR5tX*fiwhmdMnBwef1Ix-3Q%5@01iO7Xp;v_a-OnvhewK%hYg!uTb++ejOVCodjvb z`-jqnloW4R#afmBTQ@qm-o13J&IuCYC-!^b-3o}p!yIjO(c61|>4b#UT1zS#)p34} zza#)e^vaJrbLP=Gz~nu>oB2gAadfNbMg0BI(`fkfebBKlVR}2Ht>;6>EtW(8la7)1 z6Tda;Up2(UmgM;>Ql_1;l7vg(Xc)wfA&%&YY}%BdMnvfa7g#w+SEc3w(6Ik-RY zwqC!7DOoD0O(XJ~b`qQo%6*%vi;X^j&~2NuPwVfN3rOK}3}gGo2}Kk4HNs;Si4F;9 zfxm)RO3T_mB$zO<_(Cgym?)sa6xR))sXLeNv*h@j4NYXXm_d3Q*UHA5f8a#C%up*5 zDiRVuS;!{o*ELawYUy_%#2l0~NRLRwktfMfgR)R%f$jMoLu`BtNeGvJQr)u2aeq)) zj#H-DgQ^yqlw9ob^<%E_FB@~HL79r)gF8a?Vfw5}y}HA%4n`%mkZ@O2O^&}I_@+Ph z8(1*>E@~s`SzqFZHQAIc2lgNTU;HLiD>e(@|Hsj}$20xDe|${ltQ^WRQb()=KHp&q1JQ+vFO_~Wf;t2R5r87VaxST!{X+E+q&MGvy{Xs!&i14MjpwAKG5Gjll zfa&v~Ai-D1+x&WU+Fv-hZXT!rI0JjEfQ{1SG451uPbGTjnM638tvdpEje0*VH zt=CbKls`!4$ugx~KR3T36L*~q!e8g<>cTs~3}KH$8q7U{N_Fq52#nen68z*H!A-E9 z!e7enBlS6I3NrOhR9VT{p>)se+(^kUw#8cuZ>YB4fVp12UThXD{Or?;QKt5(3V6qr zutcqSJTP|sp6%!T(nt(P@FNbftO+mX-usSSrt7uGEHZu6)x{>j@n1Ulb^gZAmbiV% z)JoSG;#}72&M__;x_G3^AsxOza85bl%ns*f#*W;c9pG%rf7A`Gc-$V(MG5E*rR!_U zki+S%y3509!!Ln+0Vmh#EMrVg6ZCRLFUR%ZFFPj*NUx6C0i?dFq+jGMg=EaXq4w-vTXq9f6pczLXpKpv?bC23?N08u81<$WyjIbvL3W$Ay%*V#c1>*ukf| zc|uBj2gPuk;=#j{&#!JBZlKu*{>+heZ>+*cEtnuYq_X402HVfGtNWQ_x3Had7X)e(AkY5^Me*%;9o8LZhzr^wW zO%)MJ6bqKXv|LM9QtKUO@?pn88HB-y98Eu_5k=j~#$aC3=F6w^%{Hr3pZ#w$rPY{; z&cIH1!GfEUN0a2KW&qmG4lw_G5McxoZ?$~R`x9#Ocrpbc-Jyz9zx@xSGufIcbM=x~ z-n$KapT8m;EW`5qPHqX)O8_swX1e2q!owwiAU+J)PAf{AKcT~M-_z`K! z0`YK8$sOS1EVBV}lYB}o8tb~O@{$V_z$t69CJ#!Iqb`CIU8CaQJ5};gD%bmVkGTZ) zJQx+8@*dKB3w$eEd=ce82Hl@`{O};iAIY>gwRbN+3HIi!D5ZoOzR-mykaC_U7cpKp>zS6ekByQjxHgdE zQ|9~r-Xp)~i_A)kg*_d$XTEva>7X7$5unQ|)578VVt32A>00@8f@DX4pnkn5@Cnp5 znJI|X4TM8{#TNj6`I^R)3xOzLOXfE(hUS=*s=y`AS5DvTvvO?9v=2)~m7jabKkP6` z9L~eeHHO#Zbq4@%2w|bfTLm-QKzOe2%xz7`Bmbsk4#xF zfa&8|6zGjEZ9XvNLpU`3Mu)CDKn8XjXt3c5>#N5XwGt+Y)+-KS$dqs2z2GZX;0@U+ zl{i2oFbH@toVxc+*;b6Ed-^$)qsk(=Ti96H`h3Ag z@!FTsZLo$7Q=082_{T{OlaH`HLVua%J-8>|e}3?G_frs6_ygkODbL<QFfm7~%XFiYheo}75 zka_G5No%BALCO(5Vm&4Qv&fCUaV<1%#aOw9A(()-{maoV`6NFB9atDJJf^BAFhN|h zSUu*^qrSU>k5tu@*2oUDV;3FNZ5@tBX*rO+Ba~}9g!ZN^#ATpA0tw6Cy#JR+7;D?% zux`J6a~Lu|O*C{Z=&rLb|DobN$q@Z-bARwMnAiEBi5hP3{Lx3f&RT3pJ>vZiVr5dA zoM-yYtm6xS`pY5#!O9eTB8ZN0$Bmyn30&U;pjY{yxiG(6tt_#rgW*=vZBJL^cCEzb z7ZEQX^jaxsfy4Q>p%wEDN$;d{syzcL%|EkP5#FZ4boV^u_}1ZN+$|X<7`iZ$am^%3 z1%{1z<#4Vc_1{3tFjqMs= z1~+Cxj2uZx*$h7KOq~vR-L|Bw%=Bg2UQXH*906p-R=OK}h7N2r^l9^7Eo%7PvObdS z9}>m^)(}t75cO}7uN(Xm9>fXKFIm9`vuoQIWA_J14Xqbw8+a1=w$Y6&QtD){xCUvU z?p>r0<~id_qIIY09s`y!$rrWzVsy$L7($Hpdekg~maM)=clKe&yL>w1>KVh67gyhZ zB&MQH$w28a&i{+wPMfIZ|IiI72U+Sa5WQDPlcYO0g;)0fcH zB6tsZ=u;qa(Wr%z?BOrNfhT2P6dH%*XyJBJdu*ZW^@O!<0x*plc@HCGY7J6`PvyXO z*3#Jd(|hP&;x030DsVZ~NvVYRXkcMW-y8lqh!L?%&XhHJxO}8HQ{g809XP;$M%f=P{p=}_5sb2 zz7I5YF^h1l)h6j3NI!K+1b{Z#W}WzO%ymg6LA=dxvBCJPZZ@OXn1Xc=l(rN^)H! zv$vo*&WPs6f*6h=~&8~-6v_?s9DFsXv3wK&rR_Z1J+q>RkS%2jkeO?Xy8{PYM z?<-)m-!7E=2O23~Dw@cnwf5SNkWkG#RuFu)uPQe|NxW>qR8I9pDDbud z3R;!HB{T3M>aLorMpcxuH#h2DaJ2ben~_*nvA4UrcI-{W$>V^XIaUwZPpGfPT_OEyI)YfXSGxHTEN_*+lF^~edL8_HqVe8J!zpgSow^#ewqg{ zGGGEUQR0JJuv$eA!_{~W5OL>k_pge(=vrBe9y9jd3y&_nnaTa)_Dp|PvomkEs^uHY zF#up2S$TtHCS@C*st_Ec77fmH2hdDP!>K`mu`P`k7?11vlHraBzRfGkDF!pmM6^Y8 zQMpCw%(r==-P+)94!6HamAupHVyfu5TrrzcR1%S}2;Pg4-k1JTjY$$ensW*E1Z{;n zAY*C}D&#RZQnl;ihi|y|Z|J+`va@*A@^-0DO0O*p!~>mgsvDQz7_%YI+kdVd{ZZuHrR+2yC6-F5qymd-j=eZ9JX~f z4yY-Ms*r|y+pl~3mi!tA8YP|hqmKEMWCI|(5m6MRu~;s3RX#B|NK z4DDU3drxgr9xGt#fHbMMg5!>qU$PFKSJ;It3l+@!Q;D1(e#G zoG$P!t_YESBd(~xB5YBU5?cjf;aaXY>mCmJ0rvL>l_Jk$Hg#fJorr2V>*kkCyQ%Q+ zXv26M@bEUCe`TXlqEbv-u7-PNYPtbCD{T3{b+7F043n$WB<{;&a`ClOng#*HEY|Rg zu`QtJFxA=Ww66!DT+5k5f!Zp7bmcoBVA}sK&jO44Z%cPo{9Kn2 z$MtDRY5C0Ov6cjKM2}{|_>+MLt$BPCpm3etcYme&)A^B^D9oC3c3!iLw3iqf-X#|# zxEEfG+Pu<%^Xj(zUuPoLTSOl3q@*~S9NLP1Pxt1^mToE%U)n{gGWk!MQ3~<)N@7TLswl2<`yxueO0DYA3RfXj{Y&lT zQUutOXFL3)O#A6EucW^rkK4d2Xw|-BKVMD|U&I2Vl##wuZTt`@u(xRE|1qOCZpS&Y z3aZ;bJ?MBO`N^G3!$BKAxC+(X!K!)0`ub!vK&k%hK^b=yWA^) zV1#UTgqH{5`fhrNZ353I=K#KS({L%Qv%YCt2fSVx>kjZdeuMZ;7aZPbL3tji#2-M; zEbNJ!y?)j!;0u>28<(^70}tvhmzG@vR?urV2NA+7WpX0}v zo;PwZ=Fxjk6xfaUvz5;@x+FrW8J+l{Wfof*ZRucbd&AZRFZjtn%t#(yGKLTX2N<#W zUo9>G+R=gL+LS&J9gO&)%BvYlRY^p!`J3FYikq{~NvkzG`;j^>k~Be@h+a#K!>y>R zpRkv4Lk$+%)(g4Oq1|zQO!u1G;(JvCh6i*0YeX78>cq6k;u!Srjw`2=!Uidys^aR=`snUk7ck`fk|)(D)ySv4 zXx7~zb*y>gR=`gH5!v5y%Krs<$fg72%|odc04+sq(vKgM*2 zo}bK~wb5Va$q(j0ux_}uK1acObE|aDCRM2x=#SRpUY5Q6jMYa5 zZVS^^IP2t{mjdmIgkSN85YhoK~BzLL9Vtl%HJUw=)nqBEvvgzgWv-Q~=()(Wb^^KDNo@GgAlQ(?s~% zK+U@-WFBwa9iDU$XZK~cawLw9pu1a_JuofVFyqs=0!y(6yG`!tti)eGxv9eQv)Z&>Uc_wk<*<8@dK&AFHntX z))M9gT|fRne&~7=LoQl(&pmt0Eu5VRXUgISuh{x+w!NBf%{?0Uuivp0t%*i-prF8! zk>la!esrzx|6x$tAxge8#zl0PbbFa0fF|FTt#4@f8aYCSODpk)qIu+Whyq)$(CUuv zBkU`{?MQ$P^0LUs{E{4`MqX2^0Jfsgstmk+35WqgZ8T+&R_#tBZ`}iFto@`}iA7zJREEHqjX({s#>=M3023OJxF2 z#X-wwf<9H4ulbNU{>j zv@kPqw{fhg4|D}_!7^)u!Ez?#Y+hq4|wb zk~jR0*Vx-v>Jsl0ht>XU%U+b84ChkSR4*oX3MeFvN~2XL+KXk=_mpFpQZt(NRKDEY zoX{d0fW$i7>z%5^lZmyGTQ`G=u$R(uVm((j{LJTm8p?0^Qz2x$5Eg%PsPdM#gye3G zj&=JTb~vzO4t(=x&EO@=&(1Olz!s9!>oyn`NdEPlugk5e+5@E0BYRV@S)%a=o9ydN z5K(=dhY5fIZ$D`_o- z$3MwKJaHMGq>7_&<~9u#57#OC3N4Fna5JoF-k}iK_EOrzrjvd9{|i2{g&tZSsNKa( zIn63?4iN9<=PjyJpEPTp9JQ z>D+#cuE!BznJ_#7XVX^Z(8*>fY?V9YSDI**17!LB`Ifg&W{wq<>Ao;7*%0W|qQup= zyW3n7TsG*J{_Nw%iU<9AzcufAJcLcM$dtKTj@_w>fA#ao@Tfr zb?)jBZq%x~U6G<^ic>s(YZiE|`bLV>n%v%*B|Ce+en5){~8#m5vJv zTRw##AbRx3`h>NUl+UkTwMaHnN@>!3GdGs^Dv~+8hJW?24%vAJXk&#zaW^imAc=#F z(!Gm$S<+0naL;)Ua;AK@>l19cDG2+&cuh~9(rKPfI8StGZ*>`I8OU0E|0-H&98Oh~ zucNqJeq#;7nzdJ5P!4mB9eE>hMicG4BcFAU@2)rmbMhqyJJ?V-{8=?4|A#%atw)X5 zYD(BA#n=n=x9;VilF*vGsG4m)+Uakf`o!w~feiRU5!+nd0Y}@~Gb_gc)V;gjgyIeH z=1igW6}v;V7M`ofH!)o4&)ZKl>QId4Lq^x7of(?+W2bu2cZ1z_6p(EW1-)7M+(_i+ z;lE>vs5B6qjxIGq1gq&&St9oYpK9GdarJT~ViVXjJ9H z!2OxVzpovGf!yNotQ6x3K|-MSuAO?_^Q+5k;c0FG1s_}HqyxS@iL#x_I3r@pQ+HU_ zw8QGgoq~IKIi%jGh~-ou)zM z1bwk;m66qB8l~g^Mm`QsD)K$;T8tfeBnIch5pp+9&V9X|J-)!4rA>bhfP))b&&)Y} zDR{PdDSL9%JM-N;-f`w7jvL+^P|h)D_F=4{LYF>8*FVa5f~ry+&wo05ao!EqpD`~uUaOn=Z^ zb~He;!bsnXTDZkv>f)=AL+c_-Ltw z@2^pn0i!lM)Rmfiou&w#Ks+L#`ed#zSJ5cWqFgUZ{(E-@(D#^Uc3aq^42OO-Arz}k zbv%VAjVOM&M6{CkR?qRVF_kLZz#B(QTtch{_?BGLwk`|7t@c%IRqjrxUB)-RmK-|&?uGENnWdK~3PvW!^yzbNoX%M#td{;gOfJZX0uH=9Phk#H+ z(dMGhjZ-MC+>1MqNMurbMf15n((%z%k@7`5%}#3u=V<<|?qt9`HS`7q=VYXLf~?H7 z2rM%Bk9rR`n6c$C>R@o^n}IwqN$J#X#jgW&rKSEyq2~cITfnzg>lEgU44B$_IV-{( z4{H0t!~Gz2SoWQFyrnMuYnC2RbG%pLBZEnu;{5sft(xJ;(Y(WR{=MAyFZ_&F+jd|> z=XC-SR+#P$%d5|?UV!l3X5o_B3LDtXGJtw063^>CW&Z89Bzdz()rVfh{aH@B<^8{M zqdB4O7x0e??Q-fpPg?rFRd%wK0$#>Y&&uJ4ZH~02tR83hFd_9k+UO{lTpNk`-TuPu zvB8?ky5tG4Wba)Q!zVD(TH9|mm;Ho)qXlH3#VsCe`XBsb*6*9iS2XO;N0SPBAz?x1 zG`&4!dc{O5V9^LInX?qwBOI$}C3=NjTJSs|Eun(o2I-Wxxo+n{Vz zj~cz+nh~-;aPv}=zJ9@_Y4`#f)Tkukj7gXvS524$gXYmoF%$gu4%W%Of=DwXZhg3F z=Yrg4jl(Q49OaqRhzlQUJ)vE?a$f{(DQmj5RZd7kVeh_*hzhX-MAj^d89+#WXo+rO zC*)RqGY9%M10%}X#=f5A0KbS00E(-0x6qcjNeAJB#TbYv8Q;%c;jl8bo#ih`1ozHP z3&;e}ej^8y$Q)^hf{Vizwhj%bzc(D3;R_uW=kAQN-ri=qhX+->2?gY|!y1jJE#?+z zTRoZ)d++nrv&WIev~XZKC=*_K+8{J?5zgKp`sUK-d9~vBr?W^J-3%?<)yDd%ji|7{ zfYD`49r|rVwAbyvLs!Ze^DBF~oW25V4h@R`2FWdJiiMl)4+PAE<74NWp5}zCoU=au zE`y?FQ#m?+EMKtXcWTYxQxO?`F&w}sIDFe76OT!g%R4$ec4^u94qfFXME9|Ae;@3a zyOYg`>FawyqGxdR03LtL-Kf944I!hV$Bb24jS|0NDZ|!N@Wy3AP(4c9!r};k%T*Ft zcI>5nHQR2ou(C464+bVJnU_!Z+Ut4z0O(O8{jdW2;K$=B+T&0rq!Kq{@D^E>A|VMP zHo-1=sD#1YJtwAOix_)GTSmwJfvzG=v>*vYYdzI9-)r8^j?+zRjt^434EHZL9q$6F z-Vbw`tlJ2#)H4-@00g`$M){`P>xc0&?VrT%9di%seclyDRjfR@^_KsaV2beLPt{bF z^%9gB7g9!zTt@9kMk~GtJQNLm0uV4Ns2m=s|2hL8+$TWN!!6m?^+b!+>55#JH%O9; z3{R)Hd=^xO_ukWO$}Z4u4<=?mm7&h?)6*Nu^{bp9lulcDu#Mwks5eS&F1AM5r&umEE@?!Zu zS9%qH_b#I7oBt8ukybn(Hm3>v;R`3{J5i4V?&<}^qCc+f36Wv)q9<8XWH8Ypx@5Xe z;@uQsOOyt(^s~Z>^KIH~ZHY?C(81NCQk{N{Fard)=wf9RfeL%1N|N3p!|tmWAhnQ#yduqEIS zCAQ4gtH_2jN#Jta8#j}RfOedlT5>f{N+51kWPUQjTp)Q}zvdwrU$WkNSM^I?jW}vy zqj}7Rf&YB<2`nxAZN_^aSxPkPe`1~=`oPKCTmK%x{Nh@>G*TefLTrzeq>wM03oiCD zV~R@#ej8gT7o=MXr!5pcIKd}er}opDF5Tl*L2~d=w5kkidnoYn&_=Zi>Q8z55wJ$r zGCr4MZLtt`v$AfAqRT+02*M8aoEb)()OHgSWJ#iRuv69^z|tjq-rN+r$6$H|DY|^j zq(=5<+F$$FvadCV-$vOTooa-6tkYKhfsCA*9O+PS`4$j=Xug`>cd?-P%li>FY`5h8 z8%+iimgERRt(wOJ_04MC z_B^u4|5Lrwpa@_f5=HjSbZmTft^8mATpY6rtW!wuAvTqO{}8EFcp>)7fnEEMfSgtt z!d+2wj+KoJL&;x$W|n#_In2>DN<#dd^V80m@bG&_17>EX2zRGMgmBr_w;U>_LY%a_ zQa-1J3o?UowNJ8dKw)KiI=Sv+SR3xYfmyH?k1Qpojc?F3Y~?>Souq`W4vgI?>KW?$ zT)&dbz27@omP1a^_DhFP*M=4TG!bfk|H3Oo>v8dEovy7D$?7s68P_O(Dpb~#?KJiu zE~e&msX)}}iuLexsuHRFOWCnxxGHv0JqVDG%$^_|2>83M@OvEj;V5)dmHDkrMVMGm z*KkQ~Dt?+Yaxo)OyEq>2H}Q<1pK1A())2YfV}57jo!sl=Fu*_N^AIqDaCFLryLtyH z_&+x-CUQyVtPRSR&zO_LAU{iwDS2a($mtmLi;<7Pz#L+TO+EmhB#SixU~KhM>IFFn zh)FW$LgV#s8>sqs7o#1=;E*Z^{)(a_oN-#G(I_oB0{*+BrkOW=>TPHzZsM6KIBxhr zZ8N5`-|cIz_SJ_`Q$9Y4)?WO;i@B(w;S!lmKH6l{yMzUZ#KTuKJ>PrgJ!yRQR-r1_9D0yv{QYmso|kf z>C$2u_gLcRHkLmwrOnZh;GD-ZnavkF^6uKSN;kexogl9o$@6iS#d1O~Ol3`P1IZzp zq*BW7&5MBy&|zRutNz8V65uB#=(Bk59pzCh1e0O^K<=>89v|Wr?dV^hZ}U>BX@n0- zxQv;swHLnl;%JXXlM)W13V%@HuH0G(Q|XW;>& zKrRmJ#eTy8?6Nu@PN-4jZpq)%KLy#;;LWW^Q)};En9M9%K@`*CqI@2rAn0I(-&@sY zCAg*>-f^8Ar~B=*l_ZT#O6Wku{8)suC|l-+njZk2-up>24vA7io+qWaOyu2rKprjl zs-e_?oCpTF9ZSPj6i*h@N2mE5Cd#T8` z$(`cCu0b1*s({d1ouH$=k-XMmnp3>sR~Sd)K+K|6(~rss$*;Z0On47S6ROUv{hPI1 zTvOnqLs%cW4a1lRPS_Vy^9;SIH3QH|TZF3l{D_F&p!nMF_??|<<3+=_eICIwte5l_e0&3Q)F^H-Q z>Xl+YFYlR6@$5(JJIQ3njj1mH5bk&06SQG(8R>;Zq|>8QE_3fN@q)&~he>_0MbL%} za;V%U!6_rB%on%joQM7c_BaOY7|jT=0*sQ9aw9DafAZU5;GI+5YxOCF+kCIedv(a9 z73*e&#BP2qbSQ0H0sq6o?bcQsdnPZxgj>J~uDkh^J?7ZKA0Ax}7J5-%_fxm)*`# zDO*aLZ`8R}K2hK!W})+~Mz@`4?6UX7bE`Z^*ZBT852M#rj)tI?BqWk37FLt{j@Tfj zjtW5-ypwhLy`!jR*`}aFd^C?GZ*Ft&ci9Sn?Jzu9bH=$5;d@t&S5yBf+!1ZngR8ua ze7Y;z{;*v%VLZ0e-GI(%QWOVvv7?F&OZ=( z8~O-z0IZV4yyafy^=S+sKkck^4p{q)$%~q|-IwV?8M?q;S#2GDmnJvdzzOX{$CS^W z9)ojmiAWc;QE{X@$v?j^rE5NKJ^*GgclkO7)cKd+x))U*x$0{WV6?U1e(gDxV+}mn z4&{k9&!HG4fz}ZDc)yWaYg};T=9!W(!>!$wOYv=0R-M|GPEM!BvtLYQ@U!6XFpJe~ zg~?VN@SCid+pGw-UU2Dx$jBjpElVBIL>ql(@|5X90IyzcMSc0YqV9vjq)H66tETmbAs~pT9~b^*?W{F z{Of<^2V?WGr9!-23w&}rcitiMj@74nQnqMwZhi?#ba$!ON1AEZv*XT@DKS*VQ@3U! zI{WUbg$x<3nkcAI=RCLObA4slfM2zJLf;pdxRva1K$bs=(~D;{Ixb{emQUXeflfR# ziQdq$fj)m9lJG6<;km1w+wwe)(R^a#OV*zx&_UxfOREwS9GVNgThn!;Y(gO#Tr^wW zdPeczV6T?^BXaF_+snFW#P3(WnaOMA6VvsFoZu=Te6B14&SDP~Sw3~)-xlq*_iFQ# zYEo$6$H%&myRJ&Bd;KsF)6}%Q7Hl9QX12|rqfvTeM>*skuxP&*T5{b)QDk3a=#if~ zEJP&(ZDZj6BjP4@$X%NAc<#Xyd8+iCXct!D_b9DjC7X_1fx4%Sx^s)EXYX@nCa3jT z>zU}_foONV@b`hru8QP1>CEb5sby@tiTM^oyZU!?q0ZUIue{^z{~AtC);{8|a?^XA zS$5(LDchndNM`&PFmUEHJZyJK)5#2vOdxL+x_f-ao{(+pdtf*L@NFpnY&XjmKK>w>pElaFq6*8Xav0C`r4D%7P+tM zl@$X&vLU17H0OW_85t;o4J}?VIH`fK)Z+Uq#nTk;Q%5X;ac450=vZ%!u1d7tm$QO2 zn4yC8zw(-@D1w8y0EEl-nq&4PfRdQM`1r(T2J!i#YE*iDls}tTKR^AWh3RJ|l!-n_ z2};vkskh}FN4{zzxx7!LeQ&8{&~Mq?&-vOA=lE%kX-szV?9m&RdJQ?AePlI(Amn-( zrIQ{rTYlMLtTglqz)0l;$s~tPS}&5F9)CUT-_H5@IkL(?K7jgsb)g$^;{vPUoc!Oc zv%R0b_KMr&s9)pg{vuqLbt`8VfdW)?U#Iy>Y=H@q_Oo6-RgBjT4}D~lC&ZSWc26e= zC1S(F)i204c00`hU5xJ%)`x7W#AG6jGM3B@p4od@8$YYEzG9gdOIhj{$~-((Bt^NO zK^70TP~kUf=-&VqxG+~rhN|yrZNG)K6oF4`YFa^Azb-v6{_fiboa>`LE9ji>V z0CDEB04*2yWGUfn*Kei#mR~q``g92=sR$^)twqN6-Zm>7{#AFdqur6mukNj6yn04m zcER=^&)w|Iw@1xxh$dvTDFSS%$dC4LFqJ=BPg<@)4PYKSi=-UKny#XP+)WGFVXI^! z&kIb}U@bC4Mu1oU%3JcAtx0mWYc7DH0rE5HZsQ22-kJ zmhwk1o8d`j5sJm#Z*D7zS@VfKquR|Ft~%m@x_e&tH?d8Gw9PvCr#ho0%Q<6_ zS(&=ZRjD!*GPf}61m+*s*std2ne|BFHjw{zPhhFWvh2gUDuLdpIOmeEJG-mF`gkyq zoF9J0Z-|?rh{fK$NhcKJ25;Fx4xAq2X3pz%;DqifMHw}vPz&#ozX z!N%C^C_C~G!+VW)D^21o@UG^Wfjz}QBP5auss<)ZdTow0BLI8@s%n{*MLtcsV!S(8 zv|y)os81|f#c1f{?CP#u=fN-h`W%X8Oxa{Y`}EUJ!B=P#Nx3$ila`2HHHS0U=oi7r z$0hfGz}y>uAAHn2xmyO4LHci$q*{JeKDn|+vg?Pvj~&9HGkhkST41J*f}FAL6htNS zq>*g~vvA>8b}*pKB|>pTBzeJ^LYJVWRkKitqR`1kvOCf-|@wKl`voQ>Pgf^s7yg z3I^>1fX^J&ah-qtEpNR2j3_TDHXmj>?LEcRdmVF5riRl2*W|CEJbvkvwU=;R+{qM5 zqEzqqK_X@%_Yx0jva!6V;%f4zIT%-dCW~e46}y46F_EUQ@vHa#4ZQge&gd1ppq5k@ z0Y>3^!c`+JU(}fs-F~(Va8$W6a;nzT6=6qcJ6_YsZKl*mDG*?5Ms+xiWmOjD%PNEm zAW*7bqCp9C{BzycDgFNj-=hJk$9g;UIMkDHCYDGYX!#p!>D1R;!;Tk&Gj+9uwC!hD z4tI^l^@q;)3NU7~YScG5cudEkysZ4@lR5 zgG3n?`A{!pyXtU#>l0Ici}}gMD70Z87JCzk+K=y40O@R%Iz|%1&9*Au6Dm_xRj9^) zRI!NdMSfMZ*V;P^pniU*jgEl#wY87ggY2 zBTfZuZWTT0rVe4Pdz`C;@16^~^&PshlolL%Zc~!5P3?Z&HwbY38??1D;_0K6C<9yAciM6~9+%@N+u(U6Ke-q5rWU}1Sqr1>)xeHUv*d`+$y1Uj= zLZ2UMF@FWq?CGa=AJHrBnd|ci1o8k=QLnOMLXJcpRg6#+E$})u@EeIJFW8kY*O5S8K7A$TS+te zNIzp1N&`>UpYfk=Z#Cl$Q1Xwr5G;yht;z^@YLT3j&s>U{0%WNWaJqgxKdLNT7^|NM zue#9lHIC}nck3w+ibo?8KY0IMi_mM3()TC!Un;J|GQH=I&P7RPG*?;T3AUU5`tW7^ zQ16Pe?{cz;{w|l4mJ|M?J8l&aWRUD$=#2pcsv9D1Aj5F0wUNBRpd}`p{RIE%ML;AY zY_Q25i4v3x;^DD9INruYy$X;`X@#iERW>d-3c|w-4s8ZNJM8tc$B>?P&|>y*U`PM> zSez$rDHlVM9tYwT{uUxUbMP*ij?0;H?P!)MG8|$v=d?;3Vz_@h(2y`#C>Io;Zj-=t z>IhOQm9usA4Fki-q=MGL`r~1*+*p+79lqZzj(CV&u|AIXn|ZBPA(DLBiOiM0vt#{m zJQyfgMg&T8;O$#*-71C@FAvI6?|x~F=W1Z}Nu5E^8Jv}~{w!pa_wn@#=_J{jVuHMt z(v254K(KfLlkTBOThM*E_)tDF5S3%CEDV%ex*!VxPZ}BC&bKR7n2BJ6O>8>GE72>- zB4)onCr!=9d$O?NzR28%7f#Neb%;jciLDlP7Fb%R)z3^&VfqH5abOkDF+z|K}90zdo6U88Hy9ZH|T`6l=Ch+dgt4l;net1|bDoEy{Xh zVNML5xLx^e2Yj%89S}VB;mJdWTV6ss*>@wwZ91s8iIW1L(`LYq@lk zx+0d4qFwbO%09<^fYE*(C$h;C>)} z%<>xyWjj{z#v?#yp$jgGZysJV2|yoFoS`k+b$X7j;#)H6yUZ)XkOJNxPF?<6muC^f zV8LeJI0gMG3s(1$Qu}ET%7k%pdHs*5(=Y0w9Df5=<=g(MeB!eJmUZa)hc4*+)i4YDc9Z$?|cU;+29I+GIn9$c~#kz`sxD$jdl^PhQ zFMBd``N+jU<%Z{4G*V*_lWn`pZ;g!ScyHWIR+bN>>FWOy_pbhQfVFNf*o;BhgKx;g&xP7OV1y15X6LY15P#ar+aQ!8UUbMoG&R{v1H1-f^8mzp9xoUpx9d3N<> zN1x#FIHxsw@HI_oz`2H)Y7IglgPLsZQp09`D_m_6!~Hjy6P$Ve9EHZ#&9e(WhX+pf z{N-s=5ne7KAPxITGVIf<^)Kwon5y-g7d&v&P14<#hu)otDFopd9T##`yt~ie z6F&Oj)R*qks@WCNpDS1}fmi&$A*|xr{SG)xU)1rD-8JfO%}Lr30ij}@0KO!mYGIFX z=#?vGjQxT9-S<0#9Nm!~pc}IJyUbVk*75g_77%o{szL%$)`<9mw*97o-ZGuC;%}k~ zH{u9gfm+|#lwniwvw1f9UX60w%4ZHm`YFXvtvh&EV1d&LUFbNMNeYTE_gLi9d~gPC za!RqR{HazQhhL_h-s&+i&A6)=l zQH%Hw2ww<=wExO z8B?lu4&)qwveX?eEu|Mh?Vphvm&l|Zl`I6jjm}$H`qf`|IVA*Xv5f1{`AV<$vXXY! z3yZJ*ANnfqt3v28BE2W*xl0OZW`ywr!=R*4sCE}(ha}}m4WR26WOVNGF8Ewn>3O|PUTq)e2J1;8ngMt)^jUF_^cUeFcY7f zb8i~9U3Nb&^69eS*d=Z2&KQo9HDf>aXE6Kb`%BXT{=W8M_Ht-7t0|86Hany1^F+Y(C<}||-D+be7Z>F6iCQP3H3!s^G?CJ#0%yp~KbGa5lUYi1 z^CspS4tR3|<<`68)CN5?&Eio!3oE&hZWR5_PH9;CJW!F)h#hVsKf}RCF(eFK<(3U= z$sd1s$cqZ?v_zBuwg}!WzG3Cn+R4IYp1}{-Fb>OmZ#(T=UBij*Y673kgf8QGasy=r zU_oh4uDb09rFq=IKt}RESsHX z{(C?ULutq#{*f~!N&RJNdoz-!axjFj`2f1|H3z1pOiHn(QbsBD3MS<>3ZuI9A(+6 zqVo2HYeOO-v{z?U)IfpXQ;Q3pseAOkP;W>T&iE5o*ZDoJSZSy=Z3*{p=xw7)p-PpG zEm`6++U<6aFDI{dW~>Z8Nmof)pyM%K7Q)`KyX8;6t5kLfN%{XC{~c7lsnrIS4z> zJ_G_=rj)sx6@M=~;LGX&a%DH#TGa0 z2cF7j^8k-1`hwMU=pOAi&YHTe@#W2-F)bMcU!;-K6>C4H5>Ve>SeO@e@AiK_If+@y z4I%~h3Li5y>{rL8jRHdw<_#3TI8;FlSU!Qkc6VP7j4ZG8`6vJWkj3J{nKmbAd-tLX zy|-Vzxodg-Mpx3AK_=h+x}86C!jN<+&t38+ivNJOg(=+&KaeRUFY4iU6R4Wm{VCe* zwUp=7vtK}acRQ_pwyRY?dQ?8SGBL~YD{o&TinZg(H@j5UI)*X>|%eJ|OKWCqET%GY!rTQ4E@tYJW{Hdc%@P zP49T?KlQ9u6p#AlZ)A?H^B2o%fHCgetqSU9~{y* zF#pSSjJ7Ps4o5!A99JQTA&Pqf#|3%~4BvHVk;3d_6@ zvoKRyFoR#XE%hW%=F5IqkM5n%KhMddW1a2lm6QwnmS0WgsK7=0emNz{&%=v*9`{{JsNh9Raz z4I^clOUf-a%&l_2UrWd}xn@%?lX9;#a~aaiE%!o_%Opj`$o($YWn=DgkLuI!{r&w7 zd++snzRvTU$LSKMmIk@eAe(#yV&jJC!xuG{j~0FPu1&V(@G0Yzl7d9l z&!xYYU^i}2w$NS%YmG#H2YV4NbrU>cl|$(d-c-moKf#`3{^Y#Saf-KS;aDv8X6eg} zNCDt$W{g=0w-LUf4s};e)_C1Ppgi4b+251b4+NXpX+a$+!BQZ$uFE$)`YM0P_OZF! zTC5EHPQG|~p1|qB1dOqJi`9?ZTWM&gS&|5#WOy2c&YZfN5# z`}!N^Daq{L_|DI`$On~`c<*;McW?0UeF+CHCEWAN&uwS%^-QA==c1VxjoppUz3Off zLV+PfMf|8*j)QKqvJ#TaJQ)|)r-A1UKRo8f--8Q|x)b`$RUd1rCjeUiJ<(yrdSik! zdhnJi{xz7_Q^)Dz+=utc-;4eY{AgTD8)t&}((w<4n&Z5nAh;vg=I#t;KYkkY`;`$| zM5IJdB(SRH(yeUz?I&f$S^q$p0H7awb0&C@1=px6Q%d}t)^Hw)u{ zi#HXnUetAY42MQ7&9q83x>j_R2}HOQ`~lE%Uxz%jZ^_{VE*HhZywdSIu3q%R??6*p z`>{XHL&~Q?J1IMpZ!3iMT?`{n)B&~1G5M^vR|{c_yKE{`;!6*JP7e@#KBwi)T)~_F z?Zx|m^-Be~8qFeRGNqfM*873(wx55wd{;XsEx~yiALR>`@BC-o#*ubpSC9!bHmxQN zXN^618Y<7udkVHnNV-=Q-ENwo7=teq#rwR{nlhZM~5gq z;5{;0_fRi7e3N9PY`i-|2))c~JpJ?C;K0LhnngG;k~$M9zbW?L=W-u(okn9&{dk8f zg!~0_y|0c-9RVUgEPD^0EXiIMFUaGPD=Dr!RtFe0-dE*?q-rci8yNhETjGxxbnXvn znVnBd6szSWo<7q@rioivdSF&OnDYul!bUbmU6(qzJB11j=E2a&P~k^>WU)2rkUjx; zzMsRDw9QTPr47J6HBRm7hDV3evF3)Hc)tCEH#&|d2bVO%J?yZwH#!M2Qd(*^(WuKx z*oC)h4U9HUBK@mk8;B?)*Al_;(7sq9v`sOb3&i5sj*?9j&z__rhtvIhN{bc;09kJ^ zrW1n1=+2Y6+s@-G&}h^foq0!$7{QH651RUe*1BJG{wdA6t5^2&>6gcrq-VZJVZz0i zzaGKkMu@(~=L=jJT^Dr1y82LsPn~}}6LJ1e#W%&{^7b zfrx13G8FRi%cPd6%pP3unNJrI6q<9DgusW_s6l1td;CZlJ40b_igI(MV1MO!f@py~6pUfZ@;UocK~&RipI^jU6u&Gg=3#l&r~CrgSJ z;W`PZ-_>h3`DGJrbm44m|79r0I*LOh`ghBuGgE;l;>dePo?#e$KGX0U)x*#>%{dvj zf6=mEM@+ zRhK|jwM=$Udf3?7+plIDF|oVuEH{!Vj&e|beLekX3kCf-V=>tZA>#A1KR6fkiNgWvR~ zN1%&WM@T773#8gG%{>t+0lsZnbz>*`LP5xIb$G@1xuby~^((v;5OJlxNOE$KGogHH zii}Oi)i}q$sz4@xh57<^0fC$&tLeNSz%Wv)XVq?uT8+t*wV(&1QT?t(W7NsefnAqI z&TLr@N~)Y)25C;@)iX=i`1*S$@7(SqpiO%fS6|I^1akv0x(Abj!$N#<(ZTrnqVx-e zn7@AOBgQ}+ap3)TsKMs*Qr-XT%8dX;x1-4=y;gIk>+FSZN;{s_*R<7axI4A$tsBOK z8{bMxxhHZk;W5^AT0v|L2W8@7?*v1=Gmxg|sdCtCF*d0)U~}>l>W{ z^lEj;o#JpiI;ghaJRkC2-PFo7vA>%dt1?t-+Q5fr0L8^73YQV~)n?Dn*3!@n{&h=E z7rWpq{NII;-W38kWU|Y3!tQ2=T=h`VA`CJ1^qY}yKJe7*UR@p@d$I5iJB-;GYFdv_ zd4C{!dJz#E94=Lb5-);-XXEQe?z%5$Aq4SEz7Ya9YDr+bB&=M0z~Me!kUdr@jg&VE z9ZaKchxghzIC`{H0U!*j+R1LXXDr0YFYEBBrAtBnSkqedlxEg5Yh#h=Cdl+q*333x z4|ZfVlPv(^FQ&GMC;AdBfff)i+n_CK-dFeNX#umn-J;-*wLFVp0~ulwP?20~46Juy ztK-7Qt*ROq`%C4?=EdKqR*V}t2={4D=AYCxpYC5ao)MC64M*m&RE6p5uKDZ1zGe5l z2gE#$ zC1L`>d!JcSV`+W5d%bqTGeaj^0JlcQ-JAam@SO&_ zy@GL3=)E#|!mIpJU+o)+u)A&?>am5BRr=GCc50 z&e&;i7npoifyzCQ&=I9$g7uY;IF%Lu^Rq^sFCV>#25|c*$_iYWS(PeFyPy|`)@SuGW%~}tTtuN{kJI z_caM4+{H#sGs_LH)RPw7fH312J%@L>aWiVe7_-b~EdIi1z5eeS})E6S^ozcOEj>vZ(*XtXeCN5Iv|8CmO1}1y- zF<|FAbVSX2-=Q#}8}0<_uG6>kal4VcLli;n007(Gdp3~J3`Dp>1_s3p6k5g#y$5bx zo(c)<6VSb5D-lXz`k+|(c&oaGX$NCq8XKTHINC;oCebM7K=Gkx*f&NwJGC^!b7c6@TsfNoIwF#k! zRuCXQnpnkmK#=*?*03&Pzl%i(8^xBW1jWxFIv+Vxt(s>^>7|HxNS-o~Cgn@Z<7TP; zP%ZHp2>ahEyugZ`M+)|*f_HCq>_g&T#t1HyDkTv|q1b)Xr@)h*UA}kVwvO`x!05eZ zVqnaXLwZPUKrW9xV4r_~(zc?DhE_!?c+a_Gfd9xqU?H}PzF%4^4~jfEc%xpXqM7&A z<|3QqRvwq1GPR30q*+oT12^YjPb;Dvh-e+ze%WA<`oWiT_wEResPDDSCushRr0=N? zJHA^xJ@Rj)X+5Tsd1CB^TqAt9wZAIDLRM31z=2BH>@d*ISa3V!V2n7V<8*(gNW_6i z(?4auZoq-TJufdK<3sz{{A-Y+N*A1LCK+VJQOzZQ@v~dMqy%gzM?bb1te0V)mdYR` z9MhKPR$Ze;?rRRODDrLdKy+ryNU4^3bAUj;51$Q@RrwMp==J)p)Vw0ZmF;PjO| zu`~iPoIQ8=%_EjcZ|n#Vn`(>>r9g{!$YxMY8OF~yXyf*yyvO=#0Jpy*GQ`4+zwqhm zYMgafccaykk@AOxRs?I+@&<2Qb5rZ)wfxJ28rRLI8c*y*)?ZXC$b&39p5K_h6e#d- zdUHFBSJ?&a;Su4Q7RBc*g^Nb^qzn1CH0_$k}o0#vtz?-t!mE@VGR*dabvZDvEm z1>Tq-fgyK9l}gC&3vXrGatT2T*gnTth~9w!8Esvt;jJ>0(1=4r%;ViR8n^iSui9IE zLBvdvET)ZDqfbM`&G{R4C(NCltF@OgJ9!zybqx7_)SfW@uA4ED24{&oCfVlY_N$Wg zf!l0wz`GCF4o3~ACE2wp#EiHxlBv}YWH-<aonX7Jf^`llI2fHWkoU*_fg9muiyyZt2VIs&e%B}Ank!+8$1&!pI+5i^` zm9yp-OvnVo3FK7u>0j0-Il*YCt|e0FE|q*L+*V-&g*J)ddWJ@Qx1tL~j{ z!dwSRWO>ul(DvY6il3DDWk}5{Ag(GxtI`teY3fh`%31Q2XV#EKX8(Z1Aa*rf+nrdK zP2cHUb$0wNeo*tu5CB$)K>qlO9FySwbLW|((cQ?@4>yqM+#s)^%$ZbfrVmHh>)HV^ zJj5c`vIHXrMx!MjlN0Vq0idl*qefcvxFnlbQ9B*ia5ra~HOEuOo~1OWH7;A#X0B)T zaXQd*BA*NeuD13m8{%!1+E!FL*cieY9>amjeyS)*_|{yD)^>9%8UorPH{EpyKK8NZ z&p&U4Mx09{EuwTT4)@_5?12ybgcEn3~iSz z@%WD*3>WrB-PqHZOW8b(5~EtLJ@H81lAjaL?*pK%k$}J0@yil@7U+Jg^LlBRbLMGa z&(|*zTfz-RFZU_6U%lH~&Ry6@2}$vTWpO+x@BtlEJg08N|4D>B?d(Kgvr5h&draae zKWf7qCXLyE{@O`4IXyT4SM5vFPs{34X(TE+ubLLsPy3KQu!E#voxA1a>9gQwKI@ms zk9!71;9kZ~6WY(xi#T3x=x zWC3e)%<^Z<3^x+hRvntV5xR7l4qJ-Q@+na);7no=p*kHIhxzXtIHs<;KY?JU(t0mt zJ92bpOXBE(pE$;rM#Kme8BG?ltxkb)U zMD;~TjGktOdAvRLrzyZYnz2eYIBUuTKp({yviCd!#GbJc;*39W$ita9`|!@fJrA9K zBUuJ8FhKk%)>2w>1R5}=NJ*MkyV$G^(R4PG+I6?cWDom%w$J4 zfO<6LJLU=G6U6Yn@~3FzFQ7PZY?=7`%)e2UUt7k4vAO|Jre_i>Qha>c_?)D-#Us&Y z{Bt7h0pzUKJrK6-h;jMcTNon&Lv!l0=+A_tDrrS4ZO*1fw}Lxw1^H#H*8sHgF<)N0 zM^3&esUdSjd6_!0W|UF(L+yIG>NQW;p6cYnL1c<4KZua0jG>mk%cV+{nL+&=-dKf3 zCIj|etP9+)${aqW$N&MMvDOKu+ zE-rqlmYW(EWYjSo(+RHEY7TO6Qxwpfwzh7%fw^d2FFGXfAtW!OAXMD4N?7z6!BPJO zFg5?w$7wVMQH7Q|AwjtB{m7WTYLTw?W{I!qqPD>dIQ*wluS`^tZySP?s$`;OYKNFY z5Go`q`Y;B*l|1LMd2|-+^^Dq4Vcll=tLw*$5cjo03*57nZlAeiJ~5xZu;>uQ6X^^< zxyXoCNd{$)nNp>g3~UzibA(ywn+7TNAweBM+b^DU_Pej@H~js|uGH4~XDQIBC(_RZ z#$pRe#f1!!Z)E@EEo5%M?S^p#^7>J1ecSC;>jXref$y6AbF6hYaG}}Dw>6&wG`iu1 z+yO&^Fec(M_kI0}W)5Qf-WxTCtn&TJ&&G-KJV@;4qhZrjgP$mRV--uY+7tK91zw8yI4gHhsMmq{Jp}P z^7%k?3NaDpmQQ+5tV@~>H1rP1{bs1=tWnYv`MM{-o2>WzHYYw7ReWOOzU^7(do+&x zDqhDN5~L;dFr#O+ehRY5+%3;m)qTk|3th`&3=2&Mec1fP55#rUYu`{lD|LO_>kk+{ zFZY%DjWiJq5jMfqI*k19p-3P7&xR7r#sLK)NWL~ybgNd-ntu|M)?8*4Y;?#5fuEcM z)b>}wpVV~iXNWlCbqlq|cfg?~CG69B=KZwsMNZWXFS&2(#BBI+{_{aMwOglFxX)Y& zWnhtV;YW6P?kCcJO|zk-{v|-$_t6rIAIQT#NIyN08~->9lF=Y_yK>=@tu~Qp0NDqXChUL!VnTX{lXn% zS^igM7mYzt-l7Q;YDRZ%lcpgIUAoc92-WLs?R!`^ z)Yvp&yuvmLA-|&}i*dk6S`_f5n&$TH!zWZA@Q@9|4y^rJ-bA1dHg)7vT{Z2h6;sl;OK2DdrJrnXG{$n;l}= zn-b~FaqDI39#U&hT*=`yL<<_BH7J5{sjJD?cRtwQ;;Cp10FnBXHOUPlHqXv4r2wQ* z_!~AHRB4Kgqg)IJicYXeJ6^*Mntxhr4x?Q^?3QW}($ekJ^=!rJc>F8Cvgj!Sc3Mefinkt61?#l;IwYe1>_dzBq%oOX-v z>KTNZ02Lst;0E8d%&wU?wK3!Rhmzw&Cc}IPqAvBvmM#ylwBBwQFPo^q5CYjm@Ei(+VX)t zbhF@9ieCAhqyC^fJvluQc~lEH?^y=D$}GPX(?3Oj{cEq5+qNZN_$Rlk|r}&DRo7 z{(+h)`K>nZ9u$B-Y3FiUs;k~Z)(rGj>Uw{HX0vum5EmWOrUgt&?q%SUYZQ{3vBZ#= zzrM!i!YOuCiH{QrjQL2r2)xKUL|vOyQvEBx@iQ8Yjui1#dDiqlG@+*pe^@(eI9%N+|3Kt7L zL=yFQ-X_T&wbRaJ1Ly++_)}@yT+e}0(+{ZQk*W#XQWn&<-Q}eG04t@s5KcHY{f4(XCN?17c4(=##TR9&Y_E8~7_b(b}Qc zw(3mo+?CkpYVB&HT~p=!mf6NA1gIHDPXo)Rg;_NWRnI#ZOH?P2r2p2}Po26+1Szf= zmhes2RvT=7dY4X0Wx|BW?KU(@M?`_jb@An=Gp5k@}U)CIcgi?xo8Rs_*dbi0>& zfW6v&$LX_hDLt+&2z5|=7VIsoCsiO+T;gAV_tTP%cw4xHIV0F4bO zoH_%U`*p`i4=na>L!Q5HYSq1bAsDLo@TdKTxl#_cBQn?*wJ|YvaP=yeOI4Pn8;Q1H z-T`7WK&_4?XJ?Hero&fB9e3ab65cF~6V-)+j|ql3 zYL32;$<=4T+*i$T+*<39>QiO8CAZHP9xL?R&J=+m;Um|s3tAW>t8Om%TbCx%Q!-Pe z3fs}Q&-k%9jDqbz!rjSf7^gDHT$F??}_ML4_7hG>t1}R*!HU)ZH&CXG8RLP`ay&LhH}_= z&?rn4=UEm;IZB>q1_NEc0*v+hy=aReeB6|f>UyE%u~VF%PA1tzbPVaOg!Ga?+DT=iKHd5vh8ccfQwscTYY-uytX|`Ji|f+#zT?K(y)Kh*cVWVV4T6T-+8EbQJ82Si#mXcPR0;S! z)PCP0>xlhnKYCvOr(8Van@t9TD z2c#tbinX=)V+-ZBe-vVfdPn4Nb1J%P1*A0`wr~&zFMfI=2GOA5lSa0;vV%XE&Gkh! zmZh;9CBx*UZvsz>hpIz8rwiNYTYa*nmDQJlP6wvZbO~+(LbzAp{)OWnGWddF0NzpX z!H}bC**k^%5^W>iHKy>tlfDayc0V3-;77VUGv6K8N4QJ`Fk*-}S-aht5u9@5=(oTs zla_(?GDMMhZ(Q9#TSEvT=FhnrN(-~n6aXwT<~67;!h2BYy`$((f+)Reg^!US5vALU4JPfdfcz1}kDk6%O;VSIeV z|LP@!4><+2=;en-2d|SFo-QE)p@RVfU1z@qS^T{9%Leo*D)vvAyQ;oeFHI!~6p&6;Nn(i71SACL-{oqJ;8|=pejDwSr4olfnt(b6<?xR!CasHaJ#(dTtnMU8vKFN=zt_?HGn zH5AV_i%;{h{`g)Y0D+Kk&bP7JnI*;ZqYanJ2fAY)W4$+Z;XYI8=;DnZy^mJ)ZUG(e zV>p((?|=;ZL7WE-Q>Ot zyR*${smjXuB+bloRKa7NksGd$xaN` z)vm;g*?%?EDppY!47xv`kuXCQ$o?HHZyz?|P_qU)7S>-PJxpqr__(JLIO2H1F@Yof zV;#NFLQ#d{Ghn^dKm%%|KH9;FRZ~K}IozFHQgFxopzDVc2^*^Ak`G!!W>b;y6Z-z; zH_fkce}QS;lc;M#Y|m`Anc{G<;6)rPT+lbfZN`t zg+k-6kYOqG8_lajcXq|zgY;V4VCYWOrb(4Pje+8NGu@k#(RP&i%>B)N6wNurLSyMb zboL9DhU&PZM9Q2Yeiy2K!&CqU=K=4-lt)&a9PaPZ@-kUFh&q5w?CzXO?FZKze2vA@ zw0x=%%?Sf@m*enTy(pBFxWL0mn?M((?}9#YY3Um9z4?sj?%YpG4D5gQ#&cJVfuR`* zK@R6>+TnbH+h%6MJLLN^TswDzuEN-9ur4NVgl1%36Hxa4U>rhiZe;6+l((Pgs!PNU zkK8X4n|W-?iQGQPC#WyHiL&;SS9Gp?5|qa#Xsl?Zenk;9ikORL3q^Nxc1uidc~-dB6`Syk!#y; zl&)j%Dzfu*M2cb&?9hiVUO#RLax*mrc|$-faW1@-{?AAjOsd{~rptF4XfSDQT_wIa zM_S-;BX|y%geXWnE?iO%Rhr8S?~wi8$8BRVy5C&F5xzaG_CJ+p?t_sgrAR)gfK2uw^4% zkY~Dq%73cJ-pBxXVjD71a*vLW91=#tS&K~QhvAmYiRxJf{!f z4yTM2!Zd>t|9qPWAVvs%b{1$^UpDX$IjR-6XWe4WMZJ2Zud&-vtl46&t$-oL4I3&$d;5A4d(wFdOKW*LA90UzG9o{FZCyzS3$?I!cUO?$0I$W ze_ub^MZL;!uG9Nw0_+O$!yE8)2q_`~+~jNEEe? zpQn6DJNo+YXafNkGENTh8^1cI*EAj6M6*pY5WC6NT3Qv!QwsdNqorFu=_-4eidPAG zT`ZzZD7Nv%%;^F1G99IU+g~-q9?@V0S2=@H*ms_o%&{D282n^v9^Jm3#@`Cl% zM3?Vu`H(rGcnKV>Oej*mF2CacFMB3jgPyQHF79`kB83YEVyd&$dMivuH6}>7Mtwsn z>s(dQRc5(utel)QIi<(eGV5#Lu~(^LQn_Wn>rbZ12{YA{sC=G3D>80|TK4$+7rqv8 zw53w`yxvGtD%Ba1>cN&mhc_REyIlV_upqQ27bd+%kjPj}esUPbci+vwCw^Qx>Qiat z>G}jT3$%gEFRQ;0f#zBeuIIQ zPP0ny0sJ^<)k6k9>{bO|9Iozh4eQJg(|5SLeU2E2=cY|Yj#0J$lLsH*vQSG0!2Z+r zp>gIDQWAt`bL5l=Z1({QCmB!e9{P zps3~9r=nMFMWxhxxKxOm!`3!o4?P{fr`0%|-DeU_lKj-Oc@FVF9^G2LS2OS^)?qqk zJ^&RA(h0}2CLhbr$uyWUG6{FA?H0(vg`k8jSCsG&{~B9!+Z zNW<^%@v6Y%GQ6zYGszUnZZ9$$aIQi(ppWFp#NPC^NnlO0vzbvdU5qnbZ{x7F9w`#; ze269gD8mRoirBa@eS5#}j7T5du~ast`{7U4Kpc6}`Tffc64&|ckW`3B`Sx0PhbD7e zv-y`n$^CLEk1KHi1=cvK@Uc5-z4z87sfDQcNlV**qdV*iHy0m9EDz-_3X9>c(>dp< z5%!0H0|ytfX&e%4oX-*WdnMgY;Ka6DH6`IG)tLf4Zo$Hj)L?mGo&o^_cqfcn{(tfv7}&RF?Y%H6H92HCiXr#$r+}Z&jI1{l=KQN8B*_{Uy!j0<~WfP-aUv*Y63F5d4kRKbCklEd@UC zv5iBd<7eYtoLK+H?|@jPhGxLA79DrZ;lK>CVnyV-7MgrRz3xevv3 z%y~C#JGjls0i}2r2+`YX!S}>hY%>4SXX#)yOU%QqO_Ls|frZKlukij!d?G}O!b&L- zW9xt#K&*RD9OUNf1_JtB?f|0@f`adrez;5IUW>AH+L3PZz{?VLc$K;U1mS=FO8n{Q zu70#c1{ph1YP#s9tsM2VgHtD1VYuNMQXbKX=T*zk<^)PyWq%mxdgjjXROKC<018)K z^{kXFuOtauh$X;xcdI$p#Z;Pmf@X@38>9N+NgxrOA2tUyN69}@Bq<>#cKO+!$2!4> zBRi@wh}^FoixS1VLi_fux3%tRj|LNqfQsDV!S8*+CM-gdo`g!>c1WIoAKpbhp6>a!-@WBtyImb2QgLN;%-AktyO)HI?IOlyew`^amI z@~Vpm7!*bZZ1d14R-6g+y3Z#w-W}opBpyG?R_p|*`9smiEs?8N{7l*+`R^S4sSIDi z+?m?p+OR|p)u&rKTru;Lf$vGuCH9a4ZIYJ?SNvsn?u^a*{2LttAKU(^)L5l#G}?c` z(jDM7U~bHAWPdsHa?9LKk`DiCF8lE|Sr)@_%`Iv0HqCA{fC?tzFZW6zE2Va88S#QNVm8R_`5n!BPfuj8mqUBpF=w;Pf6U6WrL8o+OjFNAaWP;6pS z3qQIkMl6y@qYClAfiIP6eAv}-ecP}^dVdYZgwyw{g(~s9oFMo7wgRKVIy0rsU^ewUMp=rmG zM>P|_80&;z;_twNO+VdnaD#B{^gklA z*xsw%>7g4y~LcdneAUS2Dqx%&wuD11#UbW;OeZDCEH~jUd55QJnbQmEK7lsq?vsl0xo+)t$ zyioQ79Z#E>EI6=ZEje+D{?n*+HDRo@h4b?BbZ(uFB(yu ztg*bRX+JanH$4DXe90WusHuzU2dm0YI8x(NuX}=ZoOId1lK)AQoMvKyZ}o$n(l;3p z}|{b^v3dSPSlFJL^R=cwpHRxI$Kwp$l_ClfMe#M*q+lsJunO8 zMc-X44Ehqq=@QS1>pz$OPJY$G*e~XPdPB_?laG`(;F)yC9N;_tCbzGO6SugfHoc-` zIdXC~cBa5ph6;?HBOav=s}oPf4fvG}Xfs-#V^6}4`AIJ@SrlfZ?T0@^9^_D6?$mox z^E3YjQ-RmK+uso55w?-vW9sjlrbn~c)p2&7A7yX8u}-O0v9w!@@4&|Vhmk=!bgZ%R zd_zK9lu8OzP7+a=kadB)j>70X87B8>UJS_(Z(mW}yxT*D^Qr4>veTF92>)IScZJzhF{7~nE}vQS(9%@oK}ExHWEEHEn|q*Z$pjRlkSNj$6RjfR4H(^_eR7t|91WeZ?|M{J97 zZVTW7nJ@$9Yt~!I z`Io*O>K}hFHH>2>EqiNNNxbqhd@E=$Uqo?Jy3tK}0-_*Dy-Mc627kJc7jH9SZzk3k zxX1<;pjTatrXygiol>gJH%HW&s)!8F+lMKxUrN5XX#}RStMetrJFA*m3%Ejcs-Q!G zp|Up$mD`P{_JE=E-e)&4SIaNJ+a{@$RiCKH5`s8^0CaBrRL&Tr`~`8MB_ zBbLS&GcPwte`6byr_`<|6svucH{>Ad&a(x)P`%?R-P%)GmtI&RG3F{_!?;XWJ=t;K z(N{U`cvXJ+4dAldX>c1#w+reQQT2|4Ea9X1vHpn6-M72&POFu2oKO(`Z-}`KikXTB zMW|-xwREkR+ytSKl0TKKNG(%~%L8z*rRl8#zxv}o!LZvlSb)j)vjX8pTX3CNPHOx% zU;Gt#H0~rKWUUu3E*@fm#s9~L`wIpG;f$8xa#valb=-TqingPD;N`mF99^%K(#8pD(N^iRDzpwRV>kU8>m z=@sd@;H;zn(Yv}IC?-MKwi46j&gq_zkY zf`)B*p})E3rFWh{%!e=dmLx zx3*sHA5_|Bru-Yv{>VsRtQw1e8qt`hC3%{8`x4$^AX`GM`j|ur*_Tns_~=(XQZI;) z6EGu$A*UHgV;mLDudT2;Y+_Kp$ll2kBs`BiJwtvPo!?{@@NJQGU3G)oS3n$Y3 ze5OA0CqBi*UiT~he#tuz;FKS+pTzMdw^m(#XzP5UHkr6OeL4%2w%IOgWeMM++I?0~ zFnsChdLeF`1xa!zl!N#fapY0|CYKAk_N^X~l&uaKM6?P)Nz$kAvcj#vIG|{_=KNGD z8wAE2d8uo6JVLvyBx2CF%JSOTD{y848q*?c$TtJh`HPq9SpxtSmxQr2`lU$Gh-q8R|=F8 z`@J%6GP!y_Ia*LXh=r;+7vPh1=CR4Bd{yMhD4_Ib_%@SRR)6ioPO4@1EOjbUBBlJx zMJ{e6P?B45N?+T}0579Ow@rRio;@fp-g{7k2H9r0@*p84@*V3>{6REL(1udGYV0vM zsb5#a)`sOI02D`jI%rzLdkq@}O?03o>c6FjX=YXGGWl>={dW?@AiMwWRj*Bn8Q}r! zoj%s6^*9P~Z}Am$J}_;acDK~eELyQZA_86Lce$Dcu${ZNQ&qZv5WM^;KDJIs3+}b? zOx`oU1FxhPNRhUUNKt<;K|`?!k2tx9cL2Ygpf3w z3TGb?X>#o}V0|3<_%*Ffyz?JuZ)gGAdbBm$6fhO42{d-p#EM`C1oY*+-M+w2PD4kG zx4|`I_N-E)Me<2ayPt;oP}!YZ4ptYgn>Tsrb)m~(&)jep*k9JNs5-uXqod(5U2PpW z?saqUBgos`_3N6GG`}gUW2ClXud@vdOoXfNdHL%Kw^hrLdAJV%t=Gigps@dLP~9HK z#50KjS_~~{H&8N2k}(}trr`281fbJFr}xE;E=y8TRyg6NR@9Mb8||j}RIQ#|qvWp8 zd<+Sc`qii8c&I~UihDAcpY}^nE2ZR0O@;SLSp{v`(p2+gg$Vsm8Ibc7!S*|#E1bGY zT^s&K(x}}Iv~8AOowj{>-|Ijs1<%TzuhV>g2URZBz$0#M5O|Op(g`bDKez5SbZ~B> zGsXY}mZv!pb$dd9kG;HfL$ma%a2%YSOGiWd9^@lrUe>AGh62<_Jl;?`e3wQ|8%pgO z9@(!XH4k4I#5bp02u*M5TJyachh2I2F=IZ*u}M^^?JX%*cgd(v=7NPX1OrvFniL&z zX=RnclXS5o#24*6syQbo{tfDW@zu@%eXX!j455%eZ+$GgU->xprmAo!dbzp!4xy^t zsmDJRe==5)OO{VJw@85$V=vZTp2UoVNkCDXy{fM-aj@&HUdzcU1eQKS)rtcB1NJEw zPT3U0iA6&%jjxHnclv^OQicikQ?s(r?TF%(FWc5nWwCdn!CwQe24XYRd)RLfRKb5C;(Q$n0>&Y1J|00K07 zdhxnjH2q||hT!1@SI9sO;^F1Cdo%rhe|fyVd3TI~!N(~1u62i2!ai0SAu$AUuk826 zgA=&slN~A)w`lxO-4peQSNbOpx?0a9tBy|J(yyBcvE(hE2uBh`{j)l~-RyoJ>{j4D z5*&O1SmO8s<9x=VN?JdB%f9P+c2*w<)%r#QutxUm3%YPzL}-3_7Y%?q^;dp*6a;B` zNkA}B%QxE9C+dEu8?-^k13N7r>X@W4sQMQ+aw`yQ;s zHiOSD9a3U;Gt{iO3h;L_PN*XSgfsPXzXMGpjW&iCTc6gw0*vNSZ=FfcuSPl^G)nT< zJVt?iTQTPH)7tB`r|fCp*eVz5$4VQ97969#U6E+f-?Blu#AH4k(0@yXYe!QD( zl0tIcPKoUFc+eISYl!eH;m`bG_f8_aa{6ZacyQ!|dTg7Kq*@rePL=A9D-`xC52yfb z#^=?9@X)}qHRK%xBj4|?Wb$`9kbb4j490z21BPp?q#W4iBVY>@uQ>@i(To5VqaS8( zznM5t@Tq{O#2U2g*cwYtd<*YFgWwd0-63-Frz&12eze2aXGE3pD%H*&rNNLnjO^4* zS{6xoa00L%BrdyXLY)%#gcW%QY!- z7sgz3sm0uKjV{yr*y$tdR;#Ob$rnIoO=#qJbHL%QQ^0-=Lt`+*$;si*^+X`FG;RP05ZUgz76@eb`xgdGr8z zPD+FB35&U&au$x0N$Hwj-W}T9d zExLz?@j=N;8`du5b4EWciJW$D-=4VrAoUSsu2G;s>d2+j^@wZnUmLuPsQcZ5X<_L6>(8ZD*K~mV9gAfUH;uFSkv}jE6-ge@8_(DX6gS@Q zf~S2GRsI@DutC2#IzJwKlq5ZH&=W*K<@c@S7~<42 zLs%=H-&)d(fw!>wRM4opr2RsWUUB&@|BKLbIUiEQA^lfUSihHqvjJPipoB>aCs<1E znQh`{wmZ?qLaAKb#C1vUBu=QW-guuFF}jJ8{4u!rzlgD2>aPiM6#ko-CRGU<*wftt zTehmbbNO9PSrh#sc>v{t80_8?GGeG&2oEE!^Pu>Ew@V6Y6 z%Z$x=NA4j#`erC^w%|*W@Gm~bi_#rxQ|xreTCaJFfk5;x`{>i=hOvSfjzh7NAKZDJ z=F&Zjk`Kh%0K6o3uAJBqV7pz2J6(UMWB2s;~4L#(5c-E86 zPXr+|4AX^IkUTo#N%RyE!zavzjBJefP614B1J8_eLYj>96^CaTyTa2?Qnx>f@T8%M zfLR{CXh3Cf18S7V2kop6s}54 z8r%4Bnx?t3v6t?jVskgFgn{1*skC+j?>1-AC<5x`8M%!t2oieLa7w34Cu4o~D+9Jb zsGVGcP@gxVPR(YlpkuPzuWN7U-79Y&5FZt%^r;&R_wq0!x;WWJ6mLwB+jICaz^#>C zVCvc8sBa^5+-s+#uKsxQ!$)mNHW&gv z!z3{3U@$T!6gipk+UmfMLmjc*X!)Fcf%z%wpWB5!%M(Y7_lDo7EiUY0Q6RaoC)w>2 zh+kr<%zp3OFI5|F^0&M5&mHtyEJCedU(PhQxtej-jLvA_nJ)I99(9tvp?GZg2T$jK z!%axT(UX0l-Ngvh6V_y z8e;``Af83AHyhTjy;Qw66|P^Mv&#Iqdw|?`c?$<7_m?2t@?$t?xcV&^^Rm*nuT&YL zZ2m}zeppib*671N4dF!$xIlZ>;|~fv7H79g0;*ZYUMqY0a=eJKBMza93e{Z&?(?j! zz=`>YkbNdgekKGEd=vXk-;qEeixzf1oK_=;F#T+gXT9}0oXpfN*ma#~_YninGcBHs z9ErJiXIRR~-$9WFIP^)+4v5SlIPSQ8+FzM{_}#l@fqeu2JR*`L*A0HmrpxnLY~s-T zDKq(2zeRk~kHqc#N;m+eBxdFa@(NkuM<+H7t)l{0xH(FlICS2HazX^aR}}QTvl&I^ z3_qGa7b9ji)8Uaj6Euf!HQ)f`Nwd!bnzTXt$#XN0pH0>LKy!wg5Z;1fnvACww$6#B zipTu^! z&ReZhbnk>zeN_Q(T&IcMr^U(wQw~GOTJQIeU?r|R zK>$cVPjGhbE-H?C(g^q#JC(j_ocBx$)v9$;02Um>Unf!n<{!yEW!y|mUq=?|Ic0f$ z_1^!!P_1R0&q>CjJ^ji`GtfH zpm-OJH%^#}I_VTG&lCUgbt8y&=H?hn?zL8yx2p7kF7JLI?$O_+5j^+tR5wI*TGt;hVx~BkNk+1|-Zs*z3?KOxG1uKl4 z3D!0?m&f!dPZ1P|%T}1Qbcv(S%=kxmvGj>FX|089)$$Toz~0YE2`pMbK-u^NPn}QV zGXLQdH!D>U1$SR#{%eju)SZ7i>Q*E8kCM#%KGSdkCK)mR@%CSnx{HVP)1#b#eiLzn zn`M#ns)`OkTDeG}CpU08*eQz^@{@BLy=%$iFEDBD+23alIiTm597MNw|G&d}wmvL^ z?}R|f!2RQ9Sx<9CK6MHY7#;YUKn&Q?`eq_iO{g%PRgVglWQ&ajPLpQF6SV)cU9vZ? zXrZg$J-9YLmf@v9Y+XxHkG;$ygnZ)nw4}J}nExnT3(x_zq7es*FbenPhWr3=d;8Jz z2Xq4dk6E+Up|aNZ|HU&+T+{y9I0vC>W->d-M0_Dy2TXpgm}b3bXL zTb{xGgM`!`4g!0+k|mHEoT zI;t|Q)v(a5keaP95W8l39$plkh$g?nn{LTdt(hn@>==H!wmnALyC!JBzId%^7Q8Z5-}S= zMziv2hT?DG8h%roI(|bk4&?}RlAW@Ye8|Gh?LN`+g<$Yl-UT>+_+pIS;6KS@gUKd{aB6yBfwVN92_D(tTpf6PuYs}XF6Wb`o>11VWwnie7x;4 zqh)Y?JpIRU`yg|-{r?VIM!(NRbN-AfDflOjm?o(N8Yy)euYAs0s3VD4f7a~WrZdQcq;`^^ z+YPe5D8!$xN_@{oYsqV060&b^cw#_JY_On#>1Th)kWH#ITvi2gsOL2Ia{)kPQLXXN z+~3bn8{hTU9uEQOyGw-MeyGBj4uO?eYkc%xpeda-H zk9;wLyWD@^6Igm>0OObWE(QRrjgIb`OW(|@@LRU#?OTeC-v=V zK-WIc=u|VawXN5H}!_~A7xN{pIk1%@QKWDv{{ycqn`-qE^OV_>F2T>eP zcv2`zI#&)^npml&zYVWlN3q#otwRm&76~LijqVb(ciZxL_GsFpMS1^vq*56LgkCzZ z6)L?@qKnAxtG!nQ9MIlg8>l-pI>SdnCYDC=WHlb!)*O5zr7#)gRWEI@lh!vXEdoy#bsC0(V1G)I0Pe-tGyZ^#)dU%C1J@neV&ztg}(ZCI2- z;?=BCvZKA3jnh8_jt&~SBrjonA0+iBnFwzB)|F)la-n4o{2Ev^C%9<0_Y({kir6-7 z%E2~cg}U~UJWDtuA`JmYr8{xHGtM)n^}sz^cU7Q85W4AmrG7ig8ug=sbTnH7Bdog5 z0liG)74Su`0CXw8>k8CsARO*GMorgyGnX?nE!)u2X;`D+Q4rbPCA!jo>U0?M{w0ud zISH=?%ph+N`ZzS~)Ha~bGHnWo+99NXo(qex-7d1N#*vhEpeow*?IhehG{kjWKdX#30jjcmwNW)qQkPf^`=WhUB7wnukX%wv_slq$Ct-8TJ+T#oMJ&W|?WK97&sW@fftcwR+r<;^{f*W( zxQl1wKC@Q=%Ta9=-ZshDqm_AyU)_bv8(C}aEMa0m>*0gD`ibEC&dt&5>VzhH z98fUyqeuckHXI^H_ght#3UzA8sU0#l!1-QQLw9!@*rFQsV@bfweDIJEk$&8DDiIzu zfUWSIL6G!oqN_B!dkjEIMf1`F#FmzFm#3+-h)L16BC_gMDoK#{PzF!Jw>;*znEY)V zAHv4s1_677e?K%C-JZ)9;vc+*@AB&I$^vqlGChgd#eJ^HTA;*d#@Mws+MI&fgkm%<$% zUtDU>`W#(fcOAq7Lx-6Z4)nIr?0gnF<1u!HDa<(tLXx#OzS}T$9PV1aKRt!PGioHdndPYcKp}eo8 zhpw%k4!DTF(P2jsK++u+$sQK!`ZAcXeq_joYWteM901p~C_SXPku?3=UKiBzOePT; zqTQzCe~S|yUfmTVM2bOsj<0k;+MnAulD!RB^9!T;KEPGXh`sJFaZc`>l`?9TkpJDj zdurUv`j`5KjAx${!n4R&S=&?j36Sv`j{Q=u8+N`*CD;fUr{j+rcrP$z|9!~&+;<@I z>vXc4m+Ts2@j8`K+~OE8*SL_c{Ix9wH=Sa2v@58(dSxILKgzlE;P03J7kz0_e}C_U z#1TI`)O$E{WgVbah@ zb(>V@59W{4kD}W6@u^FOwTjn{B^g6n*o&+}$N$DXnlu4k!H7UTKJaA^xBuLh{*&S? zA(F_y+Qm+d!0sJYkAlaLo0>_4i+a9jFBC%VDS%rqg#^Oj`!JIX4flJDK7{mHvBLg0bWqjrw}n{ zM0Rm8A$4@Dv3o<`KS6_bV}NeDoCbLTeH%cSaXj+s=Uq%)&4_iV zT*t6%&AbSddfqz~nJ}=XnEgC6XiOlaRd`xROwGzJoQ7!pcqp`W%~~DrTak9s3M2aD z@rN1NK{?zG?np%;n(b5J`)uCi@!F^@?&>F@l*g@ej*xv*Dy7x_fOMRAh|xA z*96l{B9A1r#a!jB`nMI84uvstm4VF&isR7Jg@lSbf4a6Zk(PUk8E}Nz2hfIc`L0%= ze>W>tlyHy6#JTf}waFvpERvuiNtzjq>ph=n9AGFJJZ-9GhLm3Zxj-+^`ZU8tHddbf zOfIMXC^L`)ytuRu?WikmK(L)>@jW3RD?U|Zzsi$#z;9<4$TVp!fCQ})a&C8t$ z6HKV%y;67?4UhN)X7FhhB_|Kc`khdX2ctja25cu!*kv{0pcH(Ra>~&nAkqTiLgX_- z=fxoy^6cBX-;AiEw!ng?n`3`3An1TGWZoz6RY201oiqh-y6yj`5sKM|?k|t88w}A9 zy2@rN$<`DY<2!LaO>cbkvH?SBl6S-M%C3Wl*muANfKllqIRhoQ;#1KypceMB*jk%> zY?A2p#4|76h`2}L^#9B(sWh4)oQj&c=1d=z!;kk2K2n zWfv?`<}PO632I!(qEQ^i@|2OrcIW=Gf5VQVTv!tD&y6m67}ze_GKUmky-fWkZu>i0 zJ_R+?f7t7*%_f^OY_ll=OeW(@vM8dhzSJUa7G22BKFe z`FRM2;O9D2n!1QvaSIRB^&BEEJ^ED#zH!MuVg6Mls=El5jn^4`aHH<$9?^|BJp4}IF7Pszi6Aomu&j4(I*Z<7-pMW05Qt9xW{NI-vUA+=xbbOgFo^G) zl}ZGgK$e|mSy%zBf1_`U?&Qk9Q{qT~dg(e~j7fzK>se9w^i5gRT%Gu(;VDilyWU02 zBzNuhl@7a!A21uq536!9)~4zSfvzw49bu5qjmqRrrM`!Ha`jOUm` z&YZ_JF=3~}<{EcOLUt33p^06Rb29;!gL~s+SKtz0L6CV6kz4pG#$*u@f;!n)*`NEl z?EGn)fuU`nxmRp1#U@}OzD#>U<4PElt+cFiX8On$J>e*IUa{iwJyt{=4C{_XZg-fe za3qB7{LyF0J4YNzz>%$ocy{+Ntw&FGpIa0V7_TKvY(Nz~lY7o@QL;a3#gQs|h3Akk zqM3&K=tHU2GoUUPK33kX4^YZtp?*T~OTURTA9m9xHGAd?PS8*?ue#{RA33L12;X5^-j`Njm%WnejP=v~~SO=H`5!Q_+?!!Zyicsav~wHl)ql63i1h_s|e zjGiZ~`g6JS%ED3Bs+~rW{BUloB&B5z(izrTGEZ{{{IIMltiisiDO$=!-Fxb^FF9p8 zfsBML#I^JM=RshUd}S?aJ`En*6rC|3r9F~)SIXsOcx;QLTF)KVFOSM4?Lv|y;GM#P z|D^PbVTM8KJ;he0J0mn;o#ONJY>X-XBeDOSq>3jv7YWwxITCC^!qpf_-hz2BZRgQK)dmw+UD4+w|T&Cjw=+?a-wIF*!s^Q;!Iy5aMji} zA^5V}d4v@x;>xeTzw zrZ=0dv`ILly|H_0(tl?)6P+OhOEEgB|6+OWBqL8cMU4*uytaIA<{$aV!Y6)U%r-5V z7nuB>FdUU>(62xIPg^%|)#+5`&qaE0zM}wI5Wh8BYP(YrowqlhnASQ#(7^I?pF&0W zmam1^kE}U6w|mO_z$Nse2S>h5B^|;|{3*d|ab+M2PxoQh2DotL>uP}Zs$|o2?rKQkS=Y5inX{mFLWFtzIH~&=|&Ie;+Ol~>J)*AZHWDR z{Nsm*r;8Y;oDeAxg4zK#eKD3>Azj?DD?2NDRi3tE5`Yu#H|Xz~T9S--m#QqsU($E{%f zOAi)S=t=B%*|PCVEF4_z)Itrc8|Pv>vBBrQ_2k*kb4qCgHu5K5;Cf3x*88jt;O&)P zJ!qVqmIQFI!)!a(2{wg_BOeb}I}=hZ(nUp82y35es9f=!PILdVt>Qh;?5C=CU=jcP zlv@$V+>jy*7JBWQPwG-!kOw7NJd(TSPz0wv3_F2~W=b}+U6 zt}*I@^AKr#_Og}ll}FuyMd(H8g8q-l{wmd;Lmn0}CdkHI$@zp=O-CjlN+BlD!Y53S zaAozSqL|NIt>iTY$YZdyd~reVNZ{Z}Ky4Uh+d2F$z?pl-5Hv4j8dQ;Ft^qL2pR;SPiXFZ*iYzz2(oCN?)MQq1?gi5{!7vm08nTzB$( z=-L2aGy27*j1xg3^VQQMuITVQ+9z*ZtV*&i2f3`O_$3NkUg#@5BTF1}h38Ag(vL zd-6TQw~2Yq7~p3(+xh2=wZVF$t4NDN@vWXI*se=}tE=L6?UC9}O&Y_{g_0rQ38;kz zNh+w0@^(Ov82nCXM-8yVO*_qVtm2kwK&x3lp~ukaWA*CCD|v@uS3aflq$k5vE`JPh zP)B%?dAS`M6TMbjsblP*NgS~sBlNX(rfHB@j+pXk#nzSt4Omf25;I?tdCRVa|3%82 ztO$ihuKNp{S~>qZ3c&aBM50H-V>B?|S_TB%#oDN&^F2N9L%C&TihQ0u=$z6ucp2PzOnKGY7qube7-#d}orqx~L>>D6`V zcalEKqsm&i64Ft~@-Ce(|9F@l@+;%@5$`-)_-{vg`Ne|9%#r8TbJWEG^TyrlDDrG?qX&VB0Q$c@Ip8VnJNyiHrlu^Ty!gBgZ<}AC{=lQ0h{y2`9O@xs|zUp9sWrSnmEg3#g3JY2zkd%qv`%{~1;qe(j*+{k<{Pmj=FiBnIs4>p`QZfI-K%Giz!w zeC!5KWKE`$Kl0(FiP%6;Xb#}wQ+KI#;LLtTuCJ@A0amH-gWJ+vp53s5j98(TMu6u)G zEl?l{^l~gig*mG2Ss$#`^(@F3#EA4WQYq;opY0nQiBk{kXM zE4*>Y19^hmiOx7>J*amI#@{LrR|`0sMz{F{WSaHtyfH$CwTmxZxTg&OvnEh=3+gc< zjZ2<$4x?2)2z1L@?#+X3+CXpC1nCUt1WQlT=g_McH7?jSbQ&*-YiE)_i2?)5J9az@ zo`g|P^z%mv(o))E6SjdBg%Wj}pRo%QRW^qZ8{ET(|Fik*v4Cy0`U&Z2k$no1sfT-K z6lo0~D$5cFL$|(UDbBPcMZacR*MwJ(9t5!eLkG+Ry;0~5Yq&rwYJDGGMT=BFA)wyU z8P>B<@P#h^bggA^>?xr9p6`p5h7&IzMRnade{mxxW$@u?oHQs5y_0)2N!MMLcjLhH zS)eAlnL?*FtACD}kX8nDmE-k|8o07=_`QPJNopa{yY_9m@=@5L@cg)jFX|zG$GQcg z&?Qo&Bjb16th6SlgXZd9(Fu{qqz>KY2_B*PJe%YPm}8?Ob_I=XcOfNjbBISUsem#WhC4>gzdE{Mjq|`=-~K^;x6o#Biji3tBh#^&n)Q^e`aL*WWspR zxJG4EmnegWO0STnwJz_0z5#n1RW2~Hj0~8|Lzo6UsnZ?}5Z8WsWi3Gkdo3%E^Z1c8 z^l$hTow`qr@q9>Bxdir!h9;y-VKcNxN?JZS#fE?>ad3S&h?5t*nFcEE0cBhC_vjYu z|NjPVtnr3Neiqh>KQRd9O7(|K)pbR-zH{NYdpB~6cAEgGe^UlDc*m@P7b5dII_X{z z_aT*-cxk7=E49bE?;>-PqdpK;s6H?=btTan$jRKgZofBJBl&961PH4ZcSj@%1K1d1 z(~J&j>1X>5nY0N;YC?0JeteXkH-t^LPx$lh!J)7fY+zN`e<@H3C&}7M zX`jRQ?^!X=d*mw%d@9n1FZoWtY?&)6M``Nz-3xGcAK9v{Dlh2nR>p|~hy5VE zkT5ZTElnMb-j&-M!Cg9%w7+w|whSB&xVfe1PYd$&gHSr1zbN+3=S+!7_fst$GdxF6 z2zWGYDgBNIM~qrpNps!J;W%q?IR4U1Y}Ecm5UO7axlzGh#!kae3XL*AnP^0CG> z)o$W~)^p&a$g$U@F=5e6sAI2s?MJMb_=0EZZe(ZyiUT@~XV}=UTtn9uJP!Y_@kbOE zz6Jitn*XpG;Ay}P{!to;bpc>leN9Y5s(u=dKoSDP3sP zLKZ#cJqZbxUtpH|Zo19u0#GD2q;>b8$U4Up%903^iIPU4HrxWj?hUQGI=4U=#<2%S zo}p$7qW`?AaOzXmjM2+3Kx-qtN1k!z4C3ZPCXEBCKzQ>9ACFhJI=}{`!>& z73k}hvRfoCeyf+1x#Y=2-TqtuB<`(?5wRUTK9=EH+F!gs)(FIAyVV|ZHHy}JO~Z577(cU+%oN|~uYNdg^a zU_=#X)0yY+UF5*6cR@ddfcVyT?If!4ytTPX#>F&p#Ka1HE@Lsi(lyc9x_3V8R`08g zj>}=X-X!F>*3g6b!Hf7-rjhe&=mSrf4g6-s7@3D2T@PCR(Qk-a%mLl4NVKl@@e-l5 z@KN~w`uTOJO#Rx{p`-$D)9zPE51>%)%hD+u!SN){bPWbmk6=?Df=gEVWdNJzW5O4c zuoK4a;`YlH@6}|sz+1(Hi19k+jfOzQ2hzywt_0x|RhpEY+4?Li+Bv{RKIC!vYNFdy zroz2tFnZa|iZxnpWBNDla9n^013jB>OA&2=#fM+cd|LL4x^vo;@I@Cy5>U(+)KJ=` z?w8Fjxc+4Bg~Bwx7tjWI1*c6zDEwh9d8y9hOaGrp-nvxln}Q=m>>jotk>4woW>jFy z*RI-?nzRBvq=Sd5P{3b*pr|VINGjU3WsbrvaB|oC4YH9$7q2bo-hS*9f5&ALZzHI& z{w<%^k6O9Ca|C#5e!5H&*oJajmu+9gpjVm<#xrKiMh6ynAW)|RgAXDl{U0t!3{Q{E zCOVY&DMWUzPDD zl=!4Qc`G}Jt1J?udk?zsxOd>YsO|qvsFso2$*tYX=8cZ<@6oi3ZP$15&DBypi`Czh zOoy9tx*$Al=-8-Gz0|{=7(F(HR|t}dM|GXgXi`!YQbZ&8h19}|KOU~(L~{!(o)voo zVHwJm{fm|4r^G&d zCL+~ltoDtMtDv%t8Hy=8o21i6Ax_Xbl-$&10WJp%ykI!<+wK_z0{`ul&AjU`8#@uQ zDj`M)UAF$*&d2!gkE^e~V$ZAVlz-nb$4Uz44mkOOMA)RlQQEERCKhYRJCH@83^6gy zsqK={gdf1H{8rA>UAI?eyxgb{0c#3_T@3r;D;xI^attk^8`ugfInVL-xsjGY)=AG! z`o0}yqHjKcKM%!;5qtXWUWeRtJf|7xhW+!#Zf)H(oMTt}m-7%<$q$W9ilYWl2&mk@ zX3-<+xc#lrKqJ=M-5yiDtab^r+8BYDqHO0!LM9uusoGNolD#&@A_UD9`be@~yu3Ub z_M1hr%2)sAaeLn`!V^{WoHz^uq(w)-OzjNFC8JE3`ZsYQ8sEcS9GTdUw=mxrbTeNb zuFz+RnRJ3-e|^SI3G>Np;eT=q=a7Q55ccG|iqirDhuGi0xmt z?pN7pklPy`Q_r2E(bj$4#`&siKseB{i>|L9$*nu?X<~#35%~V)AKDM5BFm6hws=V z5zpk`G^i8+ZK+#9_Px9DmT3D@W(43tmrbk#j}YzVRz#2jDYzf6m@>FAb963U33~4- zT2MmsJp^1ot30Qwt?&Z;;0XQbB4V+^FZZE4lpsTw{qh9$#kT!Pp1av)z;vBp9zdUi z+U8%WR|TvOV>K0cX1V!|5SESnh!X-Hxi?0ju?ZNU8} zxC`@l<+)Dk7vdWxeku$M_%$-)V2=d1qaE@q$~1HmLp01>Qq259&(o;S*@L{H*nlT_ zc33Fm&uqp_Xt!W;!gYNvZ2kV;U?#F2HGuC|3ZRI@;9Z7CXJlht!JF6tv%>I^z-*U9 zB7N9zot;L|MqlnQSqC>;>}P&n;jxD{^XtKNvC&sLT_343p1#zrUS7Ufv73Ia8F=J1 zD4oJ|~~fei1tgN>BBv=iU*^zHAM z^t%gqxRziCKL-z#^zqLs!nZ z0_YZbND<=JW3lLLFae|VUcpFxB4ch&N(}Zwwics3v5fE3ua=_9B%Gv=91zAg?Q_Y z59wIK44K3&&qJ`PTwV4?<2v>Dc!lK6Q0=1;_4=&A1AhRRXRH<4t;mp@)*N!&tu6XQ z*dhj9BA}wQ3s&vvS6uU#*cr4|Z;@jtB~mzN5qHaF>R!|V`@T}4XyYaM2@04_Oja0K)5ZbKW8qoecDq_i3uI~?__!_ zv(HNDjN{bA9j6jtd%c)==9+^D)m-usw);__rN~AGr-YTD% zqvGogx!?R%&OE3G%vpnMDeA#IXq2h`5sM(**8p{|p{D|JZ|AyS;vLJG$JJ5##CRAf zq_9uq{t1`rE;xrgbSx}i|K&vD>Gvckuf)yjdz2210k*zZd!)$%bKlAg5&w)`yzilX z@-O=gtMA87=O|5@hH29okCQ9yf&5kKO||K-``NND7&62lI48CRvl8!|pXM0;L}dy6 z=%fLME)ufHN~ahMlnDcRgp$R-9Xne;rIRO~nG({`EleYe$KF%j1CqChpA(*#s!rhv zP0>%?g_K*X2ybUI`ljA(-qfRJKM_`b{1*U$19SCr95eN{i|!3)yn88uu%GS3Sp8>K zU%skkXdEE&_j9e^bX6-{!tkd&bi{NzzfR-Q_tf2}=`wL?Zprb;>Q`^-(_3H@Oxe+L zC1j5CJfTB3PNe6HVPT3fVgEAaPkDzovX`}FzYRCibUw-HP@`zYlE{%e?x;8C*5jZNImMkn%68nmZ~o z(KIahb_tXd>uVFOmM%ZLyw+O0JVp9Twj*l(zQ=;tk(qC{Qydhm)vyh88Sw32djU{-ku59#+t zpQ;aWwonFUkq_}-uT*De8on>M5zoH;wnz0&pcwb}v!fZOF)LE;kn{4i!?+VR& z_YEIykk9gB@twxKp*kC61zKK_7J6{Jr1DJRE6eI73|2l#LcTk<5tTBqzZW2I5z>+sWKw1!;BL#>Io9&y^5c@}y;K`{!`Y0>5~Scx!oI^S z9Z^S4I95QuGN-urX6eUgV=B3O!>@+cjP5ozR_8)4Y(VQ5^4H#~fhkNLS}5ha;1wsdwv(gR1puJl7Vyw0$G z8%Em*Nic8`!nO+#RPov#xu>0Hb@Dp!<{S1oZ+hJWPQSY`nWvuAE}nUZyW%1-b?+g0 zvh6VJ5k?rg{nz)W{4+qUxt->4Wwgvf80hTg@Qo*gu2G-jVd_zuQKwl=d*%~9i@Bfy35Q?#?NkNj^M}W$Dz!7H^`45@A2DLHsGdK5 z7xk++y~3L(hD?G+(=pu;dF%e!+RhM7gZVs2j?P#rfownh~n&yb4U($D_7>_+fy zdi|C^Mtr9ki0ql4Kh@>NH{(#I^37~MdX!*>LlwSSTi0lZeR%BGYnA*uqATv;s^0K_ zf!*EGLFxk+mCS*a@X%X@)*w#u_R%6R-=iK(vww7mcW$rM+kMc(UGQPS=pNX9ju-F6 z)Q)j#D|L>3Y5**hh7*0S!OyqPc*C(E$A#$5pHBk{e2-88LP&zb`choU9&5x~A>13a zkX(pDNn`H+;(f^VqUlc#-pP;#f;7?59cYWS9w=4R!K=|BDHwWlSM!&EZKFFulAOWn zX%baQkP*=DZh=RI|Ik|E$UHucmZH}Lsw)8Y;NeL}*jDblA9^gk7!9?nKPs%wmP}Th zyAmz^Q38kRKXGrK^6`EzcP2i5SQdFx&rg6xU5`41^Lk`YKo7TW06J1!8RGzrv z2?$~lS>zm{)PM^GHx#WeeOLs%(WxP41wA2JLopLwg3#HQZXj%{lzQ;;}DzZWEVCO8=+w0`v)C5Ba zp^^D{%}T3mkO{b>MPigb74E)Qfk(b@E6RXdAj-(WGo4>5ov`$Hpwud`A>s|glcT}=7RTtG%M+vx^CtmR`uP^X>+7v zRfm1TfQtR=V+WRbjIpUNxV=8i(~mmz3HgkxHRfh)PnQLf04aWRfG|e_VeKj2T9i<^ zvu?X9R;nb4y4JTT4a>hq{%9;}W#X;B0=0x?4zlJP5xmvGKPFZ9?9SKC!*_l)eyXTD zc5hIFnB1k+lp4i{(*s6JQ=O)RO)ls1roVTX0>WmtoBowf$DmVwHYLKzu}Sh6L!KIH ziY}pf-YUqhZWSnnJ?e5uFGUPg{QRkGSqN=-tij=!rgvlD#cSp+UW@e@(M!_3SLzS7 zd#$@^UjFj-i-~q%N9uLa6g4x56e#Q@WU@C;dTPMzp7Lm>`N`qwdt2>M>zC<3UmIwj zdt*;0F~Qo(&=H9MzTM;7yU{4S1~~i29Lx{HGK&I%Vl+gZ|Si0O;PG z$egzof_vkbayn}Fk4rk&D_P83Y`M*$dneOx$KCS!Y<<|qn0%9VkEQ3+K~hmW!r#(f zU`ot(P9(ohF}?pNhCEAky61@czcB4^_cp{`H&}3|#G0pU)6W3?RY^4O(VV`3JQyci zi#$;9I;ky3Fgfviaga5qX`+f6rR-VC6Uu?}_o`XY@2*^!QwU$ZbiFwpB@yx4qPT@Z zFnsTPuD#nqEl7`!J2f6eX+i)eeOpmEXPf%`UrljO!>!lU)YdRUz2~&^x|OGJ9+(P4A@#IRC3skk-3lMktz?{zX$TmbJwl@z@Y;) zXpQ3On(IR4lfe1^zI!2JUA|CnHtX4mbK6urW&C)cZeLNz}{$J{7av$C(qLyW9HeazsUMazrH5he38n(HLv%uoXv^BWc=l7U+@v z{(>zKo19&tB-C=Psa~eKA0J_)IYk=rG$O9!(RpVPrxg zg6#F1x8|7fUfDTiroc$1o@{d^ri;i&$k z#``YzIsuw8%>dV)0Yil9EG_9JJBknZTR;S2&$6raV=rTwMvnK%v^SOalWi?aMmwX$ z_x;G;@XUCnX3P=zqTQj=^YY9>#Rh<~SvXwR0EdzjowYU}+wV3MCu8BI)v4*pvv#4JOYUs$A}fE}=%hc)>vLwtH6Fk5dJ(do?BenM7grap zk{ejPH-16>$%IVF%5uXNK=^>UVrl#M zRLA2wuDm^A>?8rB1tt4vV+er74156tWc9<1E2#Msq1|~85I-Vn7MqH~q@H#f^AM7F z=lO1PRbhA~8|@oVh{+oo{PVQ{VU_EU5kId2TE9&Lqest<9>rb5SV7=oXI>(HG}T5W z;fG7VO}xwl=qp1zry9giva%UB1tBQNnU53Q-PHu>e5i~^;0D$>FUX1d@m^L%g<>pD zRYEG?S~SJPecjY1q1}a#2ZRzskh~^WCVPG$b1{J@jDQjuDJm1-BFpnMiB*hR3H|Fc zfHO0bN~i{{#w3qrH3R|e2CAas`8f8n{~C|r&BfHay8bWd%(3#br2k4wQq}HKW@0l_C zy#6wS&#Na#(lS&-(_i=QnX9Mmm1dg>@j>&(voRy0Z>DA(0HfI0n1Ymk)E`U}{$RJT z($eL@tw(N-5Ve}*+29Z2y{)2M=x8JLc0Shp@lMoUe#PQf{lfD}iOn&@U3i9VP9Wxe z{zG+Kg%8o31V%s&)0NK;YIMBXX+IFaq*jone8_J9$8Hz|O&TgL7ID ztl{Hn-=3icj9?=~`&Z+y%9H-LHdXL?F2QdyNGSJT(`oMVjFSy$ll*<>^+HxFK3Zi^ z!`38s=YLV?P1Ut)*iLy(GKCm5X?#pfQkYG+OR@zCar*oO znuxdM4dy>FmzQBSYeM!RT@PhNV+Zah(D+q|8?1ZpUZ1l91SmrzisaVAkw@<>9fQJ4 zPx8uVdTt+G zI(?Sp0>)UJ(}NJxzo%ZS2F#Mf^b_;$phT>d!;MMZI3iUsF^Ir_?Qtp_6gBn6edD^` zJPB{+@I^XA;0r#|%if&@u0y`H{7qu@kz9!6CGIPtC1PD-%kd{{3ksPxfEe=;mp2i= z&STz&cgyzmz5T)j-D!X;S%@tm^VROHFQ+JUxSy3PRoPN*WJ> zn+?oLqg(MYv|qLv2^%jgRIv|Rxg9tNRPB-B1xqJ)6wH(8VBC>|)37*c9Ct87F(2ryt#a)B)GJs@WP2rQY-jQ{Kqw1AO%()Vrr zLPB12gV@`(xD<}g4G^X{wqM9c;QovUkv&p#I-rO`!gmN+(eax^n`+Kz09u6MXKyJdRNIsL)j(vLbAKJYVeT-#Xd}|4C3H|& zl`XpbH6DIJiQbvq)ivlJE@zLif5?t6F=>kb$#~@b9>>^}xAzB6Yw%9%Pj&qpspzG) zbJ@Gt7ed@=l8Tz^4{LSYaaWlEgR*03!W3y6ihIE^vv+!nm1PY!DbryEm4G2&m=qJ^qcf=~Z)9Ou?RIx(q^?BSZbL6IT zcT)~P(;MAMg)Au4D**F0@#LgD3WfSboLMSSdSURvD^GzxXB*Ck{@g8s$LZHp3d)Sx zSUp%R)+&E)%s;tGwY+LLT>raRRj`QXt?97IpKj;(ZaoW?G~|Hb1MiriaW`YQ4o*8l zM4(RB+RO#;PwkXQ-e4X1ST#7yToE{<_RTO@6Py11i#5iB>H zW*!7pasdb+sp^@R8uNVp2r#kB>BC9%B?8Gr3|q}l2$C9o)Ur3%*75`>%%){~o8KGX zvBZ?DMrW_FNh;PAfB)+!EeD8HI~Uq;r*On=QICfO(ChAZ2O$Bi8yLle&#raPH>Rc& zChK2=Ia^^{QLDxhao6UhLf3%IK4;c)(Cafu)rz5}LfxCGKRvC5neYm3^IB7bntk}p z65m?aO+$RA7qKZdx}2mI!w9oW%R8Al?PhU98mOMH2c=Ks!Tj4$%&D9id*q-Ptp|mA zr)irt`V&)J)tjhRDw!88$Wui1n9Fe|M`N#@RV>)&*;cH;3Cgg^Q~`mDwSq`yZu!|$ zeQP>1L49&Ft+Ar5Xa-J7`6CQk16>)=_hbOovx`%Xr>?sjH;bd+V8{R2TNW|ti0I+H z`XZfPKXpvgY6)MDBW`oyQ=DY6P^h^j03*&B9k4X*KJ~tGP-XJ@`FtjYh&M-E(n+k} zEwOR_n)9aoy-?7k;g6u;4n#r3Hfv)wqj*Y{;a8gdZ+L6*bmKd&;9Ln<*v|^D(u#Xw z%{-}7I4kC~@ZT;}Th~5q`MT0w94uoZ;l0q&Xq}j;$PN{thNFTQ>YS*H6ZZh%JZhIO+wNO>eTe5}v;p;*%TK53i|WEnbAQyPUaNF)%;ywre8jGc=!PCxwh*NbIoQ_T@3)s6%c02~d?31IcT#gi zARrb_z)BMv=mv7>Of<1$nrfiVgqnV87dH$i&S~nF!g){Dl zslugiv@3p{dvm#FYotYc$M*X{)(Z!OgMS>DLbl`OkfneKg!sa*nr{Fb({N)Prc>}T zWn`xb<^RMnJ>|gwE$7SG=WIBm@;jx32I41Szs}XvRNrxN()|YEGwC!0@NXij5X5#s z{(sf^?-Ub)g-c{S++aI^SN+tJSsD(t4~VUXPXXA8ktvhaU+(4S+NhHd+4y+}qLp64 zI2Pj-Ps~0yL}Uvi@4tzqrXx;&poy;C2mF4EG~Jp^3(cqXJhUGDpUjM8ms*u5I+TH5 zBI^Mc{5U`F&%a*!Xek|*`}RB4ep=PnoQmmKBg8{dAdfg0ik&#TW@1vVr*+pUD~AOl zQ1~=Czse7yuU)71`mT6`8=nqmEgA0>$suKBqf)#| zyh3@q{*8?%Fm3HiP=ivbV?h@ftcyBV0}5wCEr092$(>Ff92fqHJetD08K26OP}jLJ z5yX3Eq6;HPc#y6o(4IRJF5p#A)+kiz7PZ2=n=f+`^nw2bmQ*9rhaLn-u`)_WdX>S_ znqCFQm$d&ho5svFV5YNJ7mkSuuIaZH`c6Sr!<)Tuwe+##09SV+3%_m#Y>t7^R`KM- zvCy#Y3rTsfc<*Q(W_(AI6&zNwewEe!k>L>}I^M z3J?#Al3adq#re9BMC>N;hdE(9nK1KCswEBTrFiC6BVb;o-)C?h0o_YTj3R|{Hi%o? zG|HK-{Nbz?E6T+bRuXGq9d8>nHH9T`x)b$<*HAj4m=#o7o{ax&)PUfv9%_R3QJWW< z%J#dM`ch8)9kqwP&@`nV?*SRs$SC6YYW$N8E`LZ&YX$0J$fm=J#$Ci(+kOduW}Kv+ ztmptBmoyh8zFh?tU{Y2D6PYO}jstcl<3$+ntjHW(^qguyJ4E>HfsERtuP;|k$Ajg) z|5u89d>2E5xougTcNAM4gcsn?HA?O`RnplZNH!#+`ojqxy{=s#hdQ?ihDR&k7~$IdmMlD?e2EJO)z%?suNN=M2t z&i6%a2Y=8Zdto^v`Rm;AGbMqVbZs4*wFxg{8KZ|KpR0?)ySb2 zgg=MbjYVWW>-m8n2rVW1+{tWtGM(qq$?gomDe!7WYf|1>&aSwZG8}84m^bN7=}QWV z9OJKtt;>GWC`(CU5P(GpnA2sv8Ey(y-%@1vc8u#Rr-g@1a>IO7MC}Fxq2rrZ7S^HpAyrkNY$|8#8FcdRhMvJ4U!!& zze8d)nHG0RYXL!ZVdL7hgZSDh{#hcw5P8`Gj@W8l9<(Afi~Gfl9^1wfw-_8mdMhyr ziQ&P=+fH7O{H#Vbk}Qd=wbUdZ*xj9#1`-S^SlhbQe<$D%MAw0;c`3N3&*tg0SyKex zyUQf6bDN&@tg*7-)3{l+ZuKWn9ur@}s8Zy)nO$GJdC-)R9r^kcj(l})1rRHjusx)` z^;OO`Lu{rhO^5xm4li)+@S-Es7m}3QgMnQDwdu6RM5^*!$U3b68OmqQXxHnp)4Fc^8uCBQ zrWt4HXlU!Ir7E~-QyRbOs_duu_0|ri`C0p)gKs9HGEzB=S1)8ZBB5(wQ`_pYC%dCU zJX3t@zMh5a9Us1G@Ds1SwzT46$upPkr!)nf_B#Ex?}-9T2j;Qge-4Ly;JWpv^jwBj zR~?XjHoD^#j2ytd?n#*Vxo#{d_VwxfF~M8j-$<1v|3K#Z56$!p`T8{9M&pbz_xYF9 zk_=UdqjH65&wSR17x!BLiBLsoerU_j|M-|im!2YNuU+0K^*)5(CIR|9n&~rC#77*& z`3c40jY)MPAmE&sXt|a-F1BeoJMuTVjx@;{7Y|xp3QKXA(d%$spE9z1B`K#g_P$b<6rhs8Q(ArvqvOkNJF5IW0Gg*&2@q?EEg!9uSu9>sW(#lo2Ftep{Vy zMg}IEW6!#;;szsPnkIq4^EO#?v^V_Q1pZ2PBSNS?@gw9+eNlNuSmP~Q&DM5z8B$!0 z7&P)E!ZXssImpNI`)E_HNHEgYrNTme!Tbdf>4fSRkv`u%-k{}2e4W_~^9Q47rWVsL zldDPIaGR;Vx)LCnpu_Lo?>_QcNNy$PbEvwZ z?%!AWd+$%D>*d`?{Pj*vNk>508u_Q}GV%dr&d9;1ck03Wc_mi$Ybl2Elb4(?-Wh4Y zeR-M$rtEb*{9$Ukr?}j4S6*x54fBGM*JYHOFf4sV&C&L8CW4&YX(a>Al1Cwi&1}iQ zD0$&b_^h#8KtycWm-!z^RQF~^2hIMWEG|F`oTOq2E@4(c&_g0sQaOe^Yp|HX0=`y% zodDheZY|*rXTOst{R0dI>r0Yjgb!XOXA{1qn9M8@D-r<_f0EE&?(4QrQug!M^_w2j z9|sPC&nt-*TKNiDx>hoC)`zpE#p&1dTDM!~M{3y!_d+Z0m!eIzW@}o>v3!DPA~T+k zm>e@$0$kAVM2U9lSeHS0yL3kvHCY7M)C}PRtahdnwhs87#UTFkya0CP zX78)?(>CNNYS_b^yufLCpm0NK5+kWYtZj87d3x2VYkj01vs_!o{Qqluh;;=yFGb4; z!xF(s*c6|h6kGGnJI1DYo32m6{-Nx5!kkC!RtM2z@g^%B1puo?EoGu}Z6tExz3x6F z9mP zc2@l#dA?&fD92x~xqes76&03;76!$Rzg;(IF8ydPx7SQSN5IEz5o+bFJDntvN|E#o&o+2s&RRZq^v)!4xKf0rqqEB00sRR=nAe2`v)X z;m`J#kTbG2S(BeGb_K@95UxKHkmzu)c3jZvO@gTdhUYXHLBg&sTOMuxK()HT$iz9n z=<`ZA1jmrBs^2w{0nC$`H)7lSkTTo&5fM$$psgT&K)%P~bC(iOUW|rJVYx(qcuH~h=xs+awk(NJ5ORM0 zaqS^S#3dsmjpi}lX;WUpum90Urb2DCANCvm18L2Qiv6cF+AHN# znsu0S4=qGFP9&+=Djju)%QEI2aQ@8tU$agLtz2Ioe%D5L>n!Jn)Dy8*-T zM#<_n=IJ#ZJ!xey1X92w&-KJJNo_mB!I5k2iV*x_+Vr6LVs`StFz*1WC_EBKa=zvl zvnXNGhS*bgBQ?wi&JGSY+^m8mfG<6)47Toz)cKiZfDG={TlPXKcpV=81cbzQ+~JjQDSRLdp^dLAh_R0 zNo9GJ89PiTC-1m1w+jERM{EJx$I+Kq7#p2fyr27mUBWKMcLy3hCLe>5lfL|2`zc(O zA05)R2^haM3yL?CO9R?d@=zLLo?KgBWvMl)+Y?gXc`MnA=wu2h?TqGky}d49W_+3$ zE~Wv9C$N8tmWLPOtwn5E9voC-jr1x941^KT>Z_uI@09QPZNk3foET?=xHPcAJBT%f zvS+NU?+3lFHzA$KiV2P|Qg78~QRWw)-%q*0_4wa-mdSM6XA)!B5Y#Gz)7R)5dHFFI zIdPvnp8d|;zUqx}I~RYL?V{x}U!TX2FKVWr+@>LP*Pio;_prQ)>jRf#T@$}sT3#2v z!5#G!edD|$k@t@tqb^u z)siqq(43Oo=rUtstO}51;&BSedtZNgVIzx8OeDv}+hX{G zSMWC7pNL9&>@$=*POV$Ti1Z_t_xl6k?pb>uMK-=xvS9r4XZIT1iQL42v?GY zsgDae_up{YT|`t21?Tk|g2d<#_8;EK4J$tvG&KbUpAK)_F@K17)cQmFdxqCT$u&bq z@S-diE8Zf?5VcB99?tZe43;`fNO!DggCIanR)-=!T$YF&)>zAjZK!od1syeE--N1-t#N-YcPqKtjg8JQ zBm=>e?;m;#Tt3O_ z+LGdPQya&%u;(-PA1RrL@_j28^RuyF> z-7n}%Cpuz-+*AKF8_WC@hAGP;1ULDHZ*EWEk^!4j;O$Rby3B6GkE`;?y;+%=#WRYJAwu)-r1i#KlXTj~2%s#dDn~rf4Ti_-XLVmKk_#MnjJ6fo2;*2Z?vsb;{lHLHonoO;bj#4w;jHnb5drDy4P(x_WtXk zXI-EqkIGp`FT9p0eDkF8U{b0Ag$nFY;mD$ zgzbRQLjhQ%I7FQ&#;e0Xr4j=Oowwe9sSs5P`eDVs@-$7@^Bt0>A%kdzz4=o#E9jFL znnFL496B4-#S|_6b?rY7&AmG;Wm))rcE)XmBG9NQVU}=mA!nHNr#xMfuya8F(xQJ& zhUk`o4Q_=0Zv(i663%74v!rQAQ2gK!Aid%HCpDwWy*p}Evc#wnNX^wGB)ZiGoTGthEMF36)=YUN+Kl6%jyHfLd!+ZogUZ@ zIr!0%=BHlqq7jfLe=lFSc?y9YN0nAJ90lM|aLj zKm7Y8WWtx%;M&mcU9j%%nr93Ut~A`ASP=Dmb|%r;=AuE)U+=TRBik?+^M8z7;aU9G z7VlkO7coqeW0qX~2fBr7pD#}Nt8><^Pq}4xZO)NT4y=U+yoK~PL8y%NzWEI}a^0~n zrAeeQ3hu9pO?(xUqcjqE*?r-rS_j5s>J)Iehd}INP?#+Tk<$_yV2pZq;cCr0yJnZ1 z2Z)P(R^LnsKa6Bk2pRB`fQy!PY8!g{jsd zXT!VmW(hLDZA_PyMeqM_`UX0|%S8%T?0%{4|I)76Th0wnYUozq26X|>)j;2=g`@lv z_IqeNmC+Q>dGt~H2!%1%?fSq$E~!eg747y|JK_fnXBCl}S6l`h++kV;A;7CApdaY& zwD9pen*{?uE8;>Uvl}`?*gNVT{tq&P1Q8Dp?MoD$9PY3{$Zpy!_;5I!?N{$k_j?o#^kMelMliQUj)Tjl5|3?oP4pc3)62UE%_*%e+T_zPh#ENFQGvnK1m?6!8?IK%#O zAN}sDc?l>nKy36KsxW?Yu0E~7@~MZi%LYE>HK4TOJi?kBXYh zxE_0XPqs4G=q0WWn!g>)kAvLm%uaU;BX62z^!(=mNY{o(MMamiVB!!NH@BUE&@&%5 zd`!DGgX^CL%c0#1!@bOXs<*YMdO&%)J_)XmJn)L5wp43va){2yn=(a(yF!qxM|lT~ z7+l3zx7Z&6Tg$1KI)L*!a>B2R4Ux2vbNdBH!SFX9UvADF6jKOUAA4nYA9g#m3tZtv z`$j+cB`m^LcX>@^D$Q=w^M`DGfxJuZm8f7pG0{Purxp!}grXw!2)QLX2)LC;_1eK) zLVujrEj>VPCW$!%;RykTHs0)PU(w7V)jCIe1^Sl@SP2s1z z%5}4;Dl=n_xFmq;F*eNq(O(jZr*a7YiQ|V6>zV`PtDCL##GF7qI2EE+&1uB(>7?*w zMr}I8GpC?anZTAgw2iaX-{5w=*~KjoJHRBhi=1iE1(u~11jg5wS1bT`>Ga*%34o=r z+*@}?>LyPbq==Y_HLyp}Ki0MrQ=^$+LlOS|kY`Aj;oSwgeciBs@Q#-l2~V;heFKj~0Ra#mgu zW<@hmmWxVF8>pGtY`Wr`X{nMF?sdi2c&}60tI78;E%5dP-xJol{?73UTUJ+q8WCI9 zeD8byy9tw-n@0XFQKa3x1zP`jjkAUSIpDb?^b;bY-OHr<4zwvu$;gy7I!6oNl}SO% zO??!KkMl~T{0%QPd?yk>OgS6>-MG_fow>MJp5J5b&qet!?IdBs@78VpyQz0yv3>}8 zdsW~56cs%K+aU(O^?IZQWE?PBZp$It*1UNP_*j&mJ&O&%n`qX}>7_B)B>80_$%ZzW zz}2fG48oD2+j=Ydop^JVi#>X53}GZZi7b;c164Hv+N@K=l5Vt6>)V8PE4BdM4d6Q@ z>$So5&%E+D_%MxT*}FWPTp}UT0m6^N!OMFAc!qtCmzkTfuJ5Dfk~usNn>-RPEAl`^ zM|md-T{R!HX}E_%B<~@G^DgWj^#Ulx_fyw8t!_E{7<(U7=A3B(#REu84H1<_wt3*UrhUlh;BN(VR}59@y(KV=Qnx;Fz$*zXPgC$ z4da}E#iCZeUWO82Wh-#^)V-nUMux##!>}-M1GUe8u_lEZwl}_b$P~Gfkb7?o+RWqz z1W~)irUP9seU2%dH~`NTp3dZOL_im7gKRCT0s#co+iML-NX%H0TTJ#hxMXNaxU-J! zih4Q(NVI&i0fBK$4J!E)CHqN(%_K7#iT&#qA7xi9k8@8`Gj54 z>rm9m^#{y(BJXV|9PHwF}#dS4$h{aqrVxQNuX zhNC-v2H1KM@7}q3ufi0oL(l3z9rsujJISpxDCwtH4(>G7quLPvRW~zc>$tLZ&z_Ke zRe^&2mFIuWjy$r_EN{Azqg5WO#%Zv@MNZPTO+P?Nnn=ZH@U2+&#~T5~u}p;JTNC7} zIXCE_5!@-r^YM4>I^*Z~?L3aC*5y@(-J{R>Y`83ENzvJDseNBTMQU8Vq?%2WM%q9p9#wJB=!3oBKLl8o%G(?vN0S-x-YpUcFx3d= zD6L9(h$(D%=f-V}`PMq z;TBFLNdy{Ho5Z6BLD2iY#hM_1;80wB zAsN$juCqF>2y_fj9($we_q){(;8dO*ZWAep-RM?KyG@N;BnMmM%m)~+Ude{jHS~@e z3icSwBKzgADVT68(`V|LzLvwDKnj5SY2R-zwl67I{JM4V*MC2l=NeoC>*m5te}lT* zdT%XoFs2FQw+(an#;^4@D^5|wVj zDkw>XFKTWqatdLw;LF&>+z7FsV1O=G*pZW0Xkb5uYAtpbA#34sq;K=Mx-|5#YOX-U%LfoOMRoArsYD#1|gCq9hG`q zdxP|$jiR2hoLB#~f5OQ5N6sIEVy_Q4I@~aA1{Qc7vZ9|;OiGW>sHXD;U{~8JybL$i zmWgHeU1m?;G5{pP%z(+ZRacQyN(q6Q=9#ta#3=H*X%4t+h5!Vjm1TEbq+=g!%!BE6 zNf0-|k`?dS^wMH!ppbwGrsC;*COmqm6>ZOg(DGG(cJQlA<@WOs>Cf`{Rq5 zj2f83{K}VuLckOX5I$D;@=I{;8<3V1G%RsA`e=!(cy(rQ{l-4xASfwf$ye$hs9a?n z@YKLSsun`dqz#si=)=GApFZ9)Uo7xWxvFFb*!*7#WWRdUuxoeZ7SI ziGXkk+Rf14;;CyW5u2~CpAHK(GT&W@RLdq93}}0R>B-Kxa%U+by1%@s0@Z;*@EOT$ z!0t9O6x&u5HG#@^6e@9aG!aZ<%(oP+-t_gL#`%aI>BKs46iMNONI;_04W7+Q_lXDUvt`BXU+_KxuY5LD9{vNF3}omflPZOw`)F(C43l&;^{5trqoJc4S9n3*$ z=qd{OdG0UIj~-*OwnMB**PT;HxGX94`_zp1(wAFlb(~s+Y<(b(15e|4_C1cJTl8;u zWI`0Gx4&0#O(GafD-TfgC7hzW9+OBxVh%^1ak(kWBIkEI3|=_eoH7tQ9>rStVB@DS z$&Oj=x5#7^RPIu0jUh1c5b|Oh98x7>X=`SEABdtzh?98MjRewl#$<2zq!?XN46Hbk zpFCH0jCGuWB~w!$*q%Zp-nl=dv8Y=oWgum*h0$L&E!ig_*W(}UzlfK0IWBS*TL;=5 zw6kyv=7jiyw5{JshcsmaQsVRW`>iXGa^v?=BtsyUvm-#U+nd0ZOMldySjkpDHGra7 zhcDG+oEw|D0VpQy_MfZ2jSH}etVa#PM$c8Km@D*Xv)?&CFLz>c3F7-Te5zyt;oEQu zMBxV;_stWSwj!@F`*9A1DNeSyj)UeWmh*2N!y2_VZp| zZ$Le|a&Oh;dS#{mlqj`5#YzNW8+##{6eiw((}nkcs^ZxlP;UTayRhm&pBXg(ab`Ve zm}!GuL;y;EC~YqP@ARYoZT6)s-tHSJ2_Y@M(Y!P+d(rMeG$o9$rkQ?oNl#O?k%|%Z zRG)j14#>WF!y2Q3>vZ&lA0#;vCu~prR<8&oG56zdy)@1`+&Hu5M-%IrA7Mp>w%L*9 z(s=U^oHp;2ybz#B)}uNu$LSM+NEg)i>_%D(bJ$Z%a)(H&FFmTe*M`A_Ie6*Jo)`nx z7gH1Y(snb92mV16JT8L;F(yHV}z-)7Vi4sx3WMZQ?=?rvGDv zb#zHuBERRzSMS2Y92`~%rB)ak=ACiC&I(v;tw8>4C2yYI~31zS)nHmB{ z4{g_KD-T{C&c!?wPMXc(2b{5J>*KKjct9U5TVDe#GoHgLz!Wyg%GQ{{F@T_)fzQhUCxQi}X2Z_nBG8`RbgsYEsDmjurRg(D!9)rk2=N*zR(C zkT6pQYZLS=!ss7;kR}tD)1QKGgT$wkgN<wIp%x%6>I%A z${Hm#P|J0!pKsXUum_XF^uQlK{w4jLyt|ao@aTA@LiaF?DFDTP7X&@b}EsI%7!?Hr-cz>O zd$A$2HotHVK%{MbJGU^KK7~^bmrHuoV}Bfo(0BE6nf0u>J?~|Yb+%gJJUl=3N$8^SJRsC)RVv1&yKA_+W5DMqxa=5ARI&zwRoIV_5 za`yOIy9$e~o3lu!{FX|y&7em0&E@r;;4zWmcl%lVp`rVB-SYDFrHW+v5C6utWF{}k z0l(p$Xu~z)Lfp9qe-`lKFAR&k{KOHWp4AJiH&YKJSy!qVwmmLIqAP-zO~%=*l+b@5 zajexV4by#b(6__C+IHaEp{tbny$;2if-iNzd}jQ|VsJOD@Dg7_{!ETv>mYhulFZo4 zScx_6a4a;cdizf0h(0Ftd&SX`%tvq63I|-!BRbz3p<*U=Sh+&|fAoXe^r%>@PZsB zU)SV4`=5aWL8iN>SQ2dA*zlY|{f^M&+Lt;Ta%`EXC8(EW5Yf%E;wrC(hlI)vkR465 zXKOCA4uFq{pP3Fm;mtHtK3zM{e~d7^>1G6mM21_)Yp!J%uK})6#&a_**~QH$D;d94 z$`79&@6F2%n>m@W9FmYba)=}-3Y<+KtY8cyk95vkVxy+A>O_AQzgSFbtBTv$myt5u z;O62d#Vv)FyN`Xo1OO-L9*H;W^LioDAs)Yp;ybslB8t5NX+<`Uh=d;eKg zhGUk_yPg2@!m>@xzSjJC0VsWk+hmh)hCK2-I|FGnMJ(8dll-)NLt`zkEkTr}d z?s#%VYK!~8l0*zS_WprT6;O}#q8!XEBrEG9zF!F!jvu^PoqO)WYq-)e$>vaq#&PRw zRh@uhuBfl9OoBLFy?&uhOy(hMC{i>kyC>`W-eo|mG|aGxc8Vb}@?vEXTdpUDO?GuM zdD;{LaQdP&XV3ILBk;Rf*htB}w9h|hBF2bJAV*v#iG^>$yrI+))&paFh>x{gLxQlNbT&l)KTohGy39z3IRCEh0L#Czc}; z{_Zis;`dIcm1T`<|3v;(Ifsd3;G4@3Iq=VKV+sk*#h zvzxZ#=ZOc2`pGd--2%weKLr=gjR|v?rCQ(>op#0VMuGhX%@dvD&X>=y{6i(bO963#HHgjZZpqfoq~@ zkg39F^NAE?-DbtiTp+ea-X<0l-2ftGn-br2cOuvOm3$slx|k(v6sl~q;b-2~G~q0d zz+t2`vzLaoFlXV;R)IY9j@2>AkN8!pkNVn1)Q5lcPd`2RjO|uCI8lN<%E&=WAAlTD zj=O4Ous$x&_n=8kHNAst{yI+SH;$iusyfxTx9K*U-?Mfyg_jLq=wGxg!0LXIbH zFoqgcI@OjhJ5OAo8y!yy`sTFNRH$%b{-msA2m)_8n0D@TfbzuZc!ALZD~1LP?yqt-2&c2B0C>f(N13WOPVU)b6Q7?o0^1zK+bdHG1~m!~U6414ni z8hWq)-eF$jx~jY?2heuRdm(%MyO8togDC^Eoz3&82kA-g`kUzPGNU`!H%`{ZNT9fg z(K__rX_-U3sm)3q_#fj*g`R9S-h8|6ASW>SFI8_ob!iZrDT993xt9mEmul9nv9U>`pM9u};@d}f zB4sshpLO^hBP;qnw&Bg10ARuyd!Bj>>LNXs2S2Y0)gH55Xesh<{c=o{{{%3i=T#A` zM}Z;8JhO>{!1|fIsKHM>ua-o&^;-Kio9BaD@-K>kDGBYx-zf;U_Qaf$g!S7O)&7kS zoHz+M>VOZvLRB{`uq6J&drv~0e$E;on$u^DG!yVNXYo(%GY%gFmDyrasi$E4J9~LA z=lq@@K)KvOp66mwGJ^h?r6(0@Cjso^4!2*AJ~_N zBtDn=qweThm(Ed85&_050kbQzn)yk!zV13KD30fnU^&X3NEFB`lyAVC`S}kdLpaiB z#-RQ%Stni&dNPUH;VpDL#THWS3xjSD0g2+^KP$Z8* z46WJfyn7`h#X+JeNF)|RD_2kH({+u6h8D@|diK&UmdB}}KqSFOSaax+4IF-~P`+cr z2SDXAwh9D#-d0$d^HWB2eYXixX+0U1n~#6V*4D(=>#Rw4q+^ze{I9wYq)U7b`z}X| zf{=>mGx3A^B>ZLRk45U`AFiz4QA^i@LU{z6xvjulq*SF60WC?uHner_Gs~Z~D_dhk zfAld4Kmc4lyAr0bh8}*eB@2m`pYA2b3~1k>+zwUpZ}TkZFb@rJ!^d0y#wRush`Z|3 ziuRrMTZ5H9)Tb)hcMCUObSlZp6h5=zi4*2Jct$xuCPM{+@Xp9+7Y84!d_tl0%GD1Yr z_za7aY26&BBOSz~+2u|zPS?4CprLFHfqZAcP($Am^|vqO$6?f*gGT=3JXY&4hn4yM zCuAx%(7`u3??L#hz{015B9h}@S5u!NlKtgrTl~p%p-%5U{BZ_Z*mN%OI+0Z#YZu7Oe%V_^_1_sCr5VXL4A*B{iZUvBbx4*-(RHWp z)qcMhfH-#;qlzVc98N*?Mpa|`Lv3*BWgGNc6plK(J+o@`V-_H(`h5{4Td!)VJ4u%-LPS^BO?E&yBSuDj{+kqlZ9O_+ zS0_3Ed9CCtm$wd;hwK8|imMh%Y z#~{XSuJlE?#(Z7)o_|V0HOzgtY+PN}jrLqs_h;yLijxRDSi{oUDIJWp+u?2(BxrG@ zKnw`t*yc{XSTg)-=*)N!2I3#nenO8A62Pqv zgTYEr5YBSveS_M(XEVxS6e;7PCw^b$e}7I1qHBY5Y+lMz5B5v=+(1VL!l=|et65p# zSPf52^)iA6i=2t_-90R+1C92;@G# zgbyJhvdL*u4yDqX!%%4!IVYzaM`}yV6l3N*QX6xYQ=-%F{r&w3dpz#l@7I03uIqWl zYwTcSz)aH@%o2-%WJ^&VCM{-8YrYVgnKky;Cq?Oc43v71L{7+}y*N z{;t=FG{^og=sP$u3Sx9`>wl{**rGRLuouq0y{1OmR7UGXN!?ryZwJZe1VMx|(CY_W;gr1`hv$t?_iHRzt4b$Yl^`;H?mU>r zr`Y^CuQkQ>Fxmo5XF4{RHT6H;qK8xmtN?Vap;oo7rTunBd5*uDK7~&-Bk7(qZs?-^ zcXxZ1I`Aau_Yhy#b&+Y@p}v#o&=#*6{j-WsvQSoA<%VxBzQ%ssI`aqj&Sj|Q-Q7nx zOaqY#&$}}&M^`d}rfJyp*JhphU!V85{%um~L$`q(ruG@obpU$~up zudTp^TruN)2^GaGwn1R`3;Dn9fkWx6cmeYUov?u5?fO(e+RV4q77x&*iZG zS}BjcYSu(=L23n&fv~J1BKkey;Crtv+4hn6)O5hT+d{3s)sbqVViSB80Aj|;F@=Jz z_N^4{2_P2_j-NWdqCm$?RV=*j+7i$@e8sA#OdP~>nTm+mOZ&LEbaa_m7 ziz$bvnRoLNuBC;00hnIafQp{Z2{yM1meC~a`W&El zlBxV`)owzJhYc6ZFkfCduRUYVOSIHf-j%R6@+FcrjQ$wAGXA?N1t8$l!~ZLVIIdnu zE>bWd|Itz`joI3F%3@lXN?<=XgA=aVswW!kkt4+Z(Xd%wv1V}Pdk@V|%2a<&4|dh; zu@sp%)1*eh{$~G(QECOY3lH`Q16w-;t>lv~Z{=`&je33Ph5Sh&$s*z+Q+7)ry}S#@ zHty7Zk5yNIDE$;J&_ttRr-x|pXyXO#B4K%dCI)zN8`mOC8w|4A6r(*~{2Qu%{37Q~ zLPT&!3fW$cso3LYb-nqG3@A&=u86#O4=ZdGBlq%WqtH$LPLj+|2g?CsGUyfVTC?U4 ze~-H<_duD0@I6IhWtILY)WXT374^!(=^s4{qucJ7r+FP?zzsa2gRq-PNf0qPbOXlw2QuOl?L<43hnwXdYJxsVcHX~Jbzyep(WhHN5LKY{*edFi zQfJ`kLylk{rcZZrJ`R+7Ju*?T&@^XXxZ#b@`PWr+E5<#tr@5#(nxL>e1OcfsZmTN; z0)T^Sff2eb-QvjJ(;n6iRa6*e+3Q9;xi^m;-!x-2nHW27K}LsTF{GPvF+i60jl$#S z2~yVwMLT>2=&Dx=ZR|V`my%ygr^6&a?u#pN7xax1+;FMR+p=gM`^WyiIgzyeNIE$Z zT_0M31W+?0dX1~6&W^adA5>GchM1(|BBmwE=Iu19JnTbNH$&z@D5dyQrsz2tEQx3v|SkYaK6Af z#dj5~|vEg?=9WzAfdVdHw-gPCX9LqBgki#tK4AE}S9EgowH zstX2cpIq(&2Phl4{Pi>Cn&!+DQwTFxO%PW(R>;11u-#SWT0lzH1O+vZU8ts&V8%F+ z6RdlEE`0npZz#S>Us1<=dW(5cEOI~MI!QSMY083J1uS7B-JD57Wgyyf75F2P%}>v4 z=)dmcHOdm+aSIfRHO-;nOhNGO;Ea2}KlZGFYa;XZXo);xaYC(KKijF+%Q4G1rG>BG zR@ZV*K`q?6cx$8u)o=z_gLTiCtIDkNqDymVC~Vi;k6GmVvC}5)9kr{3;GgmW>!Yjv zLt*hL33oa8!ghPaf<^%!rHiUK5#B14e;@CUN@&*r0VE&i5tQxak%&d0nrrm%gNY9b(C27pB(l~0S3Qko^$;+ACTM!VSYz{8-H}{Q0(0Klh zPH%!Aa=fV-A~65&rP>Rk3lJODuJIl~@fy}i*^vK^-Yy?M+$RMt!%d^7_=WLr^n@cI zDuzH2y7_hSWzP0yMYI4r^~8r z9_M)ry#WS=xBlHbi9Mt>R`NY-#g;2N|3Hh?LIF&xwmrX~(%TQa&~qW?roD9d7x-31 zxO@G6+ro1cByVk`I+%9fwBf}C;U=i0!1O7THTED-N}tJ`vAqr$qeq4UoBF?%shcMLryW>~EFjf0cCSl^m_=Ia@4p@KHp85uRLOL^oWBdloC|zF?TY8i zzOit{#$KUUOgno|2zRIW)jyuvDSIdiEVA1no=?YjB|pSXBprqH#L4MWkc_^Fkk=Cd z_5TJYwGyq7D2)>B9$78{jjV-tRv1}fRdU|4jq zu}6KBbeq+0tiM(N9r|6QG9f$I}02Jt)$P`#631@ecvE812Tz1zjB>L7Sz zJ+#uh1Vc=E3F6my-Ang|W4FD+X^X5%S#E_F4r@Prx2n>johm6H-*@|lr{f6mClSp! zflIv)Jj@&^)_hcj5+vEl7k((8Svy&*GpY!b_c>=|Q3WzAEpgwf|C1~Nav(eB zG!&d)oONK_Xty4}Oen0gXnmX^$4j|S+fC}013k{*lb|_F`G-{MF`zpH+DhWy%c$r@+Sr<{1mY z$F?L>Ud;~MPHw&vyOKY|YbsY1(;$Pi1SE!bDN$EGE>@XH1L zx2lw?7PLkI`mg4v#It^_n6oJ)1}WvsdUePnZ^^~2v#Dm_{Nk-6Bo9;n4Bfa7Tsil6 zDXefHBv?&>q3Uv;CQlu=H*TSplk!m-h7NWbV zJT@dPFQFE5K6y*dlox(bX`Olo9xjQKTbIWS!rPr2R}RXaSAZO^-0F3GGV|#G0_tBK z^7UkVD&QSV6(gHh;FlIj1UpfYh6vE_$?;k9X|~Hxg>ldU|_J%zEYgdDz7Q^3yrZM$RdYzg1?ppbG2R zKs`Pna%9J;6&oy+lm3t8FVi61H+5eh)8M}l_c~$JhhBI)Ii*FirO<1ST&=x1(W*1x zUTDFuyAmC#9s6`O6k&k($xf5Y4xYz=gzh`U&)&1wzSX`XUqN*ADjWuwk`K8^NLhI? zvrh^$r*$Cj@i6^n_38g2Oq3p#zvQx~@Z3K(04dFTf?aZMUhMUqc&}^Rdg;cA1$naMF;`FqTD_tT zJm~i;nv`K*(5aS40hb_3Cs%A@&KZkB#X`>x5<7#V8v}vPfui;dnHNv`e-yl*gzXnC z4;j9;Jk)Sl6SK-H-pAXclPH<6kjLr>898#TR*Y~ry7bkw_kSsb-lmGFGC~$p4U+R?DE3#cV(JP*yI)}$4^8tXIncEp^9^A zD8BU(4<#<#Zq&=ZNkPw-r%hn+LP*A*RO9;ys$7}=P2ts+>1BD4K*L%lD>b6LOsmhn zu!0nw;Q<8XM%M4=Jmrz616#i3M0|Q&_?)!ybGBth?pSgB?a%@Z1Pzi&&ewQN6=;a; z=iSkKJ|28iCbaP2Q^S2fk^fV~41WWCCeaARgqer?VYF#~i3En+Juylt!+9#I(~qgsnO<+n3zVgI+!9TXw4mJiwBSr1?bi_r*0b4e zkFz$d38)surlW*FMM*J7TQ^GJJY5%yCu2xSxzj2<6FFic#|pSaO75nWq7 zK|PUfmyPFNQ-Fap^AuY*1xJoOr>V-^>t3~y=RpI{ON9pjDM;3unQSs9g}pnNoVpFp zOxYdL$|bv@a-W8qjcSpiASIUc$|wd^ejW`QP7WKr_(ZL+#Q1CyP-v=8nPLc6i1auF zB#~p8p5gXlkx5KQ$u(l`huQxDu?D|?B@1*(#+i~gg*01SuXJSg0fpkd^F2(zQSTm` zncB-qZNo$a0yf>hfQD&>Xz;=jC(UT!MpYzlI^N+$+lI`OwnFJZ<0NFc$A_lN@?A!e zXTRj^n*drP**o8Y*X=~1Ys;>m!mprvFGd?y!lsgAzGeSep_E$mBN(#z$Ji@}nIG)z$Y2FCd{?ZpebNyh6ErPG`VH-bE0!#JuRzzI zeA33gtg~PZbdh}Ly!gnr&?h)^cWiC>qDJ?&HJi{3o*um3Z_&FdCDtyyRu zj^MIK3AKTR5d^VKigZ}%y8j1GSa~VAM5R(j6Zhz%>ge0AzhyV4< z?IHcDdMgprvER<##2IYBAq8wXyKt+1cLxFBrUgkm^t6=C^#_p8@>~3}c^~KCrkE=F zVHj`!L6ryk8{Jnp$<)n1T6Ur1bLV^m@1qFFvg=e1Hl8QNDsw;ST8p~NfV^nM$&5e# zM2VOV-&#KF_C^_SDUQgW(R`JBu)-uOA-L_XUu2)1)@StT!pWeBm@cb}&+qJV`}PlTdA z>rwyZw4?HY)1+-ED_7iVFW#5DIq2rD1jMM=qk@K?r38HgHjA{nV!`k9@-}8->Ly$8 z2gQ+B-cCzm9CuXfxXr`aPfk7v9>sP^`B;@k+6D-{`~GA0!6)x{Nv>f;f<{l@K~;Y^ zl-$4}_NxE`0UO#Pt_Z_@*R;h`HI(cxZr2!3hJ0QPIHkY=ndEkf{Ly%wB4opxt%^P` zs-OyJeLF*mV1YJhGG~pIh3;AO(;1zlA}5)sd+LVb%6rxZ<%?ODW&StPjs!*+t?Nuw zDc!Nt@d;4Mr5DB*dS~+|#!1Amixm*CigvHh!e;7<5lQ97U_)MiQ{==-e1R!9Ojip)QXvX-*_YMN zTk-*&u7Av|L+u4qb`9P`0fW{6j#blY;hZ4`EDHQ)LWGrPOt$EbH5Wn0H4S=-&go<} z3)A{QJpZ(ZFN6F)$>61`_NBXtPB`g)j4%e?BEk2@u$I>0JwUlZaGT9}17P(q;2~)r zRzwbQ&E}gBf&G5t*xdM%Ef=o3y8&>D7a-r0+RAFay3H;Jzz_P-XkC`NddV6eV7{Q^ zA7lJoqhPjE9fx#_{$v!@c>3-DD!Iy6eVapbj=+GciCsF_ z0|U+m;oH;toxtQQgA9>Hwo6M>!{|%5RGIWL^_FjW93Tvz)SPh^bml(1O6YApy&BYe zV79;XiG_8^a$8Jc#W_+CBqft@ZFZ*LP5x`Z@p3!#-xbaM&!eYJFRM;te)hB4?*V%U zR%~6{403NKU7_4sum&OzU)kEM4vc;_MgAe=%nlcf5iTQmQ{XE^(xHcNEV)%m*OGbw zgf%--c%^`t6~Fnd0(rV1rz=}2j`!V4b}1n1Q@^X#SM&eLxzzo*Ftx%mHCcJ3`wDWs zJzI5iG71Kzm?UyXyV-xB4fZ+;5U?)g-tC{%#oAACA$d=kT~*};NMI0T4RnD{TrUr67?zO1(95??*BwrC2jOZ_D$rsPB;P#FjTw%$JJxs z3Ov6Ba1gGPMLTgGT{}KD4|K{s?hi;5;J?SEX6Jv{)(VUL1@$M#_XkGNkjAkfF^X06 zBLL|$64x?r&Vce+rMg7|)Z=z&rp>IA8)eROLU@x2`>Qm+xKXXBc}K_ID*9o)|1+r0 zPW|Sp>x&46Njl$E!t+`T8*d8ThKw{C_j2^`0`%eBlu)3Twpp&LUrLP(!DTAF_ySF> zj<3q)7X}z!l}`_6TU!fQ0m`Jo^K%o9CR4Ygers9=Jef?d60?sMm1anR_?lKB)r<@@ zREkvv8_^X6LObqem{fVS#`Eh*NgPaX;LRbzMBTksU((u0qmii*xrij$ko$xZ-5+-a z?My(GFERvwyhI(pAcP{s@}^npa@$3L`y5+eq#DErUGR-98UTs)47aCh5)T+D!c22OXnh&YL zi6s9<)zv}pR^e3NaeF|qt*%sr?zdvS*tS+!?7Z5h)c50{pM|*zp0EEP!VF1U(R6Ym zNNT&&c=->TTHr&vh9c6B7^n<$O7=yu) zyFB<$C&C01MryUiBG%^R@bK|6uBZRr~3HqlC!JzEA^- zyY8Vumpee-riDjE!ghV5N;J!-b3TEytgAhc*IUV-qTLU%RuNu2%%JRpuMW9TQsT!u zn%IW_G*0N>y@D1XnA7@n@9eS*Q#o}z?Wl4rYnUD5e(0kt^Gv?ZfV>8Vz3VdHd!t1* z=YM;&HDx1k%k74?rpz2(WOsEOx)YY}F4 z%ekr`$;d&akm{^{(Eqmkn^2d1jOQnSB^5R@}@sWQ&gk5Y4OuZOmOX@`{|z5vB&Mz`qS0X zfAlWe*||eTOw!P&S89WSHVM}E$)30O{>rqW&WJfoxB#`$DX3m7qn+tP)k`7;DS=jP zg)GtGk^K?p-Lh;?KCu1I&vYSkcE9NA@Y6mJa&biAm3@xKVU)t&&uV=-j6Wfy`tUP8 z4HillH814U{}0UcvvtkJ5@VTrs>L@?TPF{_U>qZxrhSPdnQcH1Oc1yR0)NSqzj+3j zQa^rxRduW}{vXJVT{Zbo%@y2oe(697 z!40x%s3Z}(EaSymk#hR1m(>A8dgEOuLP>i(F6kh;6}WZ9v&D_VBtUGP&YBJrD_EDb z^+-KGpAjFm>ZpMlYtLs4(ayxBhqr6?bc0+#JW2HILv&+#^<fRB3G4Loie( z1%P2|(I<~eO#!Fhn4(0Zdp@~Ij|W!yBMg(kc$raFM4LG$K~f9Z_{!UMFuFe%dGWf4 zNf23c(@?Rlt!9`~W~Wi9b_t!!9i6e^B6-}BOAdg-*Cni#H)(qko!kMOZ(>@eE>e~J z^T?~`GwqBZAY#+UvUePH(#uw~Sfllwu~`pzolp3KOM|T@rH5pPseM^=nOWJ;u`${+ z4PeGG8D@98K6Vx)cTIep6y0agZI z^}Bg#1Ep^gFR&nG1C(49G=2;>?`F^;K&=%%3;5R;r;_tP&SbIuiW%<6@=N~U5hSf5 z<_0jf0>~x1gN5_-;o{>F#xHOitiiMI%L@~CQdyU#iMDxB(m7fpLqOr}56eJG+0)#4=V_JL8(; zl@q^eI44^|6sS!7y8*rltGFuXusv7glLYTA32RFYKVPr5;3{cD&(7v%>^nxYz7%4X3d|e(@(#vd{>gzf#4oCOnQ5qp$ut5Ab=8%)Jz$o8DKI8Gad?B(HLEWqL%c-WJvO z_hp#xM~TrTdz@SytuK;RL7edfE5Fe)Gzr*cm&mRl5YX?MFSnX4JlPfXs^Bc+tIv3e z3oT$cn4np_wDjL)6##>E4EV}KoO9svO( z`+|FB9(E((B=;le8ol;P-3Y#-mEE@j49z5ppZBzM;vyP+o(SjyOr?b*Mly>G02dp4 z)9K3nt|dvSi-Zu%oE9%qwXl?z6LDx1=LMYDXb!#FdKzr|B@3i-6AKBMoqbon=}JR) z#eufIWea!nVamo1?ZXFaC0fpCaOic*P62WLg7N#}%7@+`K8<;7io@527hEYxy|%&N zlL+nY$DXtS6_fY>4RW$O>ouusgYSn6x-R|w9wt9AMH#9poO~%SojA>h*Ax^-bxV+A zg3_x_Om?N#`{x%ys)Y&w_L;2IdYGe(#}-?@WKI24-NPs9oh*LR3T;@VsS@ z#R}6BB?sAQ)!k!M1|OE`^=lyp_gL*lB%}0c7n+XXrA$?xbJ*IkGnx(pxqKbG<^T?BLKXAs|oFbZZ6-OB5FAHQif!whtFMMX8F49Khvf ztr#LuMwl*5zx@|-+!PihgCi?1J-p_gH~J0@CzGxetlJPX({aN+M`qvD4jypGznhd5 z%P1!c`O#FR^Sqe1sn6ft|2KRycjloM^J~BJtv3{~NlkcTU*4G7Ks&d*n~mo;+tfp+ zod1DD0iJUO&2Q;^6Xgf=Q zfkKy@xH}z5;tvyCCZ8G9{TsLzHD#w=tdg5)eVt&5pvf_V4#b3pYJWNg3zGe?16?<( zI+@q10ozujuEaqjt)BI4shx=~v7Z_e>-+Z1MHx5v^ss^;78PvcvwowT47`h2<(5>% zS$Qs^3}5dL`@wBL8`Hn~F85#-{kWx(l;_?I;doY7SedlPsJ-47)u>{Emv1E>KAinL z)I8+b;e?Otx~OAUKY?3_gr}V_Qll>mcxqjF+1(>cus0bva*U{;6h|w+@N8FULMx+X zvJI&=T(p-Yelk92_`&=E#$gR|9CJ3>qz=&|E6akQNa^Qa6)7+7Q293_+%!I z^rmpz_47;Wy3D#eCA?GGs}q$9Hj;5c!zuSp3c#Qdh~nz}tbNqT?&Vb*G|jCicdINs z_)=9qfKK|}7ct7)b-DXYp6Ad0HFA9BsCxXt_{YaUDu$+i8=fja`Ka3sg@(PoS6o*R z@8kvO6eSG-aeE}P3+P`r)T(Rm`u@rtmW6(aDv4}PjPLxt2T$1?p9Gk!3>I zK&>N{pS}3tnve%uz1MRxJCTtp|5!UzDV!(}sc{;feSR1hG=;~l&7TcarThKt*N*4T zTbb)0bHdnB;mus@AYce(Liw8|P&}WUV`$*E4~ij6AXHICtaq&vfU^o8mPmhZ<0rUB zFY|YKfB5kmcCQjDbow`Y^)CR!JR8tLrR+cCxMwnYVViYDHoFJ>v&*R_d{x)Q_|k5P z7ga}POUk*Sqy3LlH2RE!YShW)aR?Y@kc@ieUCmlQIT9^rLI8^GTY4zp~ww`4Y4{votWrYlmYI@H;%yeGi!MUpeFdb%Y#yA zaN=s-edWNQ(UTtNL>{+xwsgM+fC^0NybwL%r`3Gre1>m2-w6VTg1@K^24BF;0kUkD z{M7Aj)3PKxloiy?$>twO?ds&cy=`JvwWoD0-B+P3bi-@?$%jr>DKmmmy^D~-g zdF!e;k)5LAk3@rAtCKNen1%Fx^t?fxnZe zh}a*FOy}Wyi63&r1p4f4s*YCC?&ma)D4cn0-JgBg4l zA!VTf$qBu2eZ3xP{kA9}XE=f}7ooAJ{+l3V)v$eCa)ff^&HeE{9MqS;S+c?VsHgD7;csa7p9lFI0eV}AQ`wmrCD zCXm{Pg@AsPWwsRn_A42CVKIe0D7P&P?5%2)LW1JpF@7ZlTTeny znF#b<^N@R*GKl;N5KEfnnxV!SmcoeQF4pA;1j@Yx=`jf&1Zsr)EqyQVZC}gK$qM## zgCpTeAUQr`h44$AA=G8ftA&%}S@rt`n2;}dr^-D)2RjJRlr{))CFx*@+LzOMtucx! z$)gDr2TWG*KakdbgC=_MilNTlO0-8H}~6lE7vkBgWhw1?4@nP@;af63*J*2NaBt%<-f*x<3#Mojph8 zsJ#8St!jN@z46;_lO{EcgsSbb_7q3;>KFLDP4CN!xqiCk z%PCSOfs_{EfacgMX=|XH=k$Ql^%`|2LTrtRqujWDiCm1sxyw#f6t%aXwDCU&&4QFG zX(H9c(Rhi`70u@ZoO36Og9>L~MxY^ZBy5eujzo-xVzu@9rtNPX64UXgyg@JK6@GCB z6j$k5d5{d5vTSC_FEyi8yXF6}x5}HlxJO_bdXry;IABsQyo7kUc=;ioYT;onNa976 z1WspC847R+Ih*oA_gX-p|s3av)aCdVXsR$)EuMOZ9PcnxL{4 zfm3sKAUb~kaoPmvce|)*Lt*psM4}Z;GMRLI5T+|N^&2x)6d@KMKE1i>G9E$;@&iPC ztPy`W?@hNnFAEoWA+z&RdDH(ZuBlvkSS6-UL*io`yb?@+;J8DlZf=G}U)XD$j}A>Z-uhvwaud%NjH)Pl zD^AtTXPR6fha|2Ul6c8pasmx=8*0b;}Nj zCPdawW3k^;_gc+f@h}MZXDV{5;^RZrK1><|d42+eSg>4!T-CJGp$`|uM*pUlDIu$} zvvZSUto{wXqnTT3WRhc)B`jUiBOr3Up?Yms&%$oq{MC^cJ}jnPgwk<`mwcMF4KYP( zcRmG**7a@`+z|~=9KI8J#gk$J(%})1mHRy^Peu-w4o)@PZPoGmH{5ol>5@Q;05DLO z(EM7Gx>kL))Su`E;ih=zkB%uId^QKvz4+nUk(q3ELX}PJSM`Byf)yBkTHoDT67B4>w zJzDo-8X5+Z)SDuDvyP+f9W$#blrDT5bo3xX(NAh9{u-ap4va3}c zY(I`X*JN5?IHPoye(SHRo#B=C`~jJdJyZ>tz!dR%Vp2b@pT(Mq{O|0)0VW5x0eI#k z?UFBMW?;5nD-AKtVJO~*EQ(1aA=t1|(iE`mhpZTS3uNYg3R9p#2lW~x$`a#UJ((E& zp@#=7UPl5{G@Gn)r|4YO=*4r@8F{Ti|5!D%0ze!_@8U+BijL`@SNrcsb8`9*ERrE3 zRg!v4L2k3`^Er)cOZv&9u2lGDfdN!pQs`JEB;y`sc`;=wvo4vgh#8c_xWB1Y`!~4m zmX7(904xyng~IN`_UL)-oA71^8_%h|vyPhfm+#W3%eW*SE%Vy&xen>xU68rPT0$KQcI}UZ<%lUE9-p&x?NlrM6bEecTo+U1aylo89 zpxIpBJnG@o5sgnhUN%T(4?DqjB4pPsgY8CaXwhRpkOu$YatL1udmibK<3tZeMgCsQ zKNIu3VkyiI-=j_Yv4QumQg9mcTE`JDE(qRwy%UgkT?v?phSwy%7<@CmeP3TChY+g@K#SbN@0Ty7;#ZEx zLGb(%p^cUR5)fX{+}6tDUPu;YbOl>MV0h_s+tI?Wm-Knk6s#T|++D&Ao?d~sTWm+Q z82`_K@SP)pHz3$8Wp@84x`VuZUnw;AcQ0paX*pnzMF;3RqI=a$QxUGPx@dEvERd~V z-@?c8O}?8pa5S!OE$8LF-rRpJ-1YK1Gu|x8BO(D6?`rVnty3-?7;PJ`S93|ql zDXKu#yZHn0*?}D@W@NKO<=F0aNlfU03<{NA7XKcbc9JG<5?3S*Ex2k* zbBjNt3K#U5z_9xHByg+xLnT8l8`^ZR1O7ltf-hY{F}x|pIFd_Slva*G82T%Hy+ht~xOg=(e|QFOl#v`w69h5>|B53IX#?R$Sd<)_Lhd za*sjKjY7olN_nmG8Zz%@o)!xd+e(eUhn&kup--s|HlmPEmjJPD=!3gz06#C+P-^qX zs@K}jJj;@j2p~s1C>y?0PsDG&nt5~9y_SXDyPr-FHXamEdyhJQG3&oLo9&jl6|pb| z{2HS-m=+05yv0c$ev|Flc6Gs)1DF!CZbHdB%o5vKf)qj>;3zKu6WaDsH;%3z-D_av zXL@^>g7;X)ilD~)wtf44s0?Wx63!m}p&|00rZ&V&r9_oD!%wudp1!MHzD zJg(3sGKt0`0|bV+CrxD`0|HRq3qQhU0W|s2uXv|%_q`l^muPo5Dj1wt1V3}%GvfV; z9_6xfD!(0d*~FJObU9ib*b$6hg^EW=IR#fW$Ed`vN7$L>@FTtTV@{;%Cm_AZ9gXMK zxLWI(iyqjqmqftdcKBh^*L1Zb#T!;8wN7vl6R>ZWmuf*SeHJT;SuU)w5OX3XvvhDnsQbQg!;Pqw>juY=!sOvoZ-20I2*37{nj&;a;Nd66f4#Dd#46j3#U{;`C)-RXH4VM; z>9xP-Y>x( z@tFrJy76GTXMTV@6_pTt9#C+ySX6`br*=?`CI}zzcn?q%@-0`NzgOVbv^%{p zAA2dI`d3+09zK#6lF=~(e|JZ0OrQL+{_Ic}%j&Zf*SfEbLRGPOe5e-Cl}AQRQo5{R z>pE$%l-R#~FL%YkNJ?9{!G+w+hts=Bc2<3n8L{Q85v_t*wVDbZOM9}}?-w0}n{9Yp z!&7(9R6q!FU)Vej=$4oGLUeiJq7O7!^be(ZQ^ZX#CS@7t<=;IYdqolg&`Kj_tKQ_(W|x+o0Z0P2a+K zg3$byO(srELsf?X-mHA|HWiR52l{mg?Luc~_K0|QCQW~BYuHB#E#468L#*|kx{|&xz4%Z>$_G!lQ zb1o(J_Dkk4dVmt+6Ru;16LF*Ne_mwYE=?68aUY&=%drW=feb>(Cc?wnmFeFaE;}+-Lo3GZX zF3M%7`*MAyc^k%V_hJMkiVcju6`ClU3vQLZ?O9hlW*4_i?Nk+jtOY?a%tq9qH%hx= zur4DKfEcQm*eC~N_sp%=Gog#Mz@*TyaRbSPvF_=rf4l8tv92X_k{^$g7TFk4P)oTO zYic$Tx2axZRj_hyKo?J9Nlb;6Q3kC^C_>5fh#v% z5GO$1JpPp1f(lhq2CU`jZXA%`3onaV)% zGWMmr>Y;dMt!5F2x8Z1F&g?`vHVi8wP+S@xu?P>v1)(gisIo;0h4vAZ>HUYWNW`=)+-y{ z&WnHjsps4}pwM4zC)JC~(@}_uuqpbT%W~c06!*h*U*Ko%oi6zesYU+22Gms=kKzoL zNXf>y{$jm1yUNKW*yh_E307f2zMLrPE<20{pXJ?_!;RXE07`AI#~ZUbqey!z#W>Iz zr^wE$6IKO7KZN;k;+HBdUvcr3U9RlT>WK$APgA{%D}}i&vJc_C#QyZIqM)eSQxAxr z-RCX#nD-DDB3+pQ2LKBaYaJk>{iY}!eNZyh;+H}$Qxd7x@bOgUw9l%$CY@-2uD!Su za!sdxpZQVA8G!LDs;{IqpNjWkv4CR$9+0zXqY5q`C zNKJNU#K7RJSO}%sg;P!Dzg`6ls(#ca{f|@(R;IB66%pPs zx86dxN&;_~FX&T(IQF0I?1Z$5?-j(+45puBpr6eGQ0n)+={5)^lVRli$e`a4Lx`>R zxSXThSkCI|0T`r@P=Az$m0ArhHza?w2){Pc4$7p5oOBy60LsOx z27&IHf`m~4k}sa0WPXD>`zS;UXxSLp8M7N)T)Ng}UwY?IZ~n2m8!|G@!AB|I+N7k$ zj``YbEjz2Tu$I}}n8?=zka^cMy3g1x;VY27Q(jpv(0d0olQa&#M=yHeIubN6ufqVrLzNstmj3Rj|F>;-K;ah&51ONRV+ z1J^9eL+~P*Ha+OE{|XO;<-W{5AbyFAs2HA>X{=weE`C0A$+rF#{WLndWCPQf>E~&Umpz%ZqQyWvoWD z;b+^_?+I3vB0ujhUVF|PAJvlF)dyZ|e-HV#p`qL_*yw3s&XszW{U7kc-rk&?CJ zz|}f-b}_!04VfE~Tg9IBGW{3D31#Hur`Ay~sj8G-GG-F$)s;tVv(Cp%6Y z$lY*s2$LI3{cp4$9#o)DC@#yL@H~IDHVykW0_VG3;vmA+AU1el{%NJFtpVC}*UWB_Q zwitvB1pOOkGbWqgodMg8TC0&ofZV3H z#EhPU>;`1lBaOeO!+ zlXW89V+hcNQ#I{VQpC6J=J~_)H!_RYRy( zm|ndQ%>gj@+Bwskbi2j_BD}5tq5Ue|{oA7aT+@;zkx&(zH!#UIoAfKkvg&6aDL;R# zbG!^JNEWu$9)EKHGT*8HZSx$*|7=RKUY`AN@G|M!^YJBM4>Zs(<^pbR#`&ddHQe`| zybQ1LoPE=TU%B9D4I_z5j_DVsy;?V?PxeZTjmcqNN7r)PA*C8?0v{e1VOBz%hrCdt zCI!*!BD}n_GW)Di!jhqvCnIGlfacVj;qn#pfWJ}r@crhCBPUvwMp_Sbz>BnYrL9Gw zSDyzPOBHMpL$M!USRg7`SkrY4MN9duS9wk4?zZU@(9a^veGuo1S^ckw8Q3v}@a&?a z8vjeA48B#!*j8bcUdW1G@hN+cn=Q{8Qd*X}qwe2lK0(kt*u?zYqC8fnP}5-3dgPi% zG;dEw|5o{w4)Kd=d&PyElL3IL6_hKm5I37q0m;IQq(u`ww={QwwQokEwY*@2d2yFQ zEfNA&LN0m@#8|H^>?Pg1{!7~4`JP`}CTY#3?Jy$|mTV+Vy`pF1W)cPCl8px4?Y>EK z5rV0XU5M`T4^4KgkNjp&SQa}JFxFzmm%QCUB(DBFOKv7(Qx0F^-{$y1PhK%`cb2%S zJO(#5E6O=PDHql6=5$v1?Rv-wa)J0zaL`qoqaQN#7^}8Uj&z?FA2e~;9QMEANNo** zr4%N`f;KBtTVoeTo%bl+i86lvj^}jv;+`?(`;8W6iuFlkD@sM%=z)&tQ&0MY?d@%x zqItYXDpU3^ywD7~mbsco?1f;$xk!D(z<~eb=-dOD{{JsNO%}OyQEk~YSr#I98&)Xy zTkdlE2qi?exrv&j8UxlD3P4COxek!;NUE+1V!{odc-zx}D#`?crmJkNO? zX#?Qw#@wLtti%|%-@X4Oqesarxbc|MI*1+b9j~OkGMRC|gg5@(HJLx({&7^4Ibq0d zU|2g6K8@+kbXgNAn%j-x9ywPE^1N)jc#j$6-${F$vL~c|_3yx!)}tw*S89~vhLgXk z9^ZeP*RT7t0OSXuH5Gff6T4=K{XPWm#$d?p2Knj1O-7~ZPOtVN0UC>4+AtSBGcV!D z33UsDU<)s;lfZ)FLZ)xaoPaID;MT5O`n*LoEO-BPkF;B;*4PPu`BB!7igc3ssrTQs zVlT361!4m%q%r~YWU<-I=*h2bAU2dkVn#f_S9H~tx$u_#_0eb?N5V#8Wl>K>6M}6+ zXD`{B51TpNlFGOTL~Y(^y0szM=yI**t)%#p>8IMhsYW;pclJ9g?cibt=KR}x@Ywlo zEFaag{<*r*T^VW}pNY5(;`6?2>cB5c-l_cX7Y+s~`D zy<+%(gRj}RmgiPqD01k`y_T;g$2%kJRh%6OFFi))-$R3oZcKRW8;C}${SN6@seBmW zDKt@i^8<-El|lL*xBj2F1*TBwc2rFMV}0cD#)T;s$LcUU9l0N%?@S`bq%fzyUHSed{Dhy{r#07BG1+>plYJ2x&hkTw zWDTBpZ_RH-8I;dm&Oo`A_chOXd@MFcR+b|7Kc#Gw#-kN`G;n$*PhwL+NkKSxw?buo z59jB~w#oW@yc@tBT7cX}-_QS&Tvib(#5LE<3$|RoUa&GQx}%l0^ro}nk3~D<@Q_w&TmMeQD+?2c$G$!ffy3*YrQz_8n3AUO zr_xu()y~O%2C836h#f9I!M!&pL?$hG2nN~3x`#1{K2RUgsW1Zdb;t$W-F6VZpL2dP z?BE%SvkzOc4q08_ccXm%a-04M@FloBMaDdyB3WBG_gxZW0tYzljUWjAC!D$3(J<3a zW#g9J+0`R{suv9UMsH6q^XDxdVJti>chP6nj;GVuNmWy=N8_k8A3X{it|QEV80SV%Z&E6 zvF6$gbjGNHzc(W%w=EdP<;!V* znf=4uXP>gKUfGMhV`Yu$TxAb^{7`fI^sr;@z@v%^bEsed1Wx*~W&u(}x;=qYf7u#O z>pBVBAS)EdplDS$#e=87?l7BHM5q^Zn8imQHvK06#=FJLp!rVf0;}b5Jf%;EmyIhH z2>-!8|B>N!lI{jwNoDvGt;7jO4h)Nphg?1G-UtN+Xn3g{ve{HJaFv-_@cDF>Er!n; zM{eDiPt;1Lnb{0QZA+i~J34q`c9u<(z8*6X0Q3s;xCX5=%fi)`jl;lVPmv@x&>ySt~EIPP`Tu~%jIS*4%8 zk!ZFj{8ohUE)0GQA02W0dRkg!Z@bQtGzQZbwq$jZj+j_Wo>DDTn{nGU00s_0O}Ow} zp3qKk_im+nXanMpk!75`5}vvINfNaDK!oB4j!i7Ss zqd&?+*k;EzFp{ieO5Rw_WtCMR4>2;{aL@o_!`;((qVa852+p_PD#Q+VH0AImtn6?M z6>lb!{oQ;fYpLZi%jGbOp!f#AV!k6vrgC#uEYEmWoTf80W7vh-nC$P(0TX)&i&`}n zWn2^W@bA$0&45Fxt%YKbQMc0IZqbUa4_s=U#N+Hmn#SFJ(rU7WCpi17GH*VvKNnT# zk{d1*m3OKzaFeD_=REm~|WPjH5j1t4_<|7k&vfZeG-Mlt4`_D4mv0UVQ#8$5ak^^_! ziLptENc;+@!a~e7vBYa+Cb+d{HkqohK>z1MS;%C2D@%z;^@w*bJrUZU&+p;_c`cJm zBxie)f(o=8KtX#8H5OHwW4h=^$D-nDmA|xm#;nCVsuun4MjkbdJ%SPxhddabk`b}q z7^TmYJ8V?JJ&IAZ=!cG}y)t+Dk)ftG(x;$#ybu8cE(SfMqk23BZ^VUNyQ*_rl^El#4t$ zMrP{&u$PT|Y|m{m1!0PNhbsLwg=>Y)P$FGG&qLUQR(oC`3)g?nk=NwE@_!^Ui$QLG ze2-4}gvfV!FlthA8uoLpxgiQ64aFG?Mom2|{lmr^lF3x@U8X>DE6r!)b`FxH(=|(b zQue31ffsmOlub7J2~EENu(&(ty(He@iYs#Z&Y*&Sj-e2lR+>relvP6HJL%Iz*bRIe z!d}%Xu`$4Nt0$%MYda1DAI^I$JY6<*Ef|clO8j`B+k4MmLG26%b%G!x_57zt@ocJN z=}l+jX^X$ZuNeDIwSq=q%mzuI$5<&?^E0@4FnOE$W=UHD-|s3CZf@6d5y$`N_Rjm^ zhP+Vnf`EwWUY|@Q?6P9uS$^%A@@~FYN8FS?6ITXC33iPhz<@zS^n`=>APDbx!-Ft3 zEqwI2$xGtE=+8v&qk<(xPo2pyAMA(j<_iTas{#7^NPy-uXE1HI@0zC?&l-8}h4;<8JHt??~EN1yUPGp;W_vnPwE?2iZ^CjV)}`Z|L@(xwVot%CB;O?XWfon^8ecx zmmgPDT*obKn@s?FjU$B}Jniy1INNhYz4m;J8eNdLXBBkn-Vo~6&o*I`zWboBwQNzyY{*yFe z1da_4Ua_p36A;!Z8?7FQKs}Xx39rQNcBtP%&y*~mJ>+)|h^W`uiy7VOJ^K45LXWT{ z9Z`C1)GnN)olT-XVI%Ik%*nAOae>SeJU;tOmH1 z4;D@-xSzj9#69vu&c=Qxs8lTim(e3OP)+-Eji*1V|wDIhOatKBnYfwdBsQC zt-Kc-$0y|iFXaGA6qgp8Z_BP6&frp}h94?D`U{Gv4A-x}Nw7dvJLwn7RY(H@!2tJi zpu;>E=}~#=%eO@f{tyI&H$r@`-TbW+?S=8=>x6rQ>)l#gU9;AvZij4cy$bBO57W2E z7;Sj*V*2R&eNSmgT$420SK+`=6CZokd8Fii3dd;ogkc(ukAKzYGIINj<__t2hvR&G z3q2p$Wo#w;yJMk9@EFbe3?Z4J^QPQG%*FeUPmqhh#mQKnTYW^U(>uYJdjZs@|6cwZ z)#0J`7mZB4_SEN~*_h^{<8zrCk08@OLq`r5e)QfZoO zEZx26eY(QJuOM^&NIUY4E6`|CT(#*^HW;cq_5Fg$N-8)*UFW|tglFS&Y-;xC>nJY&dv-c`p zo6lz1)QfhDQ*M}R!v(3Mf#2lkfMwozcPocyVi+QWb@F1T2DiMevrr;!5tym~F49jb6VUPEh`dv5F zdPv`JMYnDqhr<<1tzUTxbheL|DH-_Fvpcib+8}T^0Csl5k= zSklMv%N8EPO~^~oSYd6>&%xf%UwIQei~3>U(X^N@#cCW6U%A@IlUf77dg%$dWCRC1 zA_5+IR1Ym;Yj4Gr%vP6rdg{b6d={-97pUdV@HO^L~(oxySuh zi>Vbu)9>v`9;=8DpN(r1eIg$QR2n2tQj>ridz7pN3Q%|mXO7F&UkyQHD&=-i>mgt8 zht}@9gJ664Mn-TNYoxSe;zc0lipBR1Lt(>teGAEgt7lIxKKJP|;9Wo3esUl_fz8r^ z@NSJkOXr!uf^cB84GnGGWf*&?%NU|6D}A=Py=T^Gu~lJ@ZBh)fd$DkJ6b~K9#pe&P z&Yq(Om_P<#~IZ z!PjX3*r!tu2AswjA8lU}l2%u>h$&YZ3SmxnbgQtxI^X*k?MNs}redZ$y}yNuWL{0M zSC>zR0T6yAH@8@pN4zZ>1ospajNe&jscNp`_{8Wx1?;-ptoR~*Qt*dMfWlNTYOp78$R` zv_cEtYGT1xUUz5$Qv)z!3?~av*rGB1^j6t=M}pjBu-c+t|-55}`jifxJwmLxr&#o;%cGbxA8#R)?k%GY}CDI<<{akoI;Og2Is{{T}XPy$tnsK5sIBF?s@0e z1pyI2rA-56dCHgR_||odN~vt+A#>$UgHuKar{1E}odft0^tIh$j}$ct&smRo-fcaz z$?E_TXUx||HtkoQ8{iK9*H-<-0tdh5@NG@9-f1G~Lz+KNzvGLqpg=|w`c8%Oy^a&= z#ch&+t)JoT%c zT%$$O5n4&5)q0n|0`e_P!-Z$0W0++F#|X+K_WM(O?=3ZIMMxv-?ym`6Lbz3zEhU=Q z3sY(}&!lA>Bki~C7GM+VK_Iut@IQC`8Vg(#-A_D<916p<7Cw>5Cxmb5Q7YsB2So`n zNh7eWfHFy#@CR1@HQu=cEADmzxngbIi$dqCWt2NK%LgxiB_JPsj~3res1h%%(6A?Diywdqx@cx+#gBBJX^Q^|;%+xYpUcRMPC)R%M<%T#!#ScY2 zrn5{ON__7v;sJ!;>-^~52aFsxq!uahve~|zpsTi@7hzwQeU29}Z9$gI4xE2g`qJnmX*)Fneu^!810tXS zL9lpeZ1w%sQ(iTGjOv#!<*!$2E8_T0BP++^T3nptMW;KiFR!&9*6a-5 z&qY=kT}?2hWJvu1{Fs~3*@-zz=J#KPKvf?(nNKHLHmVkl_ut3`S=J!sNShBe(pRTr zEvjTi#h%W9wJbk2c+{Lel=+x4zQ(j<^bjI<_%eFp?VnFsB0dpVJet3AnYM_vr<=dX20EJF|gM^$6DKvO8%j4OX+9G=*uc7`3Q;l8kQ z2UL-<7d=)YL|gQGqnN`w;(H6$^~$EZL9EX$gUPSsix@e3Wk)klKWph?w3t%{`=;(b z4UVVX&~pz52n9qs$V7f7f-~CZ+%0vxlrqpM?p_ZmwC=#nZk$sWz#^8qMU!+ zflx2)u%UTW0K_$}!28pmhZWI7&2QfY-r5zN>S6cLEEMeebHm?wY(<02UqFN#Acz zC8?39yQM;s7w@SlVLEKfn#`t*WJ%T^vsS~jfDFfDqwY^huaJ$l03^}BapJ`+3F zkxC_NFS(Sbzs=FMW;84ml(8xX2GFmnVM1l$V}6ePvhPaG!y94kf9`$X>5~jvx3|y~V38 z3ov_y{B^VlhpxwmvH3oln!|>IHFIr^05-w!kdZ#0ekiuzCtZpc&PMYFSIT}*O=N{= z=3!De0XiPc!2Wzp%sdBxMu-?!2Frg68Q@zzWPuETNQw@{3o9x2s{qQF)PQ-gXT-3r z!p-JeAD-o-Dl~!Z9up0zyn&rI=YqA?7sElmv5vCk0y6?Z-4+OO=`2(l2?9^{!45n)?11bGX50+T{CC1|k9 zEQ5Ac*_j`GE_Cg^PTORZGdVW(3n-ug<=+>Ye>x=uvCA}Mcj5<6(;r7%cQPTrmq z3G9E#Tyg4d_Az}UEco|a+F9?Mdl|qSF`;yLH54Wlhn~hg>%y*VxCZV5L)tXtbxK1U zJ137L;VekzyV#=5a&`+s4-9`wU+Iz@Q~5a!zOdG3(IlnK+2&!0j*Nb#gb)F-E%uPc zTyDy-2v2dLu%)HtU^U$VzMd2w>p1d=n8AG9c4~dj(S8-G%g0`9jp%3n`Ne2)y9^$S z%pw3Gol&9BzN4~Ub`^UoCNW?7AiBF<_#=1eS}?hZ7m#cQ#soT^2#btnC1%ou18@m= zsfIw-#sGL11y1xa8~@(y(h8JSW!9~pIou|hOip0<_!9yY+b}9OoAev2=k(CUyCC5` z`NfFTU^k||MdWmU9{}e z*Y3p5GNTh_5)vE9l#bIW3*oecZZ&22W4N#k_6(&94(DXp?^|DAs#Ln7J)VoJDxcU}A_uVpnzPvAGUXT!XEW^W6UtO&hnhq)W*gvojI5)y?k5d=dYY z8B3%^kOZw$>xx8x7hDkX&v10a57m>WElJd~+`(GnM?HP0*6kS1UR&uEBQYl^yRzKt z&P;TAuDZ%K7#0FL&b00rfZ4h92#2x%g2r^4%8#$VkiKLEKP-bqGA#r&QYvY4)I0g5 zCxM%usg~!i&EM9>7R@|+ew_EoN{sWnM0!Z|^G0Z&##-0X(oo)+OA5L52^uUgELR)4jT8AhSo2=#1RrQ`!>a68z@Zc)4vl4xntJg;v!0oDk@}zz3u73^`e~h~ zv2py}=WEPNv_l+4dvk?4cra^b5@|`$xFmeMRIS}XqCr(=Je5n!BkyT62sc2`Ns%R< zt4s&wze7!6Nx0#7pT3^tRB3^I0p58lgYaH+-9DXF43Dw}f9f4R{6U;?%1>4{_Wk+0 zo`Sm6+2DedwoojRU?zNgso37dh)2t-uw1Lay_@qt(sH<}JJ2OE9M|23S--0|pGM0T z--{b@-qlFBiLyEq9QoeDdrDGEn7*5j$}Pm-bhsWA+=dosRwP7g_oR|CiY9!K77gOM zSv^PYapMirc)v&q?3V=JJ=p~OS~IiJ(<^WCuS$G4CejlATqVUI!bRKg8khw9Tj&(k zGi0y#dQSd8Ibc#l1LEex#N?^blI{DJ&V=GSZCygfH)Q-F==|d*PA_u)judth41l;g zPoKUK96Uqz`npy<&ZIp1>V}UEo6G3JQ%~;Z_XcZD*$D)EQ=&=3z)ukGyNVWkM#ZO& zAE}y(Yc|^JRJ^7(%vhQ!as7Ade<+A8f~hAvXIG`f1bzs(XFAOY(?*WmcY9*VDNhU5 z4cVdqt4O%!P18~N7{ra&D8K%wnjvkMrYDe|i+CTkTbGsek+bY#7y}{A&lS&25(3Z& z{{~(KCgNK=ig%Xz_`J`W(K+)k=@mDP%^xa{c)wGcJw3Y}x-KMEuh4C|Hbb5C)hWLD ztc!=MMT*y&p;GsIFKE`@5~f=U#r%g&ZJGU&NwIA`_j5_$IU2SXd{~d2RZpI4M0{SJ-(? z>tyHM+fXcLmoscZc@S^!zKS__xd*eGJ?{`lIzF0eN2#zk<5NHw0I_7Y0Dl`UX2rhp zLiY>c1PpCHJ&0OD-Ea!ff+r`(FZkHu3<4{VlxOIc3hCxsuKayh9sRrmrLH@O%CT%N zV_`Dh`H^2)YhtJN-+R)$3oB2R3~>CqfKXCP4sOXpYv{6F8N%G#!uiISd+M;|wb|WS ztM#?Soct;#^Wf69ehdRqG!?~f{SaX6W09Naf#+Nk+T{<1843{R-zK8`VE*(UB>_GcACv+|Zad+Ov9RrH5mTVqsVcTl z#ntBG9=H}iM)`p|BIoaFq9*`qW#gomj)P+uQLB&joSCT>MNz* zzD==*6s>&^ID)cHF~ySv_lnHr{|PAD2Sx$1n$S$%MQAcmXGuroP zEJp!HG`;;RKbn=BYh~r_^89CV+MfybJVL}r{aq475>bMeV_e7L{0Nrva}8?)9u37N z>$gb9Sy5R&tPF3tPisI>c{}x7`ccnVBPe{!EJYu6vc{9VJIhKTEC*f=H;S(aIm}X5 z?CLHs?pwBv7fIrrw3&?eUFWMHEpmLrx)ZiTaTdm`+A%NE`IL|1jbW;vhvPqJ<`@}k zWRB7#o#W_<-;uQDy~g|Hu~C~63AzvZ{(BO1(HQ-AFn!os|5yLiyksRCsHbNkQNp28 z4LOz_@AC`d?I#cCZ>QKQuga1}ev7G?x(eT5gJ+uHSENjcS#7vJtA);puz<}fERHTd zVmYLT^a8_T&l0@@Yd`p>x&q ze$(kQ+0)t_ILB@1_c&UD&PM1RxA#+W$Uf6YG?NHV2R#OERyno*_g_q0BoIPX`mYT` z9)n?(R|r08nsoyTh91!x0YWktW&8N}+3uH`E+fk{+R;pepTfu z%fg~<#nx6t2UZ_YGPcIx!~Y1J2Ht-x8C{_Jxm=H|k=WFy7gn-ytwm?TO;mm^YC~l% zGVxH!gSr9TvP>h)MutEt=_Hveihg_7=;jmXF#?Zx9BG*NY44H-w+Y=eXygo7DVzba zXbdeN!a6tw^yM%Y^vAcaenK<P(s|`I;Tf zaOBnN?!&CCUC#)Q1K7TkN2@kS5D9UcXDtF2WF?LeS9vKbdh-(h(TkZ?e6fj*K z{P!5Xd_QGZGj^|6{VFnx2mutK>Z|LIJ85$kzwhV^LJok zMtj!tjX!g%3nQ8FJz=&M$0wbLh5l3FU4Scu2jJIi4jc_LV;g0PZ}$y0E;t4}@DprD z$2&UvN!9QGo%JisvsegOlT$%lv!I-}dkW0SiU|{KT0Fg4PVcy=H{rAM51OAwc0T9= z0`xVdGBxoeKEr}Ha!EKN6InHgmB!g*Ig|PDlyLT&d~eZ=ozq>hHsg5mG{h%Sk~gb7 zCh>zt5H`OqyAD|5O$&(1;Xwo@NJ{{UtGHitF+>^cE*0!`_wT@ZUfhQYHH0e$Qs`2PlR=al>hq`4ZJB%cY zNM3*i`dH#)ix~_NsY$`Q+^))#d}>+ zh~qInLONtF0-dA0r8VgR^_Po}`d)PRDKm#EUn;%HBECzMi!LX6&)1ib6(HC4 zN)jK-Queu{#jCX}{D=ULo3OUZDHwcH7_(3y|78XNkS1ztDCqf4Wk7N_%X!|4Jzw>~ zE8HzP``1J_hgt5goQ6eLvblEuDS3O! ztC^>Nhpu%A{pFMaOEdTz{iIqF8y>AL-Af?`5a9 zGUj}0{rmB|aLJw+`WvE7qVyZfPHFFm6RSUf6<+Rz=5^w?Y<9-;?*Z5NUNy)S&~0>X+N9YUf%`p^19Rmx~DZq57@D0^%HpjcvmC#Rq5$ua6~OuleOtLKU< zglmNqRw&F_(%WU80K!+yQlvB;h#VYNn7x&#P<>BRl&Rn4YL(nv(^ddgJ|XFhoYZ4t|{&9}LuTf2Odj)H-<&gmRs zGYOXx4FnTKbxf^YH_p-f9??A-Z~o~{W+#KONXHof7bFg z;NKY7j7ja{5{Fxgd5XaTQlMu|bTqK58_O*>U~q~!N*90Bx|XDU_p1#K89Gr z#|`C;e%j+ppd%8l#H|EaGHJUPyZ5iuYy=gRyDge&!hXx#Gyn$PeoPmfn-Or()Le@& ze@xHwA}jA@pU{CqwmL6bn1GF{2~+q^2)08efu-&yBKn``D#FO!n#uuTPc`H(b#k6g zF~gY+wIwPp{ePMBDqkc7&U5EGMTkyE#SPi8xsN@y*rESwaVkUONl8?mf#2h6#)p#W zfs%1Irsm&6YCCM8hY6S^L!Bw0wYbA9)850Vi6?gkDAqWRbW1WU{Ib5ITBQ7UBpWt7 zf1#Sn28bfgoYZe5dot&PB=eomJiWkPypxh-$c1v)r|y4{bWi)S_&N4Wtbyy^(}x8o zyLh*i$v$aA_{e9JNd@#fiJtj=PpYa7yBsz7ReKmV-|7PiXiDPew``dur7<&Pr6}Tz8WOyL)N!;R=B-Z z#po~bEMBUL{3WCm4;Oy6{+_>0)}!5SZsAZhaiP)0yyZ^*8RFjo_PttZ3Lpw<3EGVm zls*Qdk-O;lorZ?f+}eKx=hlxG1UlhAKedQ3jNrwcg_7Jke?IRXW z%LJR;o~f&V@chE5KHklv3*-^w^5n&w-GKyUGyJ4$oqT+;h1u6)Tm0SCwRQGuuxxB^ zT#ViI20;fenp(y|x2}nPxDybkUPlSai##Bj8(nec@-!|~zqj%LSdOhJpjBb*)_zB; zUgRW;mK9p!cofKqOk7nPVidZDTmOD{n&$YU+Gxx%D9h!>BOB-EM2UL7Yq(e0vX=Fj#Fu zF-gi~?+L-M*}$%ak0V|P;n4~S2sw||ybJBaE|EKPk9!*VoPFI%&Zo5 zu)z0UQg7bzEgSYsu3l3=+&VYuG%IRpwZoJoPmI>5Po=Y!0oLLX_nzgY!QP<^drP`mqwOr; z2je`^{RI5z>nCW5O$e{`!h`xM^zB<)TeU4uwIYVuuT7M)CZG(sRSVu9Fl;WL(*H!r z7U$MVTGV*Bal8s0=O}AmUr+c_3cj2O&<0AAnfGJO4ZEC2@F0rY+>z*_CBY(fv2zwS?diquNeFtT%4Q=U?Y?Qd`>@$C15da zQuLGs&B}sD9#3G-v-^8FFDRN8QWZv;YGT6Pd6z`hAr<=4Cmiwv48>U}4a{P}Q!{4~VX_$ZtWs*m9;zpdbAwOb@px)_yk84XvU=)n zuA}9-P~wex^E&+8-(jY`+XRk2mw!`|rNocJ%r#VcG%Y*TA8WBgTy+dg&uNosk7@0| zFys6baXl$c(3soK@8NjM-@k(EHAA7eKfTguHw!CiLc6B=p({5a7N1_B0#h}~AjVLB zX%5f`!5nqUckAH>8<<+T9Tvj?+wT~6keze0I8H8Qx^bvWbfI9+p-uY>@U>_oL+UxJg zJ5R|XR5;1%^%HYomRn8K?@If6p?iH=jhSpdxb>vpqWqdK<*lE)W#BuZip;0~e0nvQ z>o@fn3RHOMVHoM!Dw7&~ZGt$1hxxi)gESrRXpL`H>0w~=Fj{v2da}_il_6FvSqVMV zU^)q8X z)6AA+oIfx?R~`4nfWd{QM5~V(rzio@hkP$Fta6jztvn^ao?oPR?E2@eq4z#r7W79v zU1qR5Sz4+A#4Z{ z|2!(U*!QPaQs1SK@NMHHl^L&5jN&GfN6eY7IJO0S0p45P%d74?MFk%*gPai^Zv%h%^l5&tlr>bouDs)HyaWJ^P6zr1fgL&?utz^n(XXc;-m ze~0^hNpJ-e8=E&%n8pn$w|I}YU+mYN))tJ_{sK;|FDiQVwGa#Kw^BA6lD@Etv%@o8pZ7C zUNox#PVnXvPfsxKtS4zF!#$~-s^A>H<6PWaSTr@yys4C(#Dj(pgF)H&ex%*Yx@p7a z*kaUU2$(s{dSn?lwn4NG%osTP{^UgmDvgd2o#lXZR=#Tu^6*XCw(-763>b;r*2r%MTMz zBb2&;Ym>zYO2kHNb}ALfkF#s798vX0>j1fSU{lJiMBm7EufQJ!KmFyQOrOS0TAZhn z+4xDS8+9a@&`Gto7D!!`vM{~DFBxA7D`>|oo5|}(MiyPbT>>0I2aM)OZ*5?O@AFtI z9&*l=HBg6$j+`;T5#J0&WBMIkbX?@LPl$Yv|0gQVxf0lC95A1(kpETjK==fiPW}|o z?o)j8U{mj+GvIq5I^<=Im;S~Xa|?~b!ErH(osWZiybGMGAAM{%nmV=Q(){$^d7006 z(lG-!9V^h3;cAZ34o_`K8n^j1ZrKgh5#^53>xk=`SG#PNWb+<%YPwA4`R?> zv2KN8KN@69sH5KStzwJw{I@1k1e&ErFelol`NoUXy(rwV7;Bm02WS}Pr?t6Sa=3WQ}td(;-BEUkbWb({Mlm<3J= zFvk?lCjzczd}4Ti`lv}U^FKL5^)OE<^T@F7+^-jL77umI{rP1RzHNx3>@g_i5Z>K_ zRehKh7EZ3wUAvhYakn$8@!b(H0y3{nI;C~n;UtwUitavr#kLVRo7e5((L->WU|hh>Fbu@s0V!Aj3#-{-1T*png%25tO?#93l)0! zs@Mr!BCb?}Ik!4EVqE%&@@45_;Ni?go`v$VUpMWkKx3io6HA{hNAV79}ch?ZlVP;kY0f@1zw{CVgz2q(N_NoUs9R+14STx3(vEq8X}=wbhpZYJe%nO{kDLFqDow&4q)PhCY6-H(ag#ER?pE$^b+2 z#s#)>6CLq(%?{as*= z=YUpvVI9n(_k*v8a>BRqqaQyvceBQHzNcNp0N=VK2EJvHzM|t3qmBDli)m1(Yoz6A zYt}8Br^)hm7GfoO7$-!xYkARoP0aBh07K_A%Yl3Z1EEO^=1PRPc@^Lyef!Mn2B(HC zzeAik;I@KONMU~7y~2pgmNRS@buV1M@v~0>iqZVbW{68qBNXEk;@%ftAewafTi`%% zSuLmM)qqXk_|14W8DdSfscv2Xu`98aSQCrTb>HIiRcILt`m*$qRxvy?%GUn<`{Ph+ z8U$!QUyp!`*W~B!8$<>||7At3hm(Z=EW;HLTdeRAVyP&=w_xhumIVFuIEB3PMbN7d z_{-nBf9h+Z?ldF!QJ=={lGXYJgK4l9$+o*e$#q{c2(>QOR0p62!yG(P)MNUwgs}6oX34hIN?OR@`<>Kf)|vdzt);6m-#u zB@ojJA65rkorvZ88&&rA!u)dQ?{T(4d3OCUUU(ql*N9JUw&QGf;)X{NH%9wfSN{sr zy52GzShle2k`0`Nn`<_3^ln~S>6@=(;6(YNje4sds3$xf0z=slt}Bf9S>TON?4MYc z&ku9I;P3>~g1_qF>A_GyNc)~>q%(2s$s z7nVrD$4IzWK6ZmQP7(odVNayJ9a;?+I`aCV>Ccn#>4pv-(ZTglQMHVdJ7Sk^IcXAO zx)ICZ!{rs%tJo4z-#LH0ml|~XR)zU|C{y=~jmCkY`czu1VLwzV zCz(JfjOifgTNJbE2Tbb>qOe@j&!Hl_bFzpDC@4r&@1~ldUWoI%J&#^jeLJz6A9hux zFG#gjd^YoHGP#VdMw4vAWSJRhF+8CvDf2im)t$Klk#{wVM3<|1FU(b3u!!Ice* zYOe;$%8mx_0wQPZT3T}Q7%gIyU^JcZ!RkPf9y8fbR(@ALo9`3-V^t;c_h_(>*YvjYKg&YEO8~!&J}rr5tZbQv4Qg)a?Q>IR|7^Z$CB)(2t@xMPUy& z02IF7)A>2y+?2f}@r(*-GB`REUCe-wbT0Ziyy%%^AY)SF{u9lq2ecYKVQ=##mU!-u ztt>_Xw)mK*=LsGtHecFj6@a-^&sRevIo+M%$!5~gd_zvvKPo~m1P3?nI2?^jXoUwe zd~WH=hr{*n4ZAw{~XrtH1VQI6QogQ&&H$MnKWsg8OEaSsMpLRQ7 z1o7_H5_I#zvQ<6_6W{ps%C<;0>|IxqeZun;v=wK5V(ohj?+>u5Tz$){$zff+8}7VS zT^R6a5(UT@P5-oh@zLqbN5yLTrofM03Eg&d$<}DekNqcEwSe-GQ=OI?vqqK{YL`u} z{kJnfCM5l7#F%dT3>J!(jaH2J$^>8(xCZu>+G7@YLj|RAE%DvQAnU};QEDDb*D9D_ z6vRI(oXteN`C$R=5=G^GQ_!mb$`Zf+LwOgqxxZxjT9F!OzE*nqT0>*PFT>kxR1g&Y zUy$2Z7!Rg@DEDQ0H`uY@`eHfl3J7`o%im@BjBl+Lq&6__< zy-4BKsm7y+0c7mv?=={l{0IOuE6TD+zgvYt6*jBu{VwZL0n-En$JB5Vi3se$?5Fvs z^Tt1Qn))N(ZRe_t+~XF^;#2KUZCtt4vE*q)Kc>?L+ptvBhu#R`>%#t#d3#_>YpnCfz?32hl}vQhKX9VP3JEz`$^n+6P4`d~t%lUo0D)))mYog0yIXrqEg%d` z?~rh>0LyE`&z_O{*ztc9or^zH{~yPv$s(6>DKeL`axZrqCX{>TPPrwNyKHmGq+Cj+ znafa^A@^KD?w2All>6KbUmJ5*u3ysE@BID&4-e<~oY&|5e!ZTrf>);|RvksDOD-=y z^35ST&C1r>3p-go7&3T__r+Hb;jfp>?v{Ez2b6S3clU#Fg0u#xBYWlUN`T`sE?~_@ zxDAXnrtlXn3LpLm88FB7_cc4EhQxYEBL*ZTPZ2|K#6(~Fpz;a-)=PqYBjgm?yk{U_ z-u5aA+Pv=he|OR0O2HZd@*EdcAZPv+*DvYBe3ukc`L3O2jI9Tm&oO9djro> z^n2SQAg?$DjJ9U8D~%hH$N*gncSQ(VS8Slh1lL>Nd)K7bL#$7u&(`{5O1ZZHdmH_6 zjbYSwBM1LKhUQ&Wvy*vO>ljcHIkoGfiEOhbqcQ31^p;4sCFulYQt|6)&eqL$K4ZUp zU1F8YJ(PQ%OjDtvXyTR$_i(NoFytg|dQXKomsx+NI(O+ADDj^=BgF3x!f1p-Ir7KV zTVg!_f1TU5D)O8a7gguM`BJ(xj*^Tq#?D?r!5-#9Rw4AHapcEqJ+NeUFcd#(f~0kD;9*XL$4uZW0CA0JyiDg1623dIPtHd3s1|XTi4*(Go0ytd2fN%2fyoyh3n_$8=2VHM*G3iWS^%(8 z*F!v>rkHW~u_g=gnff9nFUSbiCPV>VerQxCh)y1ruFbW(3D`lq&LG6ax(5ZfmD>R+ z#-Oc-2E6iz5YPlFeTVsxG)IV6wkva;A%YWjI^8F5h7~PNC!L_;8ATk1(2?GuoPb`Wi6Xn0?r;^>kt# zXXBsdPUdkZ$o6M_+T7E$2HK*g584adP|85J5lhLnec?gN_JN-mjPe-CnlviuiI$u( ziy;+vuk027ylvDm9@URUnP)zj1zez$itCggBcG6F5|OAdNM!|NaLZv8sY_vfW-HFh z`LetHrbDLBgjxl>N;6E06FU|2`KAJl2URm%y(>A0&(%8GqZBCS!8`!SU*T|w^j*OC zDaf^|E7;wmdL<`V{2v|GAjWuh-~PRsJ-yfC;{K3C-A;Aktk3az1rD{F>~CW#v+^Tx zM`GpDFpy?&z%Ft0?scX}~R$X?8Cj@MKJTJa|Qy98PtP1QAI@ z((gn23!iL4cO`bX(e2FE>vQk1bM<;M9wUSPcWvhtAM1qyL`oaid3<6kXtyYQ^J;5H z2#9r01Sl%2(?E}r$}<1o`ZfE^UJw$vb?4!9c8hXPCprjdFn^Q!iX{QQU&8uQ3GlQW zs4CUI4PeAtOx_HI1uft`wfi>k!@B)->PEc%ldHQTcAV$Q+}KoSkAecx`b z0vutU$*XOyuA*3{3au$MoVvY8YhHT~fZbbV*cYM2o^adW9Qzz-Vy{;_6e9f~1>j?} z8p=t{VM`#b+7H#nzBkW)*S2QaKF#>=*019Ji*m-|7-^7d7 z!26tYzXBoY&;9q@G5Oi@&38-_A=@v@@IQ@ETVrom8c2A~t&)}J8>yZd zVcD&*M=$29#6wP{(AXfdF}o!P@4gA=oea%{rF^jmbY|>)HFhVcOEZpcZ^F#&P0Y{7 zoBZA&pe+P{r-=**2`*H)9NCF%G|VZug6p#vtzexxhpqo^S$pIa=8U^N*-Yox{!Q|4 z1HQ(?E)v<XE{6-U>!D=VRLXFbK`A<<)o64vj=p z^5mU7)O4?YE}m&2WXYT|;uH=O_q;h=B72Qe5VK^^sT02q}ARwHPXv zh(aAHhDAM2*U{o`d6b=1@eHH8j5giyrfOuLj5WM_dTzBy>$-uWrO7vaKnw~DJbtv% zI6FEUec?`}JQWvQm^%Bye;Joi&O44a4iLas48`IVaspy2HjsMb zw?fXePccCc8;)0EkBQR5ZgEeMcRUUS5wwb`$s@ryu zFT?#r4!8T!Atw~rqx2<00r>Ch6(gfnL!7)nH)BhfOA#~yj#g5<1JtY_+?&NkzY$yi znuzM&76Kr!-XE-fP=wZ!`gmyeyw3X0t%K*Mr=klC7Q42}uWenOcnp}shJOuzXCr;NklXtp#(Q1F8ts&EH*1=~e(ii3AO5L)|(b@Fxu?UUhl zQgd=5{>SBR?SG3NABKh^m2HC`Ebm9yfk1Q}m*)YXp!1D;&%!&ga^o-M@$Jwd{HXIpe|xuJ0d!c9r*+Ff zIYWcHr&I!vxZU_mwoIXZ>1$)fQ~mq=u7ABDB400EM?%2UXrQP?N0I#R2elb9WP8(Z zQuy#5ipyN@9T0jx907^)I9OryuVO>WM8XDCekthQ{H{se$VHo$JUVm0lY-Htmj_)^ zOL>?sYSd!^NA$}gYKYz`sWieuFV#@cr8PDTUfL|AP?YUwpXKnh&wz$X04h3ufQ7oj z%ih1E2(^pSof4z|0dR4sKXluu=nR!Eku2?f)$8bO!`>@j0G{mb+!6g567Bp44+=l-tAzQ5d+ka?%UrBO^bNjoy7t1rJf1ZEK6OU|nl*|QJ$RyjDZT30~x+K z6IvRi>RcAPfFrl;2}ql$&o{k@bDy{3%H-NvM6JDx&9)?}IQ_BXXhJ-U=W>fa*NdD_K$-Mz=qY~)}$3ygiT zfO)dSSE%hb;~Ns>TETwFlQG5_WB%h;oU(kDBO^;-xHyx6d{%4Yv!jV5%-lve8eC2@ z6;fh3*y=RjPm*90jVTC+&RpOlq8 z9NG-;X;hA?EXw=$BfbB8<#UlMOd(7IeKO-UpRx7uexs5LPX9TLzdyRW>++&R+o*qD zaa?6To18v?!xX1|TO9lT<_a9XF%#!h0%46t8MM#FI}T>OKPLU^n6XS_C52l*o;4N+ z)Wh7A@HDvm8cP?1747o)5n=0cx#&n%hd4fJ**of1Fjp5le4%8LV$u5Yp=I_bIRC55 z1mo#Jx6ic0Qw`O;HrF9va0^KRRR_OJ_Lz{kz}8K`nKU%RSC$W*51tPmaJLd*Womy9 z`GmL`LPIT;=~+Ns6LM5OD3$I=BeW8wj5pObUrBf0blLse-k?oRnE`I|HP9CVJ@e6fGcAzihIE(DXXxgVV1EAD1+JUeJcR8(}>*I<@K(?VAv@p_$MNHdpL8+@vd*iI4P`v$tH{?kAQ zz@R;>esFHeT9XHLri9mugGp>>B}l7e{doMoo~v7jGe!m%scA7S_V^b0+s7O0iNKP2 zR?1j5(g*r<8WXKaW$eB8O${h}-PHDsx$UnXbdw7dzA-zqZ_$*#(qHir+k?g|r) zCAi!kmTykuCxK%UvD?2J>phF);h5No8U{;v>_XwD!aO7n7x_E10BB- z6QWu6J^6{J8_c;a!NgeJ9sSb1UPRe`07yQ?^%cGYYP1_6#6G*U{=1PPuYmrwN#jW_ zQ#~Zbdv7^N zrL2NA$H08hwuE2JR@;4qP)l;aHwKs*4W#j%_ahRDrUgKH20Ad&y`mCRT{Qt{q_9ZI z7mI$jn@79%bDCyOMjEU+ap2X{GRbWA6PU}w~yWog#p9_A;J5}T$f%>=z>3KVW(#+n;fzZ8X{)aVI&5hnIFqwHZH{5hbvU#Ni~~x%Sebox7aJ8 z5>nZ)KFy(H)F?n#dw2eOtP{Dhy|lL0|{J|r=&mh{Ir%^%8h>W zPjJbCM@x;4hR=Rlm`KCiwGaEW`S|L_{TuUVOT=4a`;+D)*+Dx%+3i6E3uUPnCnI^cCSM;euc1RQ9V`|B&>V!G*U z?{!2faop0X>O8tC-E$>!28wZddX;oUDL&a`k*4Z_fXF9}%%<80y2hcx&-Y#Rs+&{; zs8K_|D$mBGS+R?R6%i2qXOOW^D!f&HEG1Z>`n!FjQA+6S-i-3vFHXc&8S91-KQL%x z=Rst@;#lhY^W#vAnRABjHkEf~uDsx-&y>0%ACj+Z;y!mFw&ji0H)D z{!CuQB@6T$0uKcQiPef4w5dt<=jf{n%;Ek13n_zae`W9q(P{#Fy8d%z4oP;RuLV>K)# zJN`w(;zb5#Fys`yF*h}`tD{F~<5t!J$9zZRMWF%QV@Vhzs(tCDr);;6h7+KFU&_XJ zl!%xYsJKFRk!z`Q=@YyDpWgSg!$L*VbM^$8Q#H*FigTvtx|h@uK&B%SH)<^<8Tnj8 zyy?A7vbk>Ay5U~VpSba#oxNMu07Re#Yht{YzwT9$I>-UJGva?=$Ntuvy~0Ry-Q9vE z_14HekrJ=dW5C;Y_(O1@Ra%?oW45b1H%^ug0fse$=YqtUDh)l*zh18O+x(=&@C+}D z@TH8;?)A3-c{^&@7iUSOj|<2z2V@mI=oB&*+_KU`;lSCJ7PO(fJ7Xh$cao!Oes6S! zYB)#hMWv8&f9zD{uNLjOJK%hLPfw+TC2Q{u2**aesZjS_Yx)x}2OMF8J@#76+}$9}0j+Sf?embIDz|eYbKG+% zF1r=!aHQ^1;aJQ2I^ekv1-=c0kUZn8zO)ezwZ>DMs*$pDZWmt%-|qshTsaBmKIxJ_ zQ$jAKrST^gMH`^>5tu{7(!0;THYcD@D}*Utk+y9(5>X^mXVeY#xa2c+bCm&j^@q~~ zX;@;vnS`DgiPNp+eP`jg0gg9>nip31h8UnksYCQ(-yLa6{>;HWEDgOz^jL|wbI&g; z^lKVQBT*)1^Zt7&hTWC}E&$>1Ex>m1%kP{!)d6-lw-mb9wj2yXy|rU4ofCk5gmIRr zhiwT6A!e;gahJU}<*EBxMr_Wwx_d#Xyg@Z1CZ1L;y~yHv52cZinNyt5)cD?cy?aV2 z|CeC_T#X(rxQLF#*(EiP1~_iMNt+B~b**ggZm)QIt41eO`toOL&`KY+H#B}&hUeA^ zHI6mt4(IPdj+&eeS6!_r7bl`|A31eIXHf3#jwht5>`(b6p^Hx}Nz6jD?agOnH2%Vc zT?Nly^v80qpnOU9hP@BYGtS^#c~PNaK5->IJ0ZwRce8>o+j~4uH=+QJxz(SyUmOy2 z1C%sHM~OodTNpE7SVk8yqAe>%b(fX|c)p2FRuA5aqj&VxR&BbM6qUUF=p}Y-(-EJY z)@b}aZP-r&!YZnPb)j*@t<;t*P!m)suuh_&@MjX?rpa=RnSa@PgS#)CJTun6x7!vj zJYa_dQz7r8e0#-MyKV}MuoqUib7rvt@n z??lx0R8G_3t2m-V^EDI&paN9Y;0D9MS|E(Fi=SW3dZ^dsMZ-JUKKMdzC1d`XF!G00 zPL3R{q!HMTp$MX#v18ovQPwhtlM$1)CsbIY6HN{`3LMWOIkBBD~Vv zk62|A+m8GT)8-=3hJezySWC>+LEXxR#J5UH!|Yv|_>9&q3Y zfCzOdC|g$#hEhF=q~oF@mG*7L9#&DEQzf0)`wg2$@d+)h`es$AK-#Ml(8pJ>f(J+- z8#6p7m-iK9z0=_t{cIz!(?Gg{`B)d98H?L#CVMCA??h~7DOwHF63Sn@Tqe1uQQU`< zB&IMttmNZidwrMgdePyS+yM!yg?#SA$L|nYMCxd}$*={2(31 z-6lHvEiZycSMm0isDe3UtZ?V&w32paEZTTVsd62qfW$Fbso^1{`SWDuKHJR0*LU;a zXAdGb&76GwtC-@1bnA>Odjh~wL!I@q1^$hBp1qn)zXZw?=hoiZ`=qUoZk!9x4b&zv zi+l|H8-xxM;Ojn(*Hhmg5wD~xJ<-}#Np_%fV8VB7&orxbe(*5h^bGu`;b=tYPC!;Q zy3d4INpA!Sba&Dn6I{B;?_koLt=kbdkM@}5hjz@Iamy8{hckE&li3E*!T>$5USU9gY3xA3 z)zW6!Oh>MB&BYyblC=kKsWv?^oQdF4f) zl<+#n+U5T{Ip00bnw)|BN}?=U4WpJ(G^5SyXDgsm^C0v{#s{V^ul>~~z7?}Zm0sh^ zzcoJnBID-Kb_-tlwllV8)`(-tH3Hy?Ogq(H@N4pa(`3|CpX=(-`rS?+OW#gwhdg#^U2$1^@3{Kns*zv- zV>og;3Q9`o&2-r~iZ2a7dbsK^iGw3164BuY2!QL#(|AHnGxf)ZS!V;(vbvYgTifZZ z_Q+{F!{A-MMJ6O>Wz8tZQ?OlxB7QWwIyyM^(?IiX=&JHaTv%c-PkohBa2eHh_iTs= z)id;%E$Nx%jt8EFxaP1@{!#!)YTr78#s>kLo4X7GF1T!qzkj#8F3}tuHA^aKG}sKp zQp%<0QfnI>V5%GK64TS=2L2OJ@Fu*|YAJO@VEwZF9nbnAoVE0!E^9%obmFL4?aD2g_|zHFeZ39bhs>C z`)^Nia&_g@#lALBWEjQ4s{M1)bh$Ts`2O;>q?7U7OKfxq97z86UW|Xw1e*TJMgq)l zHEul+=~b5}?e{4%IBhCfJ>>^{e6|U>jTe)lxHwm=7^;zEh z$pZxg;bqkIKY7Due&73#x3LLhB%miP4QXC3)jNfZarBXN0lG~3(S4FMrXakyx%SPp zB?QXpvA>tY#ws$y*Ih19gAoCkUclZ>W_{SPShx&2u?m4=Bx@ zw@a(8>Y`16lkoFaQBmV6-+>=OJp@`;`h=exQ8Sjmg9$Bz$_YNFeHCY8@gz<%kIz|_ z@i9C$ z>_VW!E&94=2MIaAfl#s{@GO7M*$8_jW{w;obHBzPEVTR^7)UPNCd%<>5k@6k zV^Tp$(Gk8~)|h8ow?- zB`VNUANv9-B7w^i;$yuMq**bT>ngXCd#Ik`KrOaD!}qd$eeObi%x7wBjnZPxWPQjI zjD)v+Sa+G7l@6-!8&}QuXiRO2c?TSv+a88?-P$eOGMM3AEosd!Th?HO?)_IY2(_)bD90qmN|O{;R^kktJY1i4jMQ2`&4_-x zY|a@Yrc{q%Q=YC3!pZ+cM;FCH?Vw-Q;{T+U0+x!q*YL&S1ann)aS{OK(X>xj&1;_7 zeOz*7G4*p&6h#DuvwGRhY&9s=T^aOC>($j1diC4GUBJ#%g@^}f1j_1r3L_~OhTfJe zTN*dMTZD_d<2ing_+4#mQ2WR9VO{ZBMnAeGY2}}f^%tK3(f%dX@LQfY(k5AfB&cP+ zlShRKgCtfS7PRP-ww#dPsVbwc;k9w4@yA<)Ko?bKZbvD;PoziV*YdI z&s%Bvf`<>Cif%rMIkXg_qoIV_bpZVzI2Oc!ax3xzCY(Tnz)V49vp57=ADjW9-72{DQw@`!R?Dc&E>c z_b;;oA=)JCWY_#Oi?D^)-yHO-njQ7@8?4$+Va$cZ#)^#XBe5eTg_(SSnR{$G*%nkq zF|qgW(9Y5iE1$Lrb@+CfzQ_ns$<-auJ{B7sYIX~qF|hdgGbd^j+j*se8z&mZRx;Hd zp$dY75y#S;VHCLdE*o)n8Mu;-FAH$9ASic6v|8qOqKE?pVaGlCSv{-QXt{=h96+H+ zvKA;j!spV`vzUMNv98Z*gqGPtZ(hf*Zh zc!JmPJ1)*y(}unvnz8s!n}|N!Z7oq{ipyTUu(20A+j>ct?n<9Zd@rI;Hb~5}L5pB8 zY^c)qUF(AuThRrij)$h~STRbPZe_|+D~Tw$q$*Qhv?%D6%mrp8Gs?_k`f%?9 z%_3dU0u|1TvjQ>6skr=CvhnSfT|DJ1z4K}FWUSSJSEzjzV1wWcKPWoK-tI)&tALdU zSbrTn-J~#pQo<6XW1;>No$s-Ih{OeW6pffq$3>9aqG+ZgH= z<->8Djyzm5Gd7MJ1CHU@WNrg&f{**Pa~{F4KwsjcP^{mJ+^G35#I6@ zwiIL!Oj<~iEOYS?*d#|C4lnuVcUCu$&F3V1$fN@SzVGcAU0Bd`KbO}A|D0ugVG&TZ zyK+U@eW`toEd5qx<)Ho)yltuR;_U=;+QXQT_L#&cyQLrg6H3HyYy2LhN@L8_ZrM-Z zgXvs*MK7+h%f^sttGWJnYfj5wV(^VfTuJ=2U%YRv^EU=V<@ zN*4fVDRvXP@)7JD6xqk|l@0Ufdy1zZ9PEtHD<6-C2eYl@@eqU-&Mo6CNb^(}<$>7^ zTn8;-2ReW12}Mq3hEExty^>^NSt)ihINKUUa1?y3)2N!I<)LOQDOn$@s5sT&V15Zzjl5LNa^@o%OK6bjx9 z(DmoKQ+>IVPjMTw?(UcHG7?ghU3ZU0lB=7z%+6dNN|9wD0$_-ym!MKGx%PZ~{s`^O zXCSXfqG)8(qPh@EABE-I#ni%fJKYC608 z_9EpL9=j4`!UswOV(bk=at6~@HKHsd22w;x zNp`gpp_{_pfqk*89#E^?wX`;hDT%Ec%D`Is^SUyDErC` zN6wXGo?aAsOII?m9|72j%Jts9x-K=m^^$Xk+yPZO{V{3koabxb^+!dp>-2) zV*7C+;uhTnMlul^Xfw@PH|M=elMrDvP=CCt58ISvWeS-225G{P`>Oq%+*Yz{9#I{W-%Z5+Bb->u}mqm-%JJG`x z6DU-)aRYCU%xu21o7vQGVPZb2M^Kz|FCWV3N#4`Iyitp?#-z>!B8pf#g64@mg&Co| z0Y3xS!H>aOj1hYw4i&{$CL$Ldg1w8|Gl zKmuPmGe4hj>sAt;G|veNk7E+;2X}9brWT~Qi>5j?_W5$1AF#4>yQCbKr@0FCcveFS zA1dVn*u{sP7>z))%l(021>qU0IYo=hUO=JZsW0?cp1pj^Q}l}ddfe_sDD%*2gDJ$X z#-$7>+7WQB_P+&Pf`Jh$ny~c2_fV!BfV|{nihM~)!(Si1SnA%mKx#Rn7e;VN`de(F zbGSM}5o;#TboUCvOs<+w)T+=4qN7Mt}18wxZ0Q#8gtm2`p>>TALZy$pgk-KeS&Dq*d#e266AxWxb)N zt>(tnYOV_G46(74hBgL?5QsKb=enynUtz-}d}+ujhR%zjQAe^!u$4`>NEjF<3NPBQ zr$?d?u#qq0kO%-8nEK&iQe1XQ{ewHRJ&BknUB+uJW*_LeD?zLc{WG87119eie~@2& zeLAY~#?(?p>9*Yrx?f2LQs8-iqO7@!r0Vpjph{ku^mRn7(zT$Dd-X~ygX8PEW*+ZibE< z9bLXZH){_480=C~nlb&p&1aqUYaZIEC&1S*3Tk`cmtC4Wjt;@p!QS#yYNM+*OaaDBpz{B7 z(MLz$v@^Di0OtIWZR|7pS2#G?x377vY8lx%R}+2rhSmc4Q$y(SX-8VYc*%uT`1zXo zJwb>{)EhWh^3aA>Tg-8n@1>xSSa|t$of$vrA)kokYpu?oobor z#LjFOY401tIQf!hlV)D54TkW~C5p#)yP(O3s#g>eQ#k_-Vb9~GyjuUMr3S_EoQ)az zA~mH*M$gzb8+*wErg# zOi~a)2yBh-%W|4#j9`0@m4X@Ec++?bfY%P6oA3Q={}ow~HP}vxkYJmGeQxJHp!QQ<_DF>tg+K7Alpm{#w&hXMA zj&Q_F671g8w2@b3VDH}jGW|G125xHMH+0YsDpTv~=q=MQho%o}9G zUVW$S3wrqg%$=q4d`44aW)t;fbS2|d=`waubkxPQcREa*M1nG>8XIvUJ+!1W$;Anf z-)?|m!A&oU#c6%o-+7M*lP15r))|Do5ZU5%lj`g1jHLhg^XJqehr`eJ3cQh`4G1{h z?vN1Cw3TjlW~TxEiLoT^VMQu|WPMNMuA(6GU*B|9_okz>X;9gRQ4%4~MSXN}%Xj@z z2Fl|ZFTGl0)6%ZR(=%fzLa^O}w1KlJU2KX2mS#P(D{q#2tM%Hp*#`o>U$poc;6%?z z6!@%{#hC-k!AZj3@9BP(D={mm> z*q%;jVoc(Q1fPF^4L+z-3#Ht>cD(8?tzN8}a{=_Q5)N`Nm-z~m!n|sQ_HdpI)=a>P z!J4>BW`B4BEeBugLGxU9Dkd0W6a5jv^TbJ8h zP+@%-XL(r2Wa?r%^n6k?(#$$Z;n0bku39iXm|wPeIoYUNXkd_O$pU4-;_wbMSx1Y`>Xy+c$KuL^GQTsXR^6mY8y>9U z$Yq5?BvQ3TCRzNr*$|e4m#j!>QR9}dsI#Xu2!R?@fAKMMWW>(WJ(+@5u#HwuaWj%zOs2u)@e7QL_C&@XNB z>gElI*3ygFzu(4ZJd);*^W7yOP^zQwCdpH4saz`l47;TFVYqeFvH+U<4g#vuAmkn21*%ZhbNjppH}9MEs3 zw-#`Pev^(pjyQewqHtwM?fZY1;+*2?h-Gshw2X3XS2(>^Eji?YyxRHpDYkjTky-`q zC2?}ZG9kY1jcG2BR(h^3oFGRjs#S-O8|jD&@9WNWNYmN}wvk%+DiM|fJH*OioN&|N zeSrzQA**Oi(wec@PwfXubNu?vURNcXFGA3VO}ni7`9G4fil7M&S{3kC9zZXoWw5!aMtakt{y=4I;FEs*f5Vq+pdv`hfmC6E!y=S1@6d*3J z_h{|J*>dViM45fDQy5?cK#xw`$H#dE<~c}nK=v}Xf=Vsg8;0Z$^OOx@!MavO|E^-b8jE zCz~cAWf8#;>-z8`nK5lC?M3$LR1^Q)gDSWB9*dNCAH)`?2>LYe;n>pQ=vAjAqrZ z>WXM^Bxd~>ksMK7H2G+JPc-XK(vM40y^{ zrO)7w@D<(efJj|LEZeI-8$SBrWPUQZGyT@voppVc8>QT7vz=~}h^1K0HeO*0mimNz zy*vzg3et?!g$^xZ48R`q$23{z8(-$rqQhX^Wj5JP*uUNtq6h_>?Z781)*ClIENd3- z3N8I}&xz~%af8sY_wS$io5B4M7&^+>7&EyHD+kKMfKD%i8Eq4fAi>hWxiHC_itR$+ z0^Lyn@-8__4h&IiFe5$~+o_OdGax!^B`17RsIBp9=yoBDry| zOn7lu<}bIqJ#}pHWm8PgYAx}yRE7F~wb4ue7LAJ5iC$M22iR5HYb&n>xiN3!XJ_}4 zRQQx&t3pwmzWsTU{F&OB0DcMN+WHS`(wfg?l@?^#3CK(Ljb1tnLj0f% ztzzFr=)GYoTt*>@YEny-rMot>)?)jQvYhH}rqVGnaJ6524a zL#=zSlt{j}hahAJOe`;VVQy-B#ec#i0Mp>Sz%VW70?CoMeM;kR#EuIt1vP8=GF1BN z^DUyTQ&O__rh<1djY~z<{Xu;ZIEheGU~XZwUp8a)Rcco#@gs}Sz=Jgt0J{uC(eg9D z7OOo!8J}~`k7*!i;6{2qHSO12!e=(a&%I>nIL8esGWWR%+oA5Pq0Db1)32~;lL(^< zAzR(2vNGzWGN{%{si$qSx?WibMjF8YFO%$Y53pWd(V1a)`OD*O>u^QTQ?h}rAy_jt z2@KcQii&D$?~f?4^Pf>uc%ou5PWAWBRZI`%EuCJx8E=w@5dRBt@NV^!{2;)O2kHrC z3oNR+8{q>GRe4NvP9tEN$dEgSt(U|fsInGw>&~!4U4tsvuimt7R3CifpT}wJlzrdf z>EK7qD=>8F?d(kF4lNZQkjxoy)d0R_BFUojbW^0Ql!y*B@^|Lh`COGLY|PANTFI71 zDwB8?gi0J{X=l*D@OXN9kpzUchNIg8JIVu9#sGoY6eBX-6rrqln)Uc2(3^qH#b-gd!O31f{M+af;A=I{el0!{k27np)S)LoQN_ToByQ`Gg3Cs# zpMHxNJg9kSg)x$db34c08!H}K^x?DP|DjZ)D^LS|*KNZ)o-sCaoQ`6zzSd&&yC|4V z#VC(W5CcB~v$2k)SVo)kk1G!fhjZIWwFGh-?-xasP!F^tZF+@kG4y0W4Bt__zT9C9cr4mXZ}!(B9D5qZx95Nnx@t4|=nn;UQq{_{H<@Q|29 ztLfRC`&nUrbPQQ4Bcw_1f!xp+WYj!9uGmrhC0vwtxMElEvjPCDq}%}QkmiqTc3Qad zB*7U=)oILJ405wf*NGm}iSXoD70#vjJgr!8Se4bXLeD{J^@=h4EF|m-YNIZuyD~1f z+V~DjThRtK8BdWQ;cPW;ynbv0xQOda*tFe_C%Wkc_DPZbv(Gs?oweRVo2z#Y^{!@+l5|iljF!{=To`lU)U;C?v_pkNEPfchsSjJoJ?t(pn z0&0uY2pxA$hGV_HN3i#6?iaHEvR~ZlhdA_y;v~Lote$Rr%ISel#*K{bY^`(MLA*b> zoezyv-aOOK#nOfPC)r!FNz(cK7+$e^YbtTN$v_OiTP;ekGPOMgI^jY4uY95WeqlTGtK*i(fgoR|E`8_Y^7O`|x zIAM22X`cIHtL$W49|%sH8_wD})d06%nffS?6p8@AXJfzAPQQOjiK1GSVssfKknyr? z)@sFo@#<-tbGL%mqD=p8GKJRqu1(Q6wn8LWQt#|<={!OVIMf~Rh4=w0g`UC&t^}Ru z5_;wav4BTl{FjcgrU77S8g_OSKiGL8^Qyr)OS|q~iu11f+n?9!HH=YC0jkdi4?DBNdiua*AG1(bO`z&Z z&u7n@#ocgc#jQ_j+Sy8%?8BmkK&`Cd^&%HzXu1>d**q(sB0aSn@zcU zKVN8N=lB@|f{6Uxq4;Llb3oZ1NnsDr&00%MT#{zj=UKZ4BvoHzeVCl8 zn4EFAn}7Deq)-XK3V3~#+6-HVFEsc#uf~s34ml=8st7*P@)zq-DHVr~53wqnDmoSq z?*7uqAcO2<3j!YHpMVOv0FNj&e^LVE865~wy#ka0N4jEN^bP8ehMu`H^Ut}_b3&a! zDK6GFB*QP2fzW}hp+IUzl$-%o2Mn#sm+G0APvVaFx3IQ4k)ytE#;Q8q2^a>yxc!?6 z{tB}ynZo?rqq^w$4m%P+-$wnDh;Hw@94NT4vRhan*sSPSInN?aU|;o~wK{i(31jl- zS|TtxRPS2rZvo=l+&Jsed%{`_9&-~_D{mj*^E;NPz+l9#!n;+K1cKXPVtTTa+WZ9l z(g3Y4Hlwa^>O&N2qX8ORn2g%q<}%(w%cbQ5=n6-C!jOycmBuGxyLj4|8IOElf#s#$w+MxQqDFr zp`1^dV?uI1XU?XBLs6PxC}xJ7kC8JGi8Tw!jTCwrSe20$4!obTTSFRQ7ZW zYr7LYLZv@m3vsJ3^4Fsi(wC(?`%LfOt!f0MC`HG#dWAr_YZ)!0z0uT*dwdUZ^w?^-lh^?r+YWgRic~p!PRY{;$5D4O5Sd zFMKy%S0k(=Spu;ZE?l!SU-;$5zj5_j)r`l4MzRxk49t04JTCCp?J&4$5=jm{y3~Yn z%2iFa9{s%0dg%?VpH{CpP)5>R)OC20nlISAdq0PISz65nxY7Mwb9Zijb0x!L!_F<{ zM>sn5Hlr;XCjXg+&pXBF&6JWz6qKZYAm$M53z;ljHuvI(xh5=P$LLn@P zR;oa0Zbhz_*+*wlEkq)(A8$^M(?fYG*dOd!|F*L8uAn*hIkgD0NQcjq>-h0IA7vEF zdo0>C2;9Vopu+eMzU?&8IDbo6dlEhD_LFNn2*4YRFQ>?*ao$y6uKw&z}$5BT*V~ zzr(nBg^c$a;qiyKwdAQn>j=XIkO?bXVRO2Y>;@=c#xGX#OWW(;DU_uTe-!DPc3QhK zxq`lvg)cflr#eDJw+~0gnk$zvw{CC^K5|X0o72T&;yp8>*tIph14gHF@_Q`V4z|{? z)Ys}j)>2-dg?Ny0ubL zXAZFv-C)?f;#IlZ&)k;Uw`Simn)%oJuKtOIxfNlG`;wp!C>OoWi=}LPN`YC!a)@v) zb>^zihg~X)&5EaNV5!}ShU-Rk7rZAVCHkXSN8+ytWRKFFO8M?h#66qlQrRt`OLLSPYD>jaQ|Iyn0fjK*7RF&^K{L+OLcWMK{`a=w?P4Kn z*``lZ_VPP*5DX$eI-cSbGbKccZ^10DJh17w;2J+6xBwJA>{@Q@v#TrX5SXu5-97ch z&|Y0&I5i#v<+s0$du;}Hg#ugnB-(U?AD5inq)zd*9AMu(^) z9Kf17timN0X}_H4I8!fAic9agGTrj|nf*~;i%@9!--YAFc^jhu|r^0)W@yK6KP&$TL7kb6lN zCDJfh&Qq7>DLDsw8tN|_ZIbH5EyK~X*HbhmC6|6YHq1t{f&+PceYT9a=qQugfEnFA zKF!LmQ^I~7ahws#A)5C|93KBa4bkGe zTHafS#z&g**QKW88UQe9g{PO*lfnQ)qONK_+Qb-Vk!}%bx2Uc1n>H9%Xl6SXB?$VVXAi>XzLd+vFPNi!esI z^>U|+;e3sAu9+#dc)1Wj8H{M}0LYz?5HQ_bOf?D&6)xr9Xhvf_UYs&Mg$M-2z1U$S zqUJ1+EyG^noN&(c?sg{mMMq0QKf#SvQNLda(LHrS^MLK2buq|=8DWxtGw7uPplAlZ z?KtljvTt;mpjgSz%A3)nmeAf%DMCFg&WW`-seKiVCBBrgU;d#ho}5rFqXylLaF1@n zKkazl7{hMq+Qm3fa;0zviLPFxED125yB0P7tpl^lV_Mj5LBqsrI6Fv?!{i(W+u zy=Hu7o=80BatQH5^_$^mNL%4V-rZ;7JN(Z!*-rbJU`nv}-d9|*myvl=Sc@!8T}cFt z)fWBkg-b%fj(F^+njC-RphBLvNaMnU{6nYV>IOHzxqpG|&hUuGE8h;EKOx{)eQ`Ys zZJLX?fiQ(~35=#`a6@78#C{%DnVV9)DKWDyh2H#s?aPv~OAJUFC-AiG9|6XfH@ET1 z4hBcLiM&p;WMilUFCJ$#+Aux!$vLVmM6DJUPt+^OtkK4%+vT|q+Oqb`UrhDzf}&9J zK%$98Ov}Znr8HgeZgb;z+EI`#kG}aAFQ2SEmA$mgMGE6@>=aX2uK-rkhuz%H%ob?> z^*2fm0fTt?LE#lpZXssH+Ieq7$G`0NOTxjAH@-vAy?!YY@H`A3ej%b%@30#OP|Rm? z-%bbwp$f|N&g_QqTYGfqzD(bDTT63wD)n`&dK9$9H;fzk2$x#o+< zBir$EZHCh+e%fj-Yj$-RP75W^rwRTlRstT%Lh`N3!LFaK&qha~_;+L(R#LBtOMTi` z+4eWO3oA}I9OPiG|Ki%aSSfdc7fgNti6{Qm;;ApO;j016=6NuZT+2zrDu9#9zRdDY z5-_m-7Ret$fKJlXk@;Hf-pO&ncNhQ#<15IGtp42yEnOyZJLjiv@9Qp|{F7D^QKvR_ zJoQTdGzE&A1KjJB%an(Vd&STKg?sPWPtkDp21{#0dv#7CXOp%%Pg=pA-@Ik!(*5S? zkk7uRkLYz}Cs?!zA+hbRiumi@g&lXU8fpK}zyfdAs2=oH%A=WFKGnEbQ|i zqe8wI**&;&+#C@}2eh^8{gvfAnIC13Rw<3Ivp4+@ezD6FuF_zfU#8t z|5+h~ZR}7s>p^zUPrb*Fd5RaGvIEZ8(DpP({LC_#avCopHGU*1UA|=W{X`TR_j@8) zg+g7~H20aM75?|`vkhygr-3d!elc*WQ9U@TJd`~vSi1Jy3({F}R)Ij=nR2_GUk1BK zx~;=z$dpkVTykA@WmKeg^u8vUNPU;eIL{V9dhFGNt0@aW7mG;$SVL#Zt?OObAS@vN zrM@7m;+g%v>Mm>oX0z2F95+Ao+vT4HDxYc9O(XZ6*fj=lQ+3`IdYsc;M-UwlnMpQM z8r06HM!!P81A2OJ%K_v%VjCa3#zBRi*7WSE`^YNN;V+Is&yI``dZ#K9ldIcVz^l=# z;*@|-HRg{Bh)$y6D=d29$0NP<#B(+`tJoyAQv#x_L1K20Rwu2h^NtTQKdb$Q{xk;R#M)F!@elsHn3O ztHMHg;|-1355X(C%je&r4$9%V^t1oF7S6gKY!jj*8rJ@!`}iI)6M6OL9@RH8@{%vf zD!3sYwRVuRHqhsVtBI*{zE=y2_EP=WH*;CZ=hT_#4m@9&7}32-(V2GQ{4^{=;?SqD zXQgLL)8>9r24D^y`xfMPuY!L*|0?PLz>)dqAOJozDzg*62*f3MqB6F@ZBA1 z&$xNljQ^eehci-k5sKD?C91flnPDLERA`{Wm4e9rVnF0_&O5LciqPS5f7e;4c@rn! z1%{k^W~?sV14r_YgWid=77yx&czB8z4N7oyqhLuZM%GEyq)=8?6tX0qRDGdA=<&;) zBU&*H@1X;7J{vhizl#t9KdklyA2HS`kh9Dki0*^G z9+96SLY#6$31nt%4TkGJ_OqBW%YEcbd|SYVrAsy8oaBpJunHmA?;j~4)#BL3=p2@+ zZ{n)-`!atzL_P->jM~^j2{R}`n15KF9tW6hKj(@U7+7138^qd`e5T^Vv4|pn7uXIv zPk`9>V#e1?QG!k)riWFp2R*aravdT+C1JJ^ zmAB7gpKPoCG!HDi{eAMK#{G_Rv`2%~C6}Gu=P2=nPdOIe5&ofDX5}ySa`Lh={3)z) z{u`|SH7rDUx=0oLj~-#iAQZO?4=SSH8fQazjoD?br4Z?})aMVsiMAo4lokT>?)KrT zRd?6o>Q4#;^6=nN{>)c|Ud&fel1(10&o?Cb$fzGdIN&g>)B|JWue^6n67Elrhn{^g zxj#gYjP@_l2Hy$m59qTa{;D1FVzN{!G0PPvp5Vi|E#K(3)i~eaMIi5mmvVZ*YzuRLy|n2qbj8Zbqpg^Eg%vqUgzTXG zz7j6Ag2&DiP_|!AWi|b2wN0X?a$Sr28y=X?r7+FdYN$|Dc57qoNHRD%y2in^aXw6bc=|yIPo7g&zIOcXV{kd*_2)I$ zv>*Pnv8qdn5fF3OU(aM8>k6;0mq4sn-WRsIBNhmA5H02YDv2N+K+0-rk57{d-#Hl` zriKB@H0KF)e3lf3ZL5I~M^s8WGYPo}PkPrUo=^}PrE~`m>{uya~ts?!vIuPh6O7ibt+4KnH&rEZJ?P~i692L>;Reh0-oaPq^EjZAc z1T^ae^5&odh)3UQXr=XS^q}orP%f4C34PSV9WBMu4iX7BOY;GHGBIH*X5pUty9nI_ ze<=Pm&;9o`Bpo3?O_8nwCI4#0_ze2-@SH-aENAhPuw|ZyHmyTkfiTt$d*_k>1N&HD z0(o8_<*zliWD`DH6+hcz@KK{TyQ=URi=W98c8O2d`@R_cVuLyYA$3D~+aCC5slVqr zt~Fra`{FGrIB-qVrRp0!*&s3?@MyMJ6=p#X5KC+41FlvEFTmDS4S0#ePXw z=F_kHK3$JzQtbOPC*5c2C#S~Wk-z5~h2zlgH9`pUvhM*`+S#fS6B=s0nDl%nbmuP#xM|84(bgcCChotNe zcW<4zwLhpIYP~Z^#uye>8*ZxJEH10MZlXB5V&xT2lAYAK+!DwjHGUc|#LQzjJY^bK ztEQ9a6R*-M6r>IakMfi_SmWOC&!qdkxph4BeWO-N+Vv#Su2c+(_>rA#6gMvX$pQ)v zHu-Pj8UyQ=FVbD=_CwaW{RtMV9x5>V-{bFNHHVuQ?A~9Wd6A1+BlpS%jNgNlH9EfZ znNONWzYW6CzBK%m{L}_Q)XCrm1&czwGDWcmT0*~1KQ0OCU`c9Qm5s*ctxrS97mtTO zI0`OYmrd&OU~|GraBk&k-5BEc(PxXvJ2RloEuFsX3``N|`5HsHdq8C0m|#PWqho=WDn4ijlkj zXX0brw>5p!sZZWcz7Uq_Xgc29JMG%%kP|Bo1-v?A zpJp+}S9a8np&400+ar73Yz|`Siu^23H9^4qG#Y(uqjXx$rTxzBHy?Efx^J zHe+!VmIR#PP8K*yKB?a?kDfG3zEIK4GDyd^jC5-rI$wP9!Su_U>kqEGk!x}==0gI}2K69J!Zj&}iAnn+sv+IV^k3V@{|*f5T$Pi(bx`9JqU8oD6x~6sn4X&iY^<~71Z^SWU1Nr`^XTL= zX-d*G5vTbPSzZi8;rM=7bezWTuVJOSeABLy=}uH1CQt9K#AA4+>2kIykC4NGfy)jvePOB(S&bbT8tEceF`AFyU6y?!1D zfqoQ~RQC;KuIWO6!!+Bt<&v{39g~of*?=I-T}U2CauO%uMlq|lLAP4-U)^EbMci<>4j26_`T?8 zYT8@b_=@i2>YK;oC#a9*yA`q@L~`p1%XkUhbr1Q?GYg<&v-BURQn+yz;a%-t6s{Yy z48r09y8e?Uf?<$U+0=YjWX&Z9@LoYwK0bizF{S^DCkQY$R1D3XGn)ngZIv?J)=q@r zGS}qSvDqV+k|G}v|NfQ8JhiZi5DCyOqdr(wu3}9zX7{kUoJV27X4stkeMaUGw>8Q? zGbfiYbWkolO81xnBuYsdh`qagK*zIkxbyNk`^WO*@0-GfHxC-QY&Ow#&eXB6!E|22JhXUeNMWICdY;YrwF#OABi2 z=@(}^5QmYyQqq7y&gf4?-xG`PO$-CGA2PI&|AGeJCS%T&bP}JezzaP5Z1f)q}NEL5T903()_88vUb?G#paKYu{(vB%VU%K9@^$LNX0t#NX_ zDX=6SJM1_l+x~uH9)Dd-R(-ylbu#3BIS7PKo=Vc(rludc)37%$C<;^nV&m`&_x{mU zI8j3+f-WqQ6KBgk+WMM{BUGfOk&DdshZAB=;KR7H0WHvUvPcsT5ZIVFoM{P9UNPzl z7_T;58TkbGv}l-cvISdG_h1Wp`9hq930C7bw&(z=przN$DIs2rA7^yWb3hZ>O+43Y zjASnRCckGE+At~27j%qFkB8dg#>`=JA~N87-O32G)A$EGeoguSgFJ)~MIlz`rPrC)mti;39C*Qy<(rcfPJTjkZRADuua;I#bM2At30@@vIw)lZ`bZ8Y@RD zmYM;CUD|hxa064{XAZ1$yEJ<`q2y~fO}Myg?SKBPk@&Yf&AuEfoigI7)I;I^&>f>y zJlPvrXFN<)fnhVV_m_F?Qwt_S&f9@=<+9$Ci>ChB+b_GNn_6wg_8q}K-pT~eJ zJWpQB1KQW)(n?$OZQkBaJi!IHm?*9ZV5G?3)w~hQ&2vCYMN8&z{YMbtj?gJpE*g8LtXIS&*LFT=38zrp z%AHn~E*tf?qxRlnjV3cL9*_NaC;jNH9v0Vc>c`R!d_+?Rm|q%$(eE0ePJ$NP#_a5y zdty*_>1Gc&xSoC|bum2gN5+um8RH?)HT-E1v)tQ5non)rFWN&CP_!8VMOjI!4r9rW zdz)IO4a9!poy5l*(J_3sNyGl3omz_P(}x*WO$9#y5998Jq|D1&JV<3bZu}licSh;& zI*HddWa-0&_;AZ6c?PLT{<`=HnUDzef7h5Ise_&&`s??78Cip~^&MJ0=_anWLqWCg z9SG~gZ!juWh3-wCL(arNc#Bjg^7a;AAsP3j2V!_S@rBlfMz+ib>&ogDd>mdX;k<`AcQns7VNWregrS88Ux5v{f z=8VJRejS6p{tic%E<}B|)KlVPy{?T*>a?sBGs$c*@!bYLTMzQOOHkVG4CPN-FePN& zvcD|kyffXa)KtaIv1L7$Ag%poYGM=riWl8k2w*2_LXZn*;{qKhZWGXchr_prcUypK z!qA8X+fmD7iNEr+p^232#r$6wb{+cJ=(Y{x9RLas^Fxn%bP|8jUl8@|(-zJSc&tdd zAtdUg`V|gPY%bC|v9ek%mYGsm?V(j&7&&KJ^d`+(b7V*O~ZK}?vCFN5GM9KRyw56!;5dC2+>ziWf@Wcqa77zo~;$VXo1{Q`m`m&n2R zm`|snyS>Ed*%VsCoRrANR1~`O(ix!Mo81IPzI9RcEHx|s)O~HsSYX7LSeM`%^z zsIo?C7Je<=B*QA`_XO3^OwFC_M_*C=qZ6}WAoxySR!0y6R?%@`U^Zej%&|epmgc+c z>ks%VpHfo>rJf2kc7^>5eJkf>;Ei5Jytu>nEX!U303We@U{3QJ?bQ4@XT*mXZhl02 znA5309DAp2H@YpCEL|E603TKa?2L!}@eu$MJ}HIW5x43U`Hjr<>84)~cTJ&DW8qUJ zGy1-5@<7Aq1plHhazMq2U%K;3Ap9zuQ!y;Sv8ThFh4rNt|iz@xD`-wgLpg!uC| zNsec{^QKo#pSyE<`yf(ezefU5 z76Ks+WeCvFZpTBTyOrAO+?AjMMHSIhcG>7vuLQfKZ_Bs8 z3UkcuVOIL&KkM;C0=v)@+p-vvbZ4;)XF~U&qO1JL;pvDx%30#Sy?|b+)HnkJLWc3= ztsI2&PxKh-k~fSn`uae#W#8?1cQZSN_dt2X4o*k(F%D; zR53H^wCyhi7DywL?nza(ja`7wBHivSoW!kI-zOVxwe)tGNC~R4d~3Npz@i#SVb12a zY|(>uem$7!$sWJeB`vz^UK%kmzUQmQy_a$B_f zQ4CGEBq-halJmumr~umc+rBeHBSL72?~jqpB-4lMcxJ7|h;Al8b$^3%YQK{4XHsGG z6vm`~`f}Bb@E;!YYknBIoBcQL~|B#IkRQfPfOiRZ;f;%!U zT|$X0;l&?gaKU+9w4}l~lsQt`eLGY%NHs19F{Lm1Veoi7(gl>29I099`)m$B?tvJ^ zu%|h#5a~0kwm{v(>`TwRvy5Qlxeh^AqU7fgjvZc=t;$1$$+7FlUCWTo-)%%hd1bEvs91Co|I zy_-7T^U22jI*;4)#)f7M*f&);oeKM@;YqY|Lm_;!G<%{Is^^!Yy>2gQo^U|JkKIdG z=xx$D9-`G-T{0vXuu`&Y5Q(uJ~+!$0L9 zM_V0%+5QZsz?a#=?7C`hKHX)E(V6%ecJ;Xh3%!bn8XML`p)Edj3@X33L9j=ZNqmNl zA4|I)#kSSVx<(d?>ZdY%jzOG4M$3^><-CA&R;?TUecIiFYaS7j3>lk4*S zEXWP?oCX^*HuqtK0u4{(5a-fz4J!}kvip>Pe*oq8s1HM^dC0Tw)YRkvepH$H(bv85 zF3{O^xZVv%I005RcI@Yb#k*JcRSGY~5})crB)_DkQOqOC>HM-J6~_?!7+$j(Mk6m=MLJ?l>ys0+k7#lW25xc=pXu;Zvt9)5QQu} zLp=tDyQBsy7RY`RzpF;s5{@T2H7L}8HRCoR-GT26@-~C=m|l;uX87}&+;5|o zChB}#G3##3N-V?RnwQ!|(iesp>DyXAv{o4>~( za<4`o*d7m+Jx`b&c$%qyk%VOPlefS2cfYi1McR6sBJyp3@}5Zv+~W_>R5B>K;&|sX z)j#w{dNuT_Ix8Z~|AS7DZHUK=qVvJp4 z%eKAMJrQa~yljvvbEQ++typ}LGvjJ`5Ziv^j#jgLl+RetFcv`TzE9zeA7Pt6?(T*ERf~~P-Vv!{X4ap`QfiV2FpeTBz5XFRIw!w;_hsnulQ6OQ7G!~ zj~_|Bqhm-!32R~B#}92~H$RPVdN-0wI*Dn;qVZ!_O9M{aJf`OtPx>CF>WTWRS6J@M zy!CdeV~P_C8ZHTky)hep?}FlY2H0~1YOT=EGQZHZNSoq<f z?eQ;5l*Z92E!WV0zP#V*Y@0se58T<{#Q>t@>h@GpRaJrUT%6r^HCS+-bKT&NA^FD#zg`*ByCGv z-dc2vPfo5S4@~m)qYhu5k4SVDK>%y!CBm{D}VU=w6 zqE+QIu3x2i*VpHNoVI$O5LiztB4EYxg67(waD%|2y*^$fYRp!QcFB*HeUN`gPnr+_ zG*B5e`l>~#oth?`85CYs#Q~(*Oa&61t=o=$uDDbD8_h8MR|Qu=MaW&79}y5V zC8(%}p)n|Ym|vM8+!#T#SNRc%TloG8fP__BQQHP&K>Mq2qrcVUjMu>R{o zkM$RU+vYbukyE}HBh|h{&F?W7eE0;VHpDGV(AAW{X96duny|T1b60NX6rc!|6>w$qDX)%0OgT_Huil z4ShY#UBGie{}$(;CSHJAf4urs<(lsl81{SOL`rTBV`%ZE^I$&!!JGE6|9p00 zlz*=%HL`Wy(Wvq6`Jw^Kw`Plpe*Wy>a-KbPy-KCKVa$jboG$GFjGDb`nO_j)1_)+n z=Hckwmx?{Du@1vKO7qR^<=T!{4X7==`uDji?WX2uzuF4tB6vP?2bLtb4^uH=c?S)n zRjkobANE)gAqDFg6QDW9)5E|%Ukp&p(^tVD-ghl}JhW8c4>B(v_am4@-30m7_{1F7BUDZ1elBC0rfj<~Lc)$#U3d+ry8>*@2#n{R%JZ_}A6o5_fTNi2 zRYBJe;M(`CG4yLS6me0%tgi+FpeuT7$Ap`vI%H#531_L2y|&PHp%CtH>})@4$SsTS zZY+tXtzA<$uvUlq5n$iFxqVM9uOTw@v+1+Y1401x6J@CFzYd4_{T!odQ%^vw4S&rv zG(Lr?qV93Ug#P^71(8aAO$%NOxi60t9P})`(kka~5GYV6J=J_67zz(u8_-!a z%Z#bCoo!MXYmtKvbG&HKYa!x^Lx>YBotSe)bxkpI<@7(C zAsI0Pe&J{Ir|nJ^BplOK`~O1!I2OjN7ol$65G6C~V#BSBK$6;K$cwVjd=G|*F7n@{ zTa!kfx`~}?8_#1wE-#i!``Lw3F`;U;B_5?gJf;w(L@RX4fx>%(=B@p$B!kqiO7h2J zu^f7cIovqFdwtnxi~lQiCA?-(^S8T3?e0OtS|=vN2eF5dK;(+!6pJ#x>P>gyM~WHR z+D~ET;_frAw2`Dn&_eJJid+YKdFNeOjC~=XhGT{v%O09tkiolUnOc{Y3fD8DU3E0& zy8)KG#vCO`AV{T7F-H=`se$SSqXs;h(;#VrKMKz4kJHZ)lEYk<)#2rEkogTqIn-OD z*}j|`03%{h3*A~kHVaQxqmXTvYS@7}2cVbsHj zz91BABsmrg7fCA_`OW|9%3ZT)iUadR{&}H!mN#r6|7of1r}Du2!&i=oB*S;&ori;9ffwDLZ3{ni1rhlfBSnsCnZ_aq{vRZ=(GkDxWzy_ zfF@R6PrYd@{{Qvvr5?3UX&PK4q*zsTh+7buUz=laG1}k@0fM8_4{P>>>VEKK3i_844cnfMJBbjJ*O%|Q z>S*`Bi2WDh;hMIdDm`N+PjHEnO$AzHH+mJrt3n5F=CdLopcDB7qsB+hB9A@%O50It z^!ug&Z~buESEmK!`|x4;EuYQ6X0Do_p{P{}zp#1a0=D5p4_w=k9jVxocek{X(YPrtO=5IG1&F$K~M)UN8fp{!QK0Ltpb0{e>pvyzRnBgFT@#;xX zR!m>H-d?i+ERor&w%1(hi$BOgCk0GzTDO)%-TCu9dR&URCskB zLjz3A@9&KRw1p36L%+xH`aM;7rVbL{o^J_jI=Z%ZF4|C(*Qf*8ub@>e=+y5b=}}r^ zr5O7l<$Ez@vhj(E*jNWOGvc@%bnYPwCE>K_1AJ|cop0WJZ#+p zY&QGP4l~}{4Xg&3TDq_|$)}!7kC;ad^7kP>bz2dVfy+%f%v`f9*#p@2?)Kf*gYT-G zmW}fBQZ~(OTh)QCwY?Kb!In&8iXRpa8eO_>Y+_H3>sXrS871%87^_hHjp`CdeVsKm z7xri^HZ|3awW$W$VJM+U&=Z9{^VvG*(qilNn{^|z(~F6(+mNhs8P*^*2>#Hc`xB<))K!}!I3E@j6IHhb?pC3SGJ{d2LD8(ymc7Re^5 zd^26+-_wOuAsR;>zaM|~xNTN?P8{bLR^eGmc%3z2ruF45pb3ie03PZ|hk$8}lsO74 z9B^orX}QYGAF6^_Z!P$&n6Xc3tl|j6!Pj&3dL(5@@}x1Lqh2(hA4_q|>zsyf(uvLy zRv7u&jORDQiviEo*l1mT7%)E@u`s;h4ddR{hY=ze!LI++%VEW7Z^3Y#m+zMOZqlS&NQBHW>sB^w@6GEnJJBaJ;%ftp<;MZT`CY+8^vHU zD*$9iKy=vtn7y`DzJhlEs$j!`Ax(%l@wU@J1hPvuD_@FD7{w}FX=MJ;(YrlihV^Eq zVsa63=~OI(8HmV?MaxL{$r5NPh7 zg^|6{Z9_gSL=Qx65klQ#V%xnV3(F-;N$O)*TpW2!vDA3!6$S^wL4a|Q5lrtRg zfH^HzW;^e7;yymx)L2r10o%oQiZ{q}{*zmSHdLMbw5is-ZM&1GhZz$Jd3ifg98kff zlA}_rbUCfIdwJ3co5_N1fVt-G z>S9o=Q6?8td6fNH-=0#t!_tLZqHy?YKd#oZS8lW%O6lTaZE$<&bmx7I!^X+~R;Zr2 zLFbG%fe{!ZN!Jla)Y#`=OpWd3XkW7>`OwTh7UYfG;>vM2BKmnYP2&l zemVOH-sKmVNA(DvNCs9iB(O*<`8wI|)8EL{n~|Ekd;IzG3)0(r0CupI3VG6`z6aXUCv3qOd*T;)|n%Gd&o0n@Q>N7`|+0C+M<-K~oh8{d6r=k}1KmW!44P;?o5QZLkhTnDPKAXs zA#b!EGDGTmF`(vRDd`Uoh(8v1IM+I(Y$+nSM;_&7hOgC!-)E)!>oXVEJyCF$Fq%4t zICLq)1H(D3LAoaa)U>ZqJ*-SZX8Q()vp!{beC5gjkPw;KS9SV`@-_SU5OW_CRPd&R zV(%M7#h?a;O*$1UKKXm3n!j7P@yLBSf;D-pZs8!$u`ma;-(KQXRHi4~@%f3RcMvOP z)ufKEFcgXu$9PDC|jEyk!%=Gj6OmHT}OHqI)`$!3&Z7Ja-vB%T~@39s8?1@)b(+tg|s0$?HG~ zz-XCm4muRI?(t+u9mqM3Ld$IL(f53Ukp@eAA(S&wjm5X-U`pZmF%#XFE7{A_(u8?& z!Tx)xS6)2&d|KOzvTYWW|FWfC3aumB#cL>nGbG8KR}Ex>74_7-boH6X^oWYEzgMSD zss1Wzeg%|_?+v|{Z@Nh#vTH*;G0*DwiJ2{)R+fr+fuiXYnO|#nPTkc-#0Vah*bLWw zEZ4Zpccr&-Z6H+Oa?kF?jBoiC-=xzNHUQ##;U#oK5A7rWwiGw6ALs4v)C8b z6%gs_Zf6xQULY6sd--Qz8K$S>dr)uz5t>t~Qw$BYEOBMbTfZLYLHv2C*}c09cS{X| z%ewE$tQ}x(Vc3vP6Fd2W_fz&%{Uz$kMy1>yK+l1kpbCWkn#gY-akuT8qOABAlz4x5 zLf(X*|3fcbuSL=XY`*>s=yYCl!!wDTPJuhpU`^4IpjNx;XRTwj6H>zrDc zU0o;Yfxsg`wi$PyiS;QN;x)c18r?HE5)slGIiS&bbt%K< z#6yREaN<){tneN%Bs&}xXN5wu-7sMX#g=&apxS3^R0xi47BL6=Cz#iM5=txXnRANc zbOZ%Np$eTr8f&*E9EFwv=gi?GpRt^TIttE;aDWAt4LqZEe0)VA#HGT!ktlG~Rgz2d zJ+u2pqenD#KY@m&roU)i>!{3QknFEzsZ*HieWo7k-dsj$i0z}*I~Q5~*kDd8vyDsd z;O=X{MywkYjCiM!++ED(No(~BQ~c4ht7eXGn#AX9b&EvvpPa(=44Rp5ZZ@B7YP?** zA)d2h0-agg(tOykm3_oI@h%@@d>(ku60HK$mFi-T$5}+(z>&thjjg^Negp^{iPo_^xi1vqP+8BhR#e5b!Ji ztS!8`x`JD)r1v6XXlv+r!oP79KTnh}INc~cLEgP0%zN7|KU(RDEv`!vQ`#fkHYk=k z;v(DT;LP+u^7EMpqdB{b3MR+ra&o)5BKHcpVysvq1y!T2rfdkGbOthMb;(yZY9ML( zJcHm606gzC+q{~fJPFO*f`J0*Hlxbte>=))r3 zwo8#vCgMl#RU&16S?hvyINuwfjP1R{eVOl{4pf5+6c8v$TGHV};#_`V!0=MYg(6e= zKIa{d;!j5%D0riANuy7BZ+OBTJWpXXHQcP{3Omb#i8wf7L5l|8SV%Mx+|s?Dm|G<$)NlmrpwX7pwcm*y&<_wO9>-L3*|7bKT3nJa9Oac?}m$j_Yo!_sFb7!g0)mPam2>D5R=&I%(0B zdw3(Mi=)HYIUw$|R8)v>_qv>%_q&f%uV*bO`-=A%A;%#K&N=|ch z$(&S)?^UXuocNp%XTKLFOS`7+r}9KV-{90)uLjX$P>qx>V(W2aU;6)yIGsOTa=)&; zxN>;F`{tcU>PQ1x171Y4*)5UiGOB+&y@?S6ynuJ{lzCPnbu6{mI)D9PDmns@D4CL_ zl)>Ia^$9;!)gs%#8Jh}^BlGCGEop5H2H2TqM7jDupXujvV%~!HopJwjW_r!~&3Sv5 zHrWM--}Is>tAEV`AAs29T%fdt&!*1=x3=F`U#X!VNeF`69J_rXdA>Zfxq3M2z%u_u z@2{0<7&h!1s(t0q(ni}pcWl-AR-^IvO^M#(4=2Ul2WJ;X-$*CP?Fe3CAltXrJ>}GV zva8yaYqKv6j;*R*WJ@Xbp*0rWX3&wO#z{CNh6fA zagVLV4E@r&INEN;i<3K8NkE&N{r0?2zx_kG4ABID)7^`C(XD-vjj}d5J-M{#u!vFs`IuCy; z|No6!5m^~!9HY+3EZNS%5h|L!y&vy<7`+6pe3|mh zsbh0fe`>N^6EDLtPo_?1>IX={RjHuS>Xk3-QD^JgOL+E{!;4n?F7tEv!-zs1+b$zymY(G@yWUxHHujbI&HKBy>)~2 zh<7cn7}kF;)C!Ol>V}FeEIv1?lGe>$c`o4O*|Pz-Us|R?8-To|tchhqL`!C(2-%)P zUK%!{Rb(n|RH;L<83q2@RX|`K02~SEG5z5{&Ky_zgK`U;?@#?gD{&_5T+pUwVkw=$ zLU<5-!$XMQ3c}Vlvw?~-hiqVM-}{M%7UFPLPqWs6@nA05J@hO>AKJFNYg!$VXcMVM zJKkvDQa12s(xl7c@Q{9V)4T)mc|SjjvWhcyvB@ZiH$ioHWV+OGvFgUspbYKw?%k%3 zWpJge1Qo}p(r}>~SP5F^G_1qFc8kY=((mb>1(<2#owv2Jm3(mhqYAfO>FlO>_R+L< zV><_52i&0$n|5eL$A-?*(=`|? zJ$f-Xg6DhF@7Bo1Zu%8^25a?OuYz`3YZRW$=3HRFBISn6@3)yi+Xmzf%>fXhm|fkz zFWuRRyZY;r`LPt|%aN)SsjAe`8|#e*W@g&>MH>%n-X!ITYnv$Tk4%}LhXVQ^&WA%$ z@`;v>yMs!Kfz_QP)LBC}1Hz%@)5Hdv6@nl5^97GtP0wWy2C$LipZ=aQuhj^c;1{cD zcjvRIJ@G7!u!Wz=Ukn|*W-fnW6s=cBnWVCPNMT1sY~B;wMkoTDpSX(A$$;hKLPbO} zjD2!N*Q*yZ7@PogqjhcOpYMqPGxBb#a-t0H>b#o4e((?^^ zMYj&u`nwQZonr?p4Ulsj&BUL6SMZeD3GJJwz~e7qLZ;8zkVTnmxl?tB@J1&c`&v}V zpNg%+TZJ(par~gd5hDdmK;hYDWD6q7YHV5`7qVs-A2dDpG0FPcmt>gI2gp;}ji7~0 zzb45|6ZhFXYznfVAu+``_7t{IqBj#asUDv$afBwb#bB;ng zeWnr%*wWpRl&^~k3WO>CE~PyupeVb8g^NB>Czv1fGXvsQ)z&7&Q4TA30cd+_fBjU= zIb8;j4ItOtl4HjnN5B!${UqS9OGZ~)YtYE+YR3yS*V8120OHGxdA^`N$s#CpL8?3C$%V}l0(?)uk-Sq_L_ z&?;UKLJ*wb9oPIz$6wN5IT8eI< z-}&$u;lhZCJK3VuPl1VdR5%s~Ri_jUXH5pdHVuhvka$lU1nBTI$q>c|_nK}FV4M~v zK}iMIF_EvNQg>RlrA`%q@pp+f9T~RXQUA)Wzns0)#<9`#ghtxhcyv0@YLoOZFn^_I z*wb!+R zfRBrAVZlSlSn0jY`}Hc-Lg-oJcf4!3WRE^*N$9hr%#-aQLBP78LL=E+f0@DsWw6H9 zrZx*_?~p!)%i0GvD(3i*>G=-}CltHyPP$kedAX$Iv{QxF4;mk&mcP!^&WJ@aY5XK$ zDrh!BhC?1uKyHA}_1V%?&yOU;a=Mz7DD9H~u7XTt`}0g6!7u$lmqIfCyrge+Yb4@!SN;r|# z%i1Y3dqfPexCa$wqQZDrly*@7l@)8N2HG=XWnyaFHrP)N&8C}+o)6vtB5RQ71$t~S zd5{PABFebfBz<*l_B(UsQF|M4^W;aK`G$xzFu{@SCuSGv@*^PuI~J0Dz&vNC1*s7rd=!xk|CS`@^1 z*^G{R5^O-usHU88c+g-SaEOnrT>tEyT-p#rPYs}Ec7D|xx8)61r=7IUejT0iT)3#j z%x*s~i*V!J08hzo!rmvt22L#@;J9p3LZ@HbI7vjA2lw#?_tKME9bPo;2q-6w20gyG z^E|R219XP99V*QR9WRb;p%v}Q%iI?(vaj7Yc#H8zb7dN*emEbcwy>27Mtgf>GOl0K z9IS5*kWq;=7M_zHs0=nvIaK;u@Hm?0DvaSn<@iT>(H$n}dZwWM91o%B*3VZQVRhGT z>M;}t%5a#jJ1`_b6!=y!rIKy*r{gszg{j@iK66>8zE!tcv+T3eT?Z^Y;Gde-svWPU ziA}%UVK?=9({}$0EG%*JgE?Rh%q6B}76938+%ccd)A6OlLH&?*wh#8t z<)(KX;T&cmNm|pK?=Lk6Few&<{3-B?o^O8XtaT^kxe`n;vO@pp_^{{-#aE6W2B2tI z-6)!>oWN0*zHQpnkovzRvNage*v-dqI=YldmlIXv-?r}b%-*LE&337k&*Q1MM)s*$ z=rS!483Y;`M@$$+)`ce=pu;@>RI>ww`_cdW9qGnF`B>{*=xV`IM2zTRuesqPGh2Wz zwXb`(zMc-hk+V!6wI)6I$4)3SPDO%D9yHz7UrBCT{+Zci?eg&g#G_>OwL6MTKT>h4 zXj$VU-&mb9e);#Hm*%?$pG|=H6JWyj{P&&`=`| zgdCEKGOX^VE&mN+u@3y4$pB{3XuZIo0uU`5KjA{_HpFVV@7(TVXGHsdDq9H_7Yy+z z7fbOM0N$Y)6*XBuRaBQgPejVE2LbSJ0tfClz(aM>uTuvdTNy(}q+P+V@|xOL7? zEnnvLpIF{NSM>$FrHn^T8Sz6_0@;kOaR7}TX)+p@0vbj~)=Uwj5rmA=%MLYe^skws z9LyYz#%-}reFfQHI(-P_nYv|K-5#sz9H5ALCXUSezNXtt1VD&Y9g#Y;>Y1gAwX>el z4J?`$z6_0A93%A=C6VFx4O;wN$`j5gUoczsO6!j><-AYAzKj<%*tdkUlIzmF!$b@A#v`rOwhd!a*0h;T-B?&9>JA znXeIz8ODA05^@00`g;FI4P`lXOWSRbPzU5T1GN<;DYg^n)K>zQzrr?v7I@(?xLy`htX56!K73Ju?0KgnY0P32o25lnqCv+}NgKv&7s zYUn*L>U?ZUXkU4wa)KC*7BLoGr5jhDzFCwDZ%c8~x=wh{g^^acJR49T6;?0V zoZw-W(CZ6tigz)^_;0s=iq0C#-I_Wdx~6FpIlEe*ydsMNei^Uth~uQSsseks9s}rS zRCxaK-uig#SXrF+=fKTGRs4_*hDJ6gKyfTjP(E|K&2_$fKT$u|#q8pZsLPlL{c?M4 zKpRfVVPHR}d3TT8h6jGg_#P_Kr&*qxG!N^(^WsGTJyWCE9kBL@8qd&?1(QX+T~Bj%>amxk;(=MDSaYp}rXy}`Z^@)+;b9aOayM%_Q!*~)>g*P?F^BCX;RZQ zTor`8&tjam#Xa-_$q3~Dpk4)u1f)IxAbqWcW4}kiGBJcp}1|^jgP}KL(!e@W_e2M7TXH=mJN^pCvUjUGQ?BqWQngg;B$t%$h$caG91V>0A z-?Y?HAV>vrzWW@RcpoyN|F$?|rpBWfR!H8)a~Z?+Kh?Mw`+^Rl$b66y(1mNCQIqj# zC6{NwY&m|i^pek`P|f0{i~1?WK6iXB7GJ0E-^gunM8AS~z)sh$4*aQ6+gOKS_&JTh zLr^@!7;qt0_n+q z2AlHkS({%NFp^)mx@N|iUHG1#sz_*W9bs!_f5oDO*+)D;I66^**>zA{DCSNe9RBVB zWv$^g0JxDw2YwuMX}3)^g@p}f=c+zDb$KQ&z1IZV8XeR0TNCkHHf#OYY zdGNN5*(l1_%)&$-NUr728uzrKD44OHKh?lRaLL87^ zkf?~!cyxA|Jo*@5k9L3jSIbD+wpG1M@Z6J`Z#zX;Y~=xMyutayyT%IfQb^f-gXRv%Ass>e@B8m-&9^KR+|CdhNHqVkvAQ zBPLDq!{)<($xXlOSSjh)muk)F^q|uGIoi6gN>q!&20E!Mql~BWdPL+VEr1{Es)R z=MzIW^YV&&4=ehL!#ldOju$T=9)Mt z$w{ZFqWG{f2XoOx0Y}Iic>^}dr%gK=G_||0SbL?(=KI)o{r7&1^Im*l)b3@0r$t|` zde^4%L?uq{ZpKfmpfgb#I!V;i0!*GsL!epG4$O4AMtQot<&}Hl8&l>d;=IEbyN1U2 zjWgu|=_~Jv53Xa2sCWSSyLCV~q8{`{axNxVu};Cmyx(U!4Qor^ZLA2G>&wFJ?_u+S zRdK1Rruuh4F=d&tsDLP0BzO9VGvWWGx`2IYW+sztm3MPswzKmi`B%1a&6SAJ`PS0* z)0KbUe7}M`S@-lV#&HAAZEL}S?pDllxaT6Rm!wb%T-J@CV0jM;r88W%qheS24-w*S6#(tG#xn8)xmg6&>ydL8J#Q^YvrS4 z2o`K!d2sZTh8vzU54XH-=?7#b|qIZP}Xt7UqGF5w)^JDJ*n`c68uEoWfzTF(@fGnJT zfrgzKI{2KJ2T@kQV>5Wk*%bjAOX0JfZuHw`zE3lN${~z@Zu93x9|%^PAzX6sR{Wc- z>#1>=$V;eA^Own&W*(=UJ}A=c91pQKpCj#2u=y>G5&D}d0L#Lbw z>pV)bh45oG(tio)p9sG|-JB()&DQmAe;UN$91NB)#TGfeYC(0{_)J1?>-pIj(d?meQ#?>ppzfe2R4#HEQ+mCDEvEFNwFZ99N^6?Oo3)(|>gM z7)h4^@N_SajP>e(4x_QbPfQxgKPJm~2IC4Yu-u23Jzx!O6f5Z}2)H5Ls+Z1325JS0 zyb?mL(0kK1V2vN5NA>%?%soH4HMqMF^+~+0+op!ua8 zDlx=ts!;l!$qoNOrbI?5&s(-8&-~$g1h4#2T-*#WPSgdKUZG-*`EtHUF5~c4-01!6 z-wi*zu)#EFq~SH#g@9$wK<;(@B5|ahKsyr{BCP5W?-q9vgqMW1ee#)87C7RwkTD8c z3o#FZk;FGmdv;&OcnzLUB>fBkxgjv|uKkL^`cCrnYR_R?u#(Z)undZVC7A{2@*MZ(GAwQ;J(G{-A z4DEI(Y)a*m$MdTD4|;_cYRypaT%JEN98wHHgZzB_TV9W#hT)n_a3+YEPeIN!zzy=N z)p?UY&*TjEDpW-xCdcJMd#E9;ZEX2VK)RR&x!eshsnPb<{G)Z>bR2&}_vGvPVZZs? zcUxC(-b%>k2YY_s5id6-%%E;V!JiBIw*Bk@F{E2gF?2_~s^2lz#t!@~mOcU9G2iqJ z2t9dq-lqkj26@>Bn+EulgXq`oy6d*0Ykb%&sp8)pMrYK3RvHsMqLkz5+fUaIvgHw^ zqH=Wyx{j|fC4-*Dg8yx}`wy6jeQt*g=F$E95U80hRLD~?AE#D!SCWYe|L5>C6GG~_ z2!_bQOIok*ejd5k@Gg8>7hKMzO%8_ZAcM;q8}o6z#Bhsbn}y%%FH^SLJaEa9y$H2d z!2Wx3_F1%K!K2{9Ysf!7-WcraTnxtnDC~#1o}RUP_d21~(>7P_kgrU|4QCh#?A@qQ z*504dNs5Fh&=F6p*>?B94l2IFp1<(}Fb|XE)Xgv$fvl|EhSwFZR5elCmK(q;81vj= z6&G+{Z-%3F;#ZFFhjKZc*!rfN{4!#p2V)fWq^J$YXz>3c^shhu=Sz(n|v&EIqI zBh&sOFy0O=Rj55qTwsTlP}V}$#6geWCkN*y$D9=psL>e;-86T>O`Mo?5YPhZG2SBo z15ELJnxsyAYrShH#HC*UR}B00!mWhaL%bDKt6GNbl}6?EV~~pgB1q%WL^@rt3`w42Y_cn(2F1b|uOZ zf(h|RK%@J+4^IPgb(8m=sZ1ss2omrwN)(#^OARVDLq3|wc?tw7b(oObGYOCEQvFaL z$n1qJ>0Lx+-8{q}y85t&^<6_E`z!#_HFd*jHEb981}yF6n^;FR6Ar1>uC5*1H#r^^ zC?#kVc;D~gOX9QmiS+XBl67B6q!ARlyr*Nyxb=efedkZ{ zWvT81zqa@w_kcM93PZo;wRw38)YCl8z|i3 zSEP76TLceo(@3GX_bksxh5%1c%0nX^8rX){y$lY1QIGMKXbORvKk?WyRbRax%s;5e z)u-9Mumr@SYvBNzjn+t4YsV0(8%1bA#aDhQTtrxe=7w)53>L65KQ>}CreTpe1{$y@ zhQKHqBiN>XGb=4S(*_FuwJ5DBq>-tVJL&xA?QIs?)0!Q!0#B^ zpkvFy4c;~F>1ph6K`;NV(1Wx8ydL`yzofowD)dDTA{??XVi&g5RGYreo0`t9d_eBj zsCffMS|sWZ=;iPB7pG=;KF70_C(Dr(Pi|j-(cUJC!b;xnhTj_jcmJW9s~ z3lNsy)r<{L%I*~m?T~0()x2i8bD;iIk&ptzS*yq&k5K{-*~bMxLkFa2L7!FlMXB`$ zt>VtD^*2JOQkTQ0If@jQv4RD}?R*S2#H0vP3-qInZ1^gExV>st#>a{p-Lw$sUm9RO zJ*se2_IUB+dQI9{WbkG`)KT3{d2xSVxAyU3@S|MEOID}3-`^;I26Qa*zVQhMiA|#6 z(*kl?w|5mi@mGm-Vjf@jfR~73a%iWSDyMaPC|31#37{A!Jx=s7;fWx!U(mS)5lumT z7--}rR0aeRt%Pw7?cI=s-?3gpW4DSNlO@PZb!_ZL8WG;*7pI5CFQiCgo9#c0l)!Pc z!|Aa=?V9Q8)!a+>>myf;UfuC>c93;qg7TNXtJpI8W`0g-z^v(vgtf!j^lpn>upJ!A zT=i_dJb$Fw=|hBqP&2&zad)0^5Awho;dkv>MXtTVeY>^c<$envMFFfiAyv&buB^^G zFphyyV_P9j!K?(5VrKIYAh!+wvEqr$D})IjE?qask*CLimNLI@um4e}JZSaOo8>ODHIF^wq!ki8uR3) z`_DMklE`zo&5>-rc&lCDPn$2?zIE}Cd3&Bq<6(#etCo|&-gf^D_i`ek=zOSxd0N(> zcv~}3EM!UeD5b6%E_TY@miVy$fO9rduh8(y_)_aVx6Cis*5V#Mn8{gVlf1VCJ+&&I z4V~b<5%g8F^LJa}Nm1X)`+5dKDvN#9|Aasjr1<&J-J3C@%&(^mo;Q04TD2^0&w;@bTfJ?gu6 z)yg^vm<}R_A|C2b+4$ayNK~O?d{xf&)jsEKb=CEOYW*}(1fJH|+Jv@^nyg=JXxA4o z{J+ikAt$Zt0NU(}M^d63#^c0_{kU;@J47xwg5jmkN7lF59U3RArHwaTa9|K2Xf+W> z5vYkNwv7SA$~BvqdV5j<6jF}Qlzns8+_E0 zy0rrw{u3t?LbQrV7?Z}>ZPz@q$#!y>u3|I}6OjAtQZ*>pNi{4u-w9^+)M|wx`$(vU z&RA>LtZ*xmJuE0c`MGKN5ioQ7dizvB$I@8~uL*B$(Qzg3VPzdOx# zY|-MC)dD9<^$_`();HuF{2x=*@@&eP1Ej;{l41SVUc+fX2(#G&A@#S&QB(C)wh3In z+6KFv`6ak&6k6nbIEP1avtKU{&fz<@TvKD5yY6uLqNp>+`|!eo`(#mEJ5>okmhAZb z*!4S-i6>YpSR@==ZmA^f@iHPr4f7NV_NHSVFuYe)%|&~>S}}jzM9erJiG(@$P4OS` zdiOnVC8}|H_adv-ZN*@2v&?KHCpNuug1-81p^fK38_{ELc;3AWF9I_hix|X2^j=xF z{Pb@A`&3drKN)r@30o^+N`mhL(7tW(3l$*sG+M(kfQ0gNbAvDh7|6f!R@eSaDV$+0 zmiMtLtDDDoDsJUkb*qjsuYA*eai80xQVV_WPgJvZ^Hj=+P}Hj38)=ia2?beXUlu(As>I)8!|L_c}ym7eE+)_@^3d zsb4dXNQQ2`?_>e1pT*?^PBf*ivuyrjD&L>R?geU+S@BJF%?(w&B})9#g{kwgua9+H z@y;MW05V-u*KV_IO1j?i)nY5*zJ6{AC`w#>LMW)fHUmYe*g>K#ro^`30+6ekoILEh z>PNh{35>D^mx=e)rsQmppQU@3*;AU^Pab#>C>5h$uG9mQ07a_wfRSBmX+GncdNC8M zU96?VTkr*(ZF2k5Hwhx`_5)ESC=|^2Y1~?{wP!TkdUfS9&|Onlg9~|RXtd(7>GLpQbNn;TZ*|p$f5M?0ygUaI+99oe1r^NNQ zFSd}(41r2nflE{1Iia$LSECMu**uI=G6NX5P^#28$T&BbgwF470IWA(j zk&rCgwZ0GBo^=68o*v&&pQ%B3>082|-Zu+D?T_bd=)Cm?Gi+1Fj^v+ITN&;p8auEz zesWnppu@bT$0B}Ic#W5P<|>aOP3veK`Y6k;Lrav4AQ$J?%iQHiN3)1k3bLsIhxL$sAX~djb4mY0 zh`V`sm`3et!56sTY$`~_33^ntttro;kyoj7YhK^xA?EelmwHkic>F($GM)LU^@w!? zhvDQ2O{0aXNXu|3o)?4Yq-xd6gW_VPIyrIf7*djcAL*DJMvONP;H-Zk@ zA(Ax>5-+Z21{zpt15Tz*8r`=SzCQaqBBk!jPaa_Mt!;N3RWlcEv}A&SUTm6`Er;{D zYc5S^=cjfOKkFv?55h}8i*n~QeI3#*r4Unr>cgD@9yqB4Y*?9%{F;Lh5Y*0sF{$(H2 zsQ;7@I-984`2|-}D4~Ut-z$)+14y_vmiG(4AA_CyE^*JNcc|$Y8T(0DPB$}jJJ-o` z2A{ls$tJfA@>pIP!WydOmo>%w@53@LIMxQESI-PZapDPQTtO8c?s;k?<_F5Nzk)>n zr38DoEU_h-G;^;h<;$*21RC2_*PqkKfEdXn@dC)~qhh7LI86RF@FiW%T5XE72=5r; zju$lN1)2{w9#e!>h=G!zL0-Fs*t|`{2sH9!P zxpEsDfM+as)*@_7KRMDN`F59+1HCj>I=OAM+#j42+ab9Wvu>(XV|*Z~en{>z%k&+& zuXEhUZ0qdIxFCzXYZ*&L{3b~X!Ig#zc0p-$^CbK%UbwS34VTFA6mWD zE?EHg{?XAO7Ni9YyoqA3oO?5>LKiflI_BB;!1B zEgy!}XAn}y=Hl-CrRms}IaOW@AZPGG>i6{{WbBh7J=>oR=Y2sZlN99v|6d*R`nqYA z`R(ojbdqgXsbcp&=Pz~(R)9uU6ZMpV%5*Xm6J`u_;6&ikmMQ>5eSF)Bc>p3gs7-0` z)=ogRP#Nap_5iBi#y-siMFxM~*0#308uG5eEloY<5&-%Av<>p_&V4|bW|qFiAS>Rmqx+eou5CR83H6F0&(y`O-gb3W~ znR)8@;e0~3PQ&TYrM~LZYte|PsG-yGE61<|?Z5N^_9YCUz1fkS(EXqSNS^zwfIaPE zv+!AF$R`B}%-@}seM7tI;kSr{%cj{Rjj>C;HO>dymQQMTq#wDS5B1yUgYuF{!bhqO z=QP0)Y@}9~t+9%MO}H<|ie5R!wa`%acj(7d+LXO6yU;pgHx;Dh^=sAr5i`JcDN=&?IIo`SBW+F4F>?%rdaFZ!-C%14J9&h=fgzy2S6p&VaetFJ8}5Swv}jI|4dMY zE^7;VBvCoM;Wu5$nf;o$8fIe|slTB>@MP8NQNaikvlDl7`J!q3po0$Pdf?~UnpAqs zKSiLlGVCUBh@Y(BITijJb!<31EKBxqNFV9^=jd5f|KHekw;evFZ;SdLObJqPUf&~) z`FPyILjv;uH%gNaHZ^(5b4zPGFD2<|4wln-Yu%TGssGNms{8yJx^Ps`ekTS#c^k-F zpY#?9t*H~F%vC~|7PX4Qkb_#wS6mbv=~UGT(SzON+uUAYXfBR}aYp%Q9dgIxDY zzQ&G!%^f-_fNsxcS_kkkcB?Oa8-HKv^tA4y(MB88SJ#Ll2k@;b+yRAm{A5&}!|8CL z<>>4a+vw*{EjS_*)AdXy;lrIQ5z`I(BED0Uo_v_B?g~&K5U~YiH%8a{FehSAQA97t z=|+TM-ucA-JFt<=U7+1@!o%|!FXIr+wu50w{i{v$q1!i~syeF_3wb#f(X)l?z;+$5 zMmzuFn%9eo)@&0r{&Xq&B#l;Y#jMo>!Ld><>Yv$D0Ug)^(Crgo)PL*V@cB@o76kPB zFh?kw(=aGG;ak9MQgns`&_8klfQHOqLa@r)Sw9?#KGuP$zIse}bDJfR5TSjPxpaMi z($~CB>U*G}fumKF#iGDg;^_8t4c3PN)v#3e43lj1@wm0)Hn$1 zhaOy?yEskCz{TUrYXOdf55gF1hZ;Bk8y$av*m(NZu2V_aktJ}j-b*`Ic1hGD+z(|i zXw~#a?3nht>sJ(>8jpre>mXIf6VV$0x|}k6LI2tpvU<4$5^1ySN{}8HF@&HwLM|WC zKd-k_jx>TfMPL3gp5>j{7xFI1{MK<02+@CU`~;5FZFQTN(&~5N=QsA{a5YTqsVIU3 zwh4LpNQ+rv&c~7}_$0`?#`dZ1?Hfk0U8j38S*vU4cie&zHT1`t?YuHT=ueIi6+sv) zHN_1lt=<=Z_{G^n#9*~WE93DCopx|6Z-L5_=($>0cmL$bS+#|(phqAK4Ep0=maTf? z{^ZSj^(FBm-t|x8ncIKCjI9%{=i?p2l@%8{uPnY!+iGCdr{9nsQbz#IX6B>cYF@&5UzT7CTTrtlT|qSaL>osAg!yXX^CDu%HeMx8~^{RV2W|b2hA$_b}I@O zF~7xWmV`C~b@7arhzRVzTCNp*SgvWHn%?%~#u!chCu?%1*%GXax_7c&gCV$)bDA7Q zpdDec_UTF$ZE76H@oR_WF(7ZU;a$`xWFuXOA~3_s@^-zst1C$PPTr>hxr=$XQ??~f zP$Y4smG`Rl4h|~m70j^dt0w~2Dpe4nlKIY$rg~Yos+xV65{!5g5_YG4X!{2OQ^ejjeW(07a%Gl$ zRn6j1X?pt1?8?y1fVwUwDN-S7LhMV5iZSY?4<;`3IqUJe8*^FJ$=ZbPRXax#9vK;y zb;+7wyj7OYoIWy88ZyZDBPvo4w(!@>*7yElaamFueQ1~3pYbXqr{jBOK9$<{)2AF5 z>yy%nv)rU5r?-oLgPdj-s^nRHR~xGoPg<9K^oszw9RH9Ha~XfAbX~;^ls162&KA@$ z6wb2JG_ns2Snt=vcF;2=;Rz`z6}4i2FG*|IWBpVu8W|RZgZPdBnV&O1{G|&KDmvn8 z1PI28Ghcs4?(2uYHfC1sj2XZuvda)L2K&9lf#>f#l{( zSeZ-CJBxlyJK?G^U6OBO=9~)YgWW`XFL|y8u@!T z5yH<}#^)!Cn$L5)yaOW-h8~?#T7A=ZQ2-T->#WWBpLo3MyCO5LC^DLwd!-rw|sg1!+G7semnHVoN|Jr}9~r z9wur%{!_)TdibDdrcg4{1t^4`i9I-u>bIHKXxKh1Ict7UR;^3|@rvhE+?TQTeT^D( zM}G-7{+jm|zrnpy_1CoR;7au< zvx`wxvY*v|F|TjyYgp%0WC3~wrQ!Gfg&RbnxW{tSx$YgxLHy*i%`_>;fkRPoj8Mm> zevQNlmd&mya7W;tr{+uo4L4rEh;fSsK~%W=%_wqiO;xUCd$2b?H0jwgXfH|oOMuo( z!0hBPpdCTnF*1@fzl-?txPd%}|M_uv6@KZu=UK+1XC4HpvSO1Yk^^PVu5(MXGukJe z;WPpL3}e}fI34~N=>9y1_#V0Jr!bO8&PB{xdREh)kC(}~$;EY?H4ebnOX|e~ak z#y?vjs^OQeZG;ydIRhx9;>pEes@Nw_Uuo~+5gk9KUi@J{Z9`8Q4FWfg&wA$D5uN|V zmnMST53TVzZf61^?r%jO+5t<_L2n=Gib&F@)w%X1*m{InerDC0cn|ziF@qm!2(y|E z+RC8cjDKs}9Azy$;!AUxaygd3gLAlJTO0c7by8%ZiEbZl1=AqM(*Hat~ z1lcv750NpQJW<(gfIoj8S(X>b58>$fh_A1semt_{F1^CXRP+svBwOz5wKIfCmfR=K zkrB-t3OD&!Sy9--a4MdYc}a1i%cUk+puKKerhmuKI)$7kD9BGVR{JF2qhaz5C`&)- zG({c7Tc$6ws)Z~c*iQG$ z{GqRs`8^jc#Lg}mMAV~#3%!lsK*>E5fWSPIja>}axxlJvhLpn`t~(icTMTL<01TDr zao4quBcY(uW7p5+h;m;{5ly6#s`-UnklV69iLb=Zj!mCM|KeS4%<0b$%VklyOW2xq zo%LB55E34?s$a*_QWMZG5M)MsfV25;`ViW`(mg^BEY7!gZztNrLGe>B;X zar!Mp^N=Y5SUB7>li`36bOGS$m7R8_$9G_PZPQwVD^}n}{fmQr_Tow80+!4T(1-ERc)URxptaA6uP0lN8jp>?~ZnH272R@FZ?}ID!d`k5uS?AV7X|!1}l* zh1=}X++|}VIIfDkG=ZNG`Lw|XS!Q&2b+y*p5VAWNoAoeUZC0zML5|9r`^AA!?tP}TJ$Bg|{+tF_VlsbjsHhR;m>3qKAdf8m;v&==oVvJ{;S z#g=rzO}sO6$9pLCIRb@{lp?``u%Ed#3eDObn#+k*i5~qt< z^{q~{FNZV+=zNU7l1j=Q7faF1^E3SKf6MhYPQ}ii%^oy^tk{lPOxqw&9C&9hg2q(( zcXCx;JWhLZlB#zEkjA7Rn)|lbP$S`<(1Va42sPl;nb-?6nCAySh@bJ6~Wi$5Y%xNrYe7Erh3Q8-So=ZahM1()X${8v6#Uy!cnqGVdn7=U8B%HNk zxz2-2U1@U7&KOZ#|1B*QCMcL$4n;$NrnU5rYkg)gX=3aE8vI(x~)8-u<3+ z+rxykP1E5RPt~MJz?@Wf)nVncDx%9AyUy9orICf4Q}r*xP*=%(>QO_K=|Ph#&K$4V>rE(tlZeJkx=O96&kFyf({TI0BFUE7|6MdXTxGujJYqDe1{Ppo8S`C$&P; z$q)p=Q@m&E_-f*iW!y7*O89(D#-B-u$B*&{hVvb?Up(h?-_ST8%%?8V>Ig#E5r%5z zcY$|r-lwNbx!%_*??*B%Of-P+6mwh&D^<$_k_-hyTj~Aag z6q}z5MmTV{3wgb8Qy2dF7hyeoP2T^SJ`+KQrakwqg3RcEA59rGth;pzfX{N0>E-y2 z3*Klk!3JCX>dNv5X4t^1>-fOK0)R`tyrDLln$^U0<0?|FgGNWgN%G~yD$S~BqY&K^ zue{Hixn7dxjRBE$0_9$4ONIKt%bMhXX2>~Z6Mr+|7z$7DO1InAZV%tm39b;7R1?a+ zDQ9|j51Vo3S(clQGZ4rLVVh){+gy*=QIk7BdhTrfA4O;3&}7?2@!^nALJ@SxKy-wp zG#jCW#E@<&DW#EZgbYE_z%dx55~CSik|GKs8zC@Y^gwiUO34e>_k8~WW6yK%+}Cx^ z@35sx6j^?7-^GPclqJr>I6Oqc{KqRpSb(|9rUU2e1q|KV-x^i_4z=cFxjag-|McZ` zu)lS^FEm*hL*{ytp+pPOb-bW2z0ECqE}*aP`An6k{iuh{f$*ZMQ9o&4gY zZ9$x@Vw8N=*yY z&{fX-Ih7T%?w4vp2keD@z3k8s4G*R7QO2hp1+J8+jxN@#8ny}({u@i!AU#etx?>lt zE`}7OS?0ZA>N|c82k7Aw`#lLEbhP2HQkqF|AFyJfu8~F;kDm`PtyvQ<+DgR{r)oT_ z@QdSBx2Kt&n$EW#9TxJ7Jx^-clel9&)AWSuy_TAFc@cLB3ecSLRpQMV59-+ewGgeF{HRQH6h`&)4D{wE&LWd z+UWW*QA_U=BW_5M2rrA;YQu6?vlTZY(;NEbiQ1Y`vE}mTe+;Cu_gU!#R9@67zWQzoqQa-Fzz_3p?1OBUFmHw%@%4tqn~VDV5TT|)0jb;#JJffkYnQYj z&>Hr?8DN~eIi1_WCUib_y#0K$z8VzJG$33J_V5%jXVQ`DyBwkyFll)pmq;Qj(0wb& z+za5aqq%b9gf3KIG~ds)a)I8R5iv~avfre_{AOhRR) zUMGyBDRiO6blVqzl zqQEE@DS_+1M(78@#y3aC>TUv7Tj%I543W+;uHM++Vre)nV!mpsMc0O0%Q`FXf5Q}6 zm1Y+vns4<{{awUSX(m7LmruTO3kRO{!ZvN(Rwrd5BU{*Gm3_m$xMq4zrnk30Z@07z z{ujFcpDm$9wj`j41+hi_8=TPJ0*cu%kk<37kzkQFG!`>|y%=Gt0%Bp_xukut_ z3I~^!gT?0&XYuf!OFnaFBMnqRxU?8zhqn!5nSU6zW@Pv9mHRtDF&+0pqm(?Ci{}@( z&BKc{@IKq82!ydLll;-ui>>XUqaL7>am^HmFMvGm#eR=fK$NS{KzNB54 zZ8lRXLM$8#O7J%E9su)OOjelC=KrV3saz3O?>vdVF3W4{+u+}eqZuMR2+*j-J{(WNqM3##aC?}qL`klf>(>WdLzF6}5I{Vm z%?=tq1V5vgBKZg=4Pq6h4Om~Cnj1ZME64AGHdS;kNl4UxUIl{p3fuDCFPS|2V?QI0 z%=_#eojO43awEzf9o=IydU`DoYTIdqjzf~W0VG=?^Y@l{Fu_?zi# zD?^H$keG^Huo?}T(`NqRLoT*jW=RvrNiAuUz4w|w0=uiitfnkcpSFJ!m31d8#8#3g zN8Co2;0C3!xqfvU0S%nd>f^g=vvA53i?itxYlKYL==L)-tXQ{a2>?e{B-Qy@MCP?O z7c`^X@M`1H>nb&d2Pb3bcH4D5;>y3tJh!VaD9sWU*1}ih511n+(`QUnu&SGI+OD(8ZT%_g^d|gjzmX`Zj-4yIWHwSTr;;>`)xPa~+ zYDY^c6AZf2#B^yHeX-9**_%~wAM*~+Y-~JnM{({6E0%B7bmkNB7O^ZhB zE5#Zdgrg@H%p{2GTHu(8XJJ=yPtu{m+bHwK1baTK#L?Z<(`Ela%39nw?kGuQm(}v%RPUu zzr1+-xobb{7|97#>5OIEw=@*&SijhEnWk0_W5&}uRWpXQ!Yh%R>Q{h6O(0=CBIk^E z8e{+j`91qgdC8>GStz%WcWdUjj;$LL?T~?y1lt&mHo0=Qb{qn0pe-lkfJp6k0KdJ7M z?W`jnCqAP5QyqgZfT!w4NW^DH!fKF^#1HyAWTrgim%M*WOa8FYe#9^Mx7WuWutEmT zmUph>?%T-t7M|;2&h=$A`3qrc3gfGY}8K;gXOFBh_VhT*t=$H8^r-=e-Gv zv$6KFVU*>l3a_ox#H%c^{HtxJ1)lIj`d5BSc{j1$6)-|JhhjaS_{Ac54Cy3hjnX3(6RW?NJG%4c*L%rd|^|c^vutcR!-@05lGlDQ;^CU*`DIeZB2i zYtSjxoQmzK>6TgSO#SM+L|e@JcB-QP`-H-M7yBP(q!I^<;2BT!TqD2${jvN`xS>ql z{)c4gH)uOu$TUdm_HjYY@(acHqwk$HGA-76-niad;Ea|c#aX^6)Lv4ER*UQ9%V@N{ zKdl}nW!${ae&q`UChV2wgs;87WE5K4Pyb%7-d@-K&`R|2^R9jV@%mObwBp)CMbnSx znSXDX%iBakiHohk-F455`%y!H!jm^spXeF2h9mDyd@4TlGI_yj4UT>JcbaX%KI=i4$gL8am^!BWtq#+*0^?0v3e+;L5OJIG$l@^DKkXE)z!hGx zXo9(&21LN1H=~}kviMXB9lD_K%kO)NG+8!vMa!L3P8Ig+QN3c>c{2d=WfJG?6cK?>dh+gTWA`nJ+Evl^&k&VvV7VN8%n) zZgw}RdtcHu>o>b4e8tEUgS;di8bf9(8}B#^xU<&^I}(ip7t+2awk>~}ZSDmLhAE>& zYPLg_CgQ6fFh9_itfL%Nv|puw*V0TS%2rLQ2`u-;Cu;?LHh7aD{@V=PXjA{YI~J*J zf^8?}iH^)Iyo-%~Wh4J+n>=eHXA+!(SPYak>?Y{pFVcvH@Zl!F4WS>}gn~Mnz!dnL zez3MoR1W&{N_0vQ4X^=Ht0FU3&$)VnE|q~hl=`GNpoFZ;CM>_67t{rDMM?;;#v;f; zzD3jsMWEs#Lk0A?7S<$%Yu=H*Fi99h^~}2%_<1@4i2vdkTF&Ab^d}}ww&7~d_9>s| z-EIqUjR&WSIJ9LpcJ8*Hews0_#i5OW|IGJ{q+;+ZF%Dv*w1BC96JN!1b|+ILsvAEz zLb`UGs&hNYe4g%IiLpTj9$C!Qshpx40%huWGh7kJZ?i7j*82g*fyvc?rZo$lR*sIY9V>$;KEZtUZu=DIB-FWa zHLUeeSN7n*;@vgq6{9lhdncuESkl~jj_h{)8}6*nxt)Aqrnh&-9-`GaLXSulBN+n$ z=GpiKK5g+>?Bxh}GHiK)Cw#|xlM1A}s3HnwuoSuQ4XbJLvPoIzX<zWqlP|lFrPIC@GK+mEsDeubQD?LTH83@GX$~Vp*+aKD4%~_ z%Vaxb*tLe2EDr+_+YX`Qx?g;vbE6qMTnl5r=N4kaSEHJ;U#o;rd+# zZ3{fN>0!kr$`LSbrv>S@E`Ym!mS43dJcXX4|G-WvAE}S`5ohdae9T;wvWlrR;UAQ> ziON@RoE0*jcjuh5Vr>&gl>8bT+6)G%NVZwhv)NW2A^HSaA|=JO1X{qUzV|$@u>TuN zcquH3{gq|Y_QrbKDOT-*{q)^-B7VKwGuJuB6SNXztmn@or>~y%#>FCxIex%v`HC!D zbM2E^_M=YsM<7(}7ESe<-601FQ(_+marFef5(p#FHF|`wX+byWrD{Vf7|Gf4C z^+Z&MZGW| zYz00_A|XG?7!&TC-8f=8<19Vw@_jb2Y9OsWo{;qQ5%I&g?c0Wnm2P;WjIMshL^0ml z|DuiVe z{gShr=(AxNIJNm81^1U1Y-h(C^7}fO#eku8RrC6lM_~YD{UxxMIUXqZ35eJnz_ULe z+k(|n0MCLDOy_6l-Es9dnHX)h(l4~muP|{Q!YEbw%o8;1@DH}iw67Vq`cKNfq z=INaK^n}~jWuCT`Uvpa9*U6)H!>a7h+%O=dr#Ns&`J7V=P>zVKm)pVppU!pfu32)V zO)bAXxICUlxpWnSo0xx7%I|!(7O^TR8s%6Fr*A23T;;jm3BN+9HY}PkWT*IkD_2>G zS}wnv_m4*DW&G+cQ5QwUWUOOcWXq?qLKRa40cJ*+DLkJ$TNJI;C4!kKH|Z)Ss6frV z2opK3&c$IAEYXmB@-pCQ55MvG#J7NB4NDwH;fl^T19vc-Ec>UV&xfaSer!7(X(oi9 zN55@b-Bk1tKLbB32gk~{211GI)?YLG=Sv#j2=}qV&v0A%sy@?L!7bY8OQ;{f3<(fJ zTThS9RaPY_6Lw4FaE;&1yO@3P(j~Dh0E-Z8r=I5rh3=Ud<-i}G>lWO1F-afw^Pn!( z$@#k>l!csjXMKh>*GnqCT2@tE@!_ADjR=zX>+i3UqAHZ0y5zu?axEr$8our;XAeyY zUru=Ijhq95*pu&SsWqC9NKejd?Eej~mGNz&AFwUDBS1lNrijwxVh>`GAa=~h`9mi) zQB6YAtXdO!=HpF61|es3L}yb(PR`x>r>6IGOzvD{MKF;86ia8&Qcc5IaX?7^GZ@E# z*>bToX->XVQ`GRmo{RxXd!uhH_(~#lkcBTUxd|8^8zMCIU^-Q3wp*r>qjn>yHK*j- z>(HcRC#%N|EUdI~q^zUtr*z=tb9hbGsa_($~N6{D!M&ZU?n#c4ztDn`9>NSk7oE8)pK9 zg1zh`#ST1{BOyzGo*CS*Xn1RAf36$Gd}i;y=s^8yJ`eA&elz%*&32%%_h({9c=d(l zCo;&48dO%*9ulS?w-J~3qw1;okfD0Rl*8(H@hfdr>e!h7kDmUrTl0ryhXE8n-Had~ zXCpgfzb^o_;KA7FI*+H>=a50nQ6_pC?luL)eVh)qJn`!lo8v#|B{hr}A8~t%WWVtO z+LLb=g*=DY?sJ{1+Zihf85%7R=rBe0?Mp#-@E^oKzQ~U-^iLK1czx`E8pW6xJUx5ZnWL< z_XFXdCpO!lUV?1ZGPXN^c?6i2-wBjisrPkx%<}n4^!XGN=Z=37(9~b2zJ{TT|7;5R z)r>yA8c}w)>CfCZd0fq>@UFrD5eKZo?-RWeT%BUdxpK&pAEdR((7b8O*{T5PH^R*b zi!4(+U#S91yC!^?b1K`kN7Kw$F#JF{y;&gV#Vl?SnwD2$UA%ap@b(zEv+lY<;+yGP zWYzP-D>j+T8Tjuu$c3ztY4wf+6>Nj%sJ+=fnp<~j(#q#M_@~mDiov5hUM%TFeSSNC z-Ocph*zVZe7d~a}wS1~!M|pX+Syg6R&&cSf%!j8MX@m0qshGYVNtW4ElK8|6qxD^J z;2$yZ<6LWChdQG=>>r4$$VLHrxKdX2AP?rhR?;zS^upuHqvXy*QtzKrabq9`yheY_ zR!tLG5DI6$qGMP-^4-Ut$KcB1sNWs-o&3Ya-CoK)hev2_Xe_rcdMXom&(;KZF!yz$f6q*=`q4md_$d$@E+DFVz8+hP4wp6`#?! zF29gXP|3RV1p*OCf%7Ri^}crDhAijKjEXdcJ^>_k#Iu`pv;=PJJx9pm;$$|YO%+3J zXpYa0a*6m!I%hag83cmHkFq~*Rp0_PV5IOTTk(+`%L-uW(kMS0=!ole<@ zTFn>nMExM^PiSPlu56?L$*`}h*)Pw>92sDPJjje=yHfzg5Ari8pNA4hz|&qx6YB1V zo~%?$=&Q^01TyB=b=INy*8pZ#(d@2`4zYRz-zYL4-zOWp2>;c#W6{SHI^e+^e6w+M znG0}+d{sHVm!a*EgUYIzNWIDsROcH1yyYi&bo)o2#-pWYsI;8%+LjoC1n2Ew2O!Fx z@R}6sM%KRshc1^oh6%iHfox1Bw$I(=Z=FSR%XA?YJXi20`Y?{W)~QG)RF9z5{d<72 zUeC$!pv(oHzC9(AU|OURTEmW!;R2c`&i68Khvl%0S4(tA4lx{H0o>ERQ!jD+!F8&0 z?T=4u|6URQZxi5S(J~y^VdNl6LD_?*Gho)?y5dP<=NrH!5*Bd4Xwvk=;dlqUMBg0tM=5JGbG>NwT&bC9oiSP*h{(XH8(NZJdDSY0)=-e9*3I;SOUh+Z zgy-FgVPM>Y>}$*x#9WZlQrJU<-vBUS8nno?jy6&E>AaGJHkn=xqo1vx%cV$%Z)%oj zKmNS&8{WHdJQ{KJ%qfuR0(37ZoOkI>QJ1YaIEUD%XOGP+piyss_wEwMcStt=b*yK= z9xmymSB;61fW^<~9&=g#DK2^hEx^0;DYKWReBxrH`>>8obnCm8UmdL9C4mVv-CKOza3Gcj={r&E5IP1IRn1t*1er1EV=(|HU`nM#}4=5nw&%QU1& z)8(lbt@jshxFd)8)TY{X#PvkEb(7chC$t|1zZf4UGkUugEjYU=`?ove(zCisKYJN9BC^xz0T}nZ<|V+~w$2 zeK7*+Q3Egb)~VU*F8ls$Kn6mus?qOVXX^Ux!(7GA?5fOVo0Q40_4+r0erI$Gd}M;q z|N6%6v^GR2BWcW5efy3et$t-$`BOvZARzab_l$q2o21 zexxAgb;LexY}zA)&OL}%kd##4xL_b#T_J}$B zUUA;a>2n7lk}7ch#YF%zsN5~5J?cm_s=L3)>+(QI`J!l zY|0i{Q9G_v&zns#cs0xWhA_cjBRk@rmy_HfOp~k=gihg>$44a(W?Dyq{5fq1@%KC# z-dB1R@{@v15NY%(BrnCeM$S_@RQ*y(XdGZD7C7W-a5c4C=0EIF)`AQNdRzLm&^
9d}G?8Y`tl=2^_fJl;=sImkz2 zs7E9*0Hm0Mqe! zC{3tIY`c>plxL)!VutlMS(gw8}bowi4s@@-EvC6P!M}m1Rw6W z9EW{@8~v5HWHOr{!Zp34y(-}ZbnA{BQ~x|Mx}|6l$(8V-kO6@sG|K=??X|hTBCI)coBxWG zSa9V7koT+;&mJ7;gksMR_}8G}WXxA(*6Bs(*{JtFd1v1F-mn#+R5l2f7{VP;E|+1*d`1o-{KGK@C?9<3 zGzqi*?5;RGc`ZYp@RU(&OzUa8ajoj}1Ci*0m&Eup`Z6uG7JY-_6`aZcCSQsFr?u9Y zMvoZFz|U)$bA#*%ykq_c0r{Vf`+zZPDCsnpKBsMkt#>8+k}8}vu8Z=}!PN)G$P`O6 zX^#!#b-o!&WZKxVqy*(ay^bwA8nwOyPuvHQUOKn9CZ~kt86whU;iy(j(d;(%q6obK zaaD&vN9FnQdNdGn_M~oax1Cp;@8KoDDZTs36(pX@S`zH6%sW9+^vhy=rxxi58Pa$#c@9M=(!l9Em|W5Ah%tE)05_5H{Ev@-2WqHph>PCUvc)) zDLF)1A)jd2icewxU_)m6tF2=DLeXnowS z%a6*}ptPmK&O_z-|1Rm~Uf2rEKP8usv3TJ(y;oF!*JyR888W7h9WCkSqOcYyck%VN zMF{x*6vMkNf{y2?4@f2?u*M;fP1)XlfxYMm2+(EKs1xM^!1l(H03s6J5CqXZk7CfxOK;~cb-}9VbG-lc;xPDDBB^l7#Oag-KfnMD_uzMZbn_s@*wg=mhtT^o9=9Ri zw8iroS*3>!u5bR&*9GtW{#&%Pr9-BB0)^Xt!(nE+)MXRS^xTCTbF3{W3--Ftal>se zNa=XvI$I_pjzv0`+nHWpDRY%MK+BAO#z5CPYuRpH;%cADaJsNKbfv7^_{%SQl7Ac? zUVtoDx?}KfWCKQ&kBb#J;GMWni21YvVI+QgY1^*uFOj^|Cy6~Rlwq^Ln)!N3+4p&)Hp88Dp$|?gev~O zV|W90md=C1`_!zz-6(=t6#3yJKj zsL5)hd0#mYiWqNgqVCOs3vl(d=eX@|fVff(FP{4qJN0jDBWpyl4Heg;EiGBa>VL%7 z?U`La9Z?TYO3v)$io;n`*IVRalJ&6spGs1s0*6Z?w5@H>{xzGMMX^2~Y^q-Dwe^7( zPX73Qvs?~P((zBsbnUFQG~l!Kes0T5RC|!^u-gBD^p7^@-1UOLSJOnQ&0<)*UU~Sw z$47lNC51Hnvk$)d&8Zh!SgA1}iU}`96Vyx8qj_w-pnd=OLRjFN0z79hr^pXTPzn0}FK3-sG zz8e#Ld1HV>NT2E*_~l~it$);0@f>O#mN#?^+$QSmG+ApHaR)ODLhNaYPlva3)U)hx z_ag$&e9`EWYZdYQ$@||*z%eQZEm{2l`~msA8)PO9J!8-`{mg&^vI0&sU8`FLlyu6# zdM>xsd^Svf&-Iq+=L5k?KJT|3>ost#;b$&dWu9EMed}oC&Xy6U?})@KDrrBM<;~bf zDz&JqT`sL5RzdImQ-r@Jp4;2_J*_D) z4u}kyr0RP#raTfCj>?dT*gE97_5d!F?*+~ovsaTKo3&M1HfG&GUqCfI7v9}6+fRdu z3m;Sjd`waL3WRwEM9!5s3Lgq(sEMGHinkC|PC5Cx$p&uso4qGPwOENw_pSzMBcOkL zn?l8HpJtx!g}aAu5F2q(Zt>z-rZ@l0Pe=}RHVFQS4e3)_>VgBfn83j(k^ck82KG!1 zDg1{Uh68Chw_WPQ!}-vc>e&|x&TuO=sQgd`Czq(q(Cq}4qSw1V+|yCJOjuMnch(c% zs8{1TGZhxW5kfcAK>~?)g=^rCB z&f{t6InJMFfSKO$H!We;u$B2&tYDwRg`ZWk@9IZ?aob3vnHK)qkUadB-Hcjp1&^6r zUr$Wh@n{?kUV8D28TFI`J*b`<^T=(p(Ll|-pLzRalKv3VRE|2+jEP2>vbQX6-NpPG zKH0k*3LKCH!^{|BW3~YTax>$*k=i;od-FzSi2?MFMAE6WVP&f@nqA#^BfoGYmNsuO zJA}e(DHSh8s<3SLvcBfBD~*)YR)n3?UP~kVPIAb^|KiL8iv3yy8iFF?yUY+BlrayoFJh3V2Fyt15UUktGgg+s^^ zMh>-C{oL+yQM>s!VjmUzFM?DrY|P}{>WDb2Z4~JG`3V5Gx{)n{3jtTN?^V_r{2On! zQDZXE}Vn!PWpj@wfo z*K6EbR@#ogD<}{;wl(Y<$V3l~j;yemBDcxzN2&zkA5Mh$>pq za6w6yo{WB9yk+B=cC+0w#?7W-rNnwjX?*+J04}xq>cT9Y55RnFp|m4G28hoye1sdg z(2>6;H8Gr9bpK7qK0$WYxHSj;8{ty9JnwT8MoR2&z*^dM7yI_wKwh)8MkN&$K3OjZ z+f zDW~nK)~cpoYCEt)vnuQBgI8LP?kWddr@C3WF`4+_r%c)qr>JNI%y3%j@;TMl>#YJ9VubBxlx1gIr{K^!xo9c%O#_>Igs~Hs+GmY^|c!MQfh@8()oP zNPnSwR3lyqrzNn~L2A|3S0b)TG(pNl7TpW}e6NSE!^K{N^Id$F9hZaU@R-jLrw2Kb zTro(cO|gs~X+iz3uWBcX&wGL(E6`sfGAc9yKvt}VLZJr-Jtn;BU5B-ZvG$ku6hEw; z?`?IX$+9GrZXVI7e+}2R?&|8g;JR+kw*7(`*p9Ihc6@> z*=jK%j-OLlvrtW29_o2O-m5u0$CV?vt2F&qH(70erZoq@?fL9^A-{NNq~yox@nU~2 zgho~vGCZl-mPlv$mJM0kFpgKqgst~Qg|a!+d$&gN0EE{AM}4;!`Cwjt&yOEyu( zeOCbMX)Ci}bl{em@i}x5(5)?&r5?}0w6HRoo*}*j*8H#1Z0=5(av3h3DXt5FP}*rc z{URm*1Z&gg7}@ioCuHn%G4jelMlV?Skfbjf%~8HV4=zs}dy|}f2YAIc7+DByneG&B zW9w?qDvONnz^%2znwws*rn&QjXRkAwbeM!$`zYX`5*bJuYmQES04qyXb-z=P=~*l) zQFfuLbey`=u)CMDPn4{jMG~!d0oZ?zl|wzS4_dcz(U1>hy=P^ndd6P5qLngVqf{+b zR8KJKjYEU`x|pMyShJROw1y&1m#0T=u{8PPb=x`H15Mek5vyjkEu-?sdkjzq(zCwF z=Ol+a*t$588h>3Mfc6w|URl}Gdkqf2ih68Kb>Z~vS55Exx_$nxLPd#;v?bc6(F`Fz zM5KTfoc}k(T}H>`m$Pm#_}YuP{?&-IJ0U)@6 zNzY={DJs~Ypezh=ppD78(pM@tAK;{>(Y-tGy+gu(bNS$t_Ez{c+b=JV=uK@OUIy;h z{y_Se4?Sz0IcG$)iSDKBS8Z*tYVvyz+{c_>;bFi9HxN|MEi!TwQ8AX$`%-CBd27*H zJw^tPI-wcWR7Igb=Gq?JpP#%1jI4h-t#=NHEsGcIP@p!gHPzdf{HKt-?ro0VTN?$Z z2OCy`-4Zhw2%}}EbttG4k7}i{#%BVXy-qyccy3?Mm#wGX=nZR092XKx(9pmEuNL0b z8j&~H|`EAz`dr>x*GRDG{`{-Jo{684aKAVX`;v>5u}eIG8UnEVgq zY>`Rt>FR?~ZFA@YI?Bd1?S=P6E)Y%Z9p_e-O{e&`tfYP;FHS041*KxSouHQAnsNB! z@8xu7?thcP(d|Of3}x_Jf4Z*cp7riQ)y2dWY-DfLNDIw1L~{@!YkhH!h~)^Y_*xLU z4mU3OYkZ1z4j>n_Fm(+9jVQ}BV?z2$;lW~@{&$v5hDfwTW6Q8mRe z!-0H1Oz4P2X{W20rxcj+D;0I5PK8eD{u?QII+wHH0pX2lIalm?5_5M>1;Eq{b{&-_ z^%!mpf3xx~o;iKKmFeV4S^0$X7aqg8r=!~7m>B(^2P{=0nZ5cplrM^jLz&ck1Q^A* zR-;B{0Du!9ig+pMOspyE&BkQ(x?$J%0tv@C&C?>&(sr(rfJ_KmE>YAI$?ju{Nb!+S zU*`^bH6R@dxOMn0q)VjU4N~Vw#h3(y8kq#jCl5Ay?otzN`fG->g}szzj&3*u2-kl7 zfcWF+UtR>0huH#THjQ(pz84Zra<}`|Z$iG{ZBThuJ+S*oemG>vw~*E(X4(&wYJI#R zmE~&$l7<644yD-%-z^=S9;L-%x)yg$bxr{T*1o^NC6zv#oKjZ+1MM)B=nd(*k|BnV zXO1y6^HsSJ8HZp@q~O)4VmtXogdraVj9#2Iu(UrOfby8A{}+mX)^z8=Po%HdJaC%p z$an4-n<&+E$_)zxMhT-YvI*-3k1Y>>4xUB-f7VR($n!Ogct99g1rey8SqPTQ*j2=% z4J7f=&P0-SwO$u^YJc>#9lo)K8-U;)53fsL_h<6ImT{Z}@7zhbB)Fa>@i6Gu=c8fN zhA!-scKP&_T{~4_{=G+wFYjW=hK|wq2Hq}^vv%4*1Ib9f!rV>&QGS-Fz*{Acc1^@FqxhnXWD>&&Qjx<*>nyiD z=A6QQ)bL;_>2r(0MM~+9SJO@WP=)dY0^zWr$O4~(*YraSN}`(?z@IxBj}os0s(iqy9k znyL)1OnGQx%u?8Sb2u2$m#S|D+B|rH1$?Vd(kQIN-y;q71FXZ>-nR%^`5!o%E>?Y( z<)ZK6B`@U#sxdx9`iksGg)uk%pOSZdRnj~te~%5IUQ8seH3db+sqe0`VoaT687cX+ zf!lqnz=_x{#D(M>_Am_h$1A$r`Ka>FJM60k%c$W>88qyuXn+jbp=Y5jbysEC7Mju1 zFeO8-t@(~e6(*$6vk{yi&uxLWzP@7N^PhegK*|CF<{5_n#?v5ysNp8~b@7Lyn4y`y zc>?XAHsH3n51rM5%H{p=y&G%HGHGUNAs4KInJBlR&unyc0}t_#~%)Wg!I zrcXbCRmfPd7f|f7v1Kz@e>Q_(c=41}AGXC~#7xg!)yK{o_`(X>Qq<1l)pti5EzSL2 z2Z~FT>6c$suhSkjl2*R@Fy^E154IiW^Qr09*BbW~vEBoFegq}qKoH}WLs#zTU5INl z3p#xGSm`cVwsX80xGC;O>U_G>`?Iqo4;m9|SmS6pMjBg`H^Rl^es@>jc29Sz3Z^Xu z&Kb!i#ovEBHJ<%P%+a3F@jPl-yBm9Xd_&N9)=m@r?aA}Rd96~2SLVe5J@s!+9@Sx! zp-JUD`+dxQ8cDwz{VQx0QdipReOB$#`rq0n9ts(zyFJbXqiQ0|Glv{o2dnGZ;+9Xh zK))x*I%hsm3-w$~2VP$Mis{h<4rH`#NFgXJ@N1E%R4fGu>T7wLY)ptSG1Y z4ql#fSOs=N>&R_cyo-JsHFLS>9Ktd*RTE><({1g0m|1M_YDHQ39lNNxK~&@2#)OGp zS|+su#}S7k*nDwBb2A37_mCwrMEzhMX4D!_v&QQWZy-3QtVqwxz3UrSQXdV%^@hx{ z^MMv9;6UEpk~EYKVNTVmVOsQJjz~Nl6t{T#cf{pFYr{4<+)7_1S<=!#kADZFcQ>e? z*9(uCoG8{~pg7nCqR+Zud`(J&kyCY-NP~Urm@IcOydla7JSMzyJegfmga0Yta)E$bL6DfzhC#d5 zi`8!aRSJ&xrHzuw1&>r>wfex(*O!>L75h?h@af?VdV3$8j!O7S24%DAfnr)a0Iilr zeNf817c}QL^wEmy+Z|UXOwiohjjtS0{yv6ikf>?4B)h4sV*3_2w4p~I-?`h;i~?G zU+)&*u*@lJ5_mff$nk^I4Na-DgLi3)A;$avjU)VxpnR+wPUf~rL+$MuqAfw_bE!Vh zlg_!*TP*f|Twk?}wBVpF#qq79%${FKzxoqgDw*@p3r!G+T5YHsia4<>E^qWew=IGP zxzyG=;)7I^ zTUQ-TxB|JPOelq?$p6d}}79j-nh!>6PXk<75Q$m6TXGS>D8&6+t!=Sx)OX zobvt$I25@kU->R_ZC7a>2>RugRCpEU>G(yoRS&ajEI#G`ExCwI{KA%DVC>a#=lw%B z$0DAHU{MDRm2W^WC#(gP+MODtIAu%73XSY`*SPW~dbk?lc|9A=1_S&v5e`64JZR{PZ5o5Pkw^ZZ=(oF53P(s|s-44E#DhjKu2oIYk5F_)1{%-4B;dQRmOQ6)f zm<$4k%AtMR9aHACkN66+nqz^PEOlsBGA)xC>yf2L_>Q5*b-_mK0!lC=RC_Vb0S02r zR-tMzjxt*5INNJx!q&%>9Aw5z=b_X>cc;u7Gp3s9=IjeXW>K^pqGD^{8g1g`ohcyz zraMA{;1?2{U_$Jg>-;j~0?emQ%P1o^!)ilPvfX+iU8{QHDIRLe|$= z`8AmaRSfr#I)>mFy|1#x9l^|&iiBRkFLhnJ1;JX){p!IpfQ~i#87{)RC^yX8p`b0a z0Ho)W4mH^|6ON;Y=MDyi>Cilv_>cl5#yKvGPPosi{#0>NX{;{yeM+RB^Ne_}eB%Nk z&Z6$oG5^guUpKyp`f62R26H@|e>ljxHravvn4dS?1g_>WVGY4!(s}bxYqk4ak1>Q`OJk`-XOz`gZvP3RFjE_Y!(xPL~<})nJo1Y_5)rb z0DFeZvg(l;N}yY!s3U2;+iJUav`JpBn#aQ$m$l%O4b!8M#7`F{`8P}jZ;^AWThjnx zHgP-^v-fmC=zt1Z^a8Drd^2ZhU&~0aw63F)q42-yEwuJo!1zIYR-n`#yBi(378t)t z&B+-4rcZ}r&xF2xdzKCe?nS*c>R)B-%%#Vks2%$u`nz0Hib>(!mN)ygEbsmcNZ%qW z6fO3WPou}P9=E9R)T7RAok4B%Xm*0}tj4x{2v)?$*4CzI5YKEg)DZB82k2o=F{TrrN@lq+1>ba-y>G>T{(4fG?&B2z$C zp=Py4{aYpy!7_InLUJhk%k>)X00(s-?`||^od;R#tCmpt5dKvCwV7-HG(V1IbCx-By@5Q9kjFk%|I;3}gcUiJa`4Ot(*6u)8LAC$~4k^H5WQW__N1h;;AaYWz5GbshM#GICGm8RTx6SST>*Q+os`57@T!L z06%j&nUR(_L7zPoJdh7@mXcaV!|5uA%48GfW^{&gPkX&)vZfz4Y6BS}=1?e-hXV71 zph;7IB>Jabib%NYtL0ptkehtfMl1t}!avd+dw#wtec@ur_!71C$Cvwg%SE-xr$Flh zoisZ9svR!!@1QCkfWAVcn(?;*y4gMAX6|5 zGEH9ydCd$hTAFMaENFeY_h1m4_w2X9#Y&R@MbZ#wTQ-6*^J4Vv^5p>UkmL|QmDjG0 zK`OW%SnAYa%>{=EI}-)nz<9c0s|Xrp!G_Vqa{V*_B>#Y>boW`h>G|TvKS;!Imh!>- zVd@o<oNup4oS)96r!4EOr2nJd zVlm?7ZThP1tyj!w-{Z2g>w#0NPd5GBX%GniLE0sVcJmwyEyB3 zK7vgr^qgM-DB!BoVdufk!~Kl4cL6;$By^aasIermjZ$KSBy+<8gSd^7!v|gqFkNdI z*aKpnM@lM&$3ZHKcr)dk>{P1Ivwvf&F!7*3pxTAMQYhG{--+?i zBBxf@(qF1;k;{OuqG^+QDr{J4R?vq_F_u;H&uOkt4!**({o9bEiaove2kUA-%hPj# z8U@<)FU7hYd@-I}Y7GP3Pu9_tinY~b>5=N+LmOPnu6x!Si1p&Tk!hi>`8 zL{>(LBG)L&ifk^fjEqaj-jb0$?!D%fzD7~HF0PSuUGo~r%(_+-u6d1njjZJ2+RBX9 z@ALZ$?tMJ&=l!|o{d%4AJUXyubVwA@*!la_wQibtsc-ditG~9kw$ikDCMwlZ8xK)h z*E0l^`&cXC`UfI4xs78!sK@xD{LjK zC5elH+tM>}UXitgbwaO8W4poNP`98&@N3tE?6xb{)VxCXOLRHu7KqB0KKIPCnZKT^ zTt;3-0M#D=P2U;@IA$EGnMd1kPo1aP-v(_6cH(o6=ssT-1JqNm0Z z3~1!!g;zGVr$%m&O|q%)*y}>OA?f_gC1E{_on5#NL~(?pD(H$RtZSC=H>x=E`b!2> zv)a4*%<8kuIY8q~se(lmQO5(N@ntvb=7hgFZRhp$7G-hMHXI=3U3VkhPQ z!1ydxHC1}qJYV$Veaq#AIf3LOHKw{C6H?dV%=4Yj2RaKG)5`Y5hPZ~qExW6szkrr* zN@MNL3a=pRQK{6S5V_QsM`oPQQ(*7U6*AFH&-*~36bLYc+iXZ&LfWPi5GFZ~#C zLH}YH%2LdT4~fLvG*Qy+^t0mmziEIZMYA+*#9t&Nc3EI8%#8hQDul}ZO+H;9d}hDY zbyPlhWsa;hiFwtT6w_-b{dZb8OL&3t80d>{f0zbw85cQC&$0&ndR#2=UXI6`4+!Ml z+rIUNHOY4=F5l1dg&|!`RIg>*WagWHQ)n=0R3_bsAwGICogE=JGswj-OIXSEj%loO z^|!UXd&jjstXznqDzdMpRuzR=*XVp^aCHF%I=PiroY>7szc*Eu)ifvI4opmNwGu z8adatdLv%*bNo-{j>l_xU;Fnq3chc4v4=s7`XuKpNDMJtRWhL;we7qj4Cbvt>3bGl zWBF;9$+_dwmGY&Dr$xF?EekxPu^v1M)p`-Ybtbf4Ec`ZPFV_= zR^AJHY{Q+u0c3|p4*JRGcrKX5@GRNgvJEJIIvr*|QoE7RwSkNA3knX|n#x%BS%@t; zJ1UurHGeV&;S*{oK*7wGf%Y&!kBbQXEby3C7S|^~!f+{FCTgBNklt_;)r)_V0$qGczGesr^RbnLX`#d9|3KEo5tUc(O8$w&gM)q_j+|%lMKq#W4@-J_ z=`NEYBiG%bbW4|d1v1wDKlmnBGu^!guw;>E)`C}BdAQ{bhs*jUyK7oM zHm0i;P12%i>yoon+m;fyxP*9haxA!ur+FdkDiBuu{>t9|V|9#vXshen6IT7#=`Eq% z)zQQ^#RPqXpjTD9HvAdq&r0Y;8%yo;k!pw4`~=^aFGb__c~9L8zo)hll`1JXXdjdL zxmR1&+X0qFRIL0m_8o6xG&aW1g^<+^T>MD#RBu7>T@`4VG!xBN*l}O9&9E%+wn+wx zhRa;i28EbE@RR&iW8pZfpglu}$QZLo`Hb}hpj;Y#vl)T+eBwrf)Nw}w_bNvjz0s1r zy(Nn;8Wwi{`#1L7Y=TenMj6)uchpUD=2GdwMad82nL$L5if+aj40~YY&Tp|9rM6+b z(>MdJD)`2YVIe)u(+67^7=WpKg7VPv!4uAe;pohZEc|_d(+v3RWXskz9Uw4Me>Fs- zHj-LbaDINSRwX`4ZMi+?Yj_jn{E7sfTQ+lqrsX+c6+a1WJK&^#s_qeyqY_9_ThN{Y zc5h7^*Av~zdhsSkYOoa%K-hdLHI-<@idu%F1`G zht3DaF>u}UV7l?}*%oJ@m7q)H3LiCYqIfPnR<2AlZn3?u(N`#bKBSvY0t8wC#(}S~ zIE00FLzCb(W17O#b~b+zak?fZPIVY`z_mYG-UgAiFlJfTwE+;<@Gjf!-5&rQFD7Bg z%v>sgSUcC7yel3qJ&*ypqavkvUOYTRtMSI3luAYh+OP~+K4c=V;f%bpY6EpsoWS0* zq)+1nO1$80^LE>EP6j%sT5iZ+Y>%3T&KYo(zgx891k$&i?*_FwaBzf`0rZKVX=Q3I=x6H%{p$hc`Dswp#^Oh{6N8v9BdI_-9ndS%b>=`@UbeSbvPIl`Efg7oDkpf`)xBkpwzcFTCqD4C66MI2d*u8m4yOJg?!7sKVbO`TL-vfBY z0jv1o=nl9tVpZg^^hq4V|C&D`$hod-V1S{vN)S^o3Ku*D2@{o)F9;#BH!QcmIMoj^ z#p%}IbzimTTj+1UWy0D3w%G3iwcxBob>g^Ii>U4e;x7qx-y2n%7vmA_~ z`SlsTY$`*C^3H0~_3^kqZDq$H59NsRudSc!woukDn09q;VZJ0b-KGP5e;Zk5@XP+V zd(XxG)`Z_Le$w<9AkEizpL8+vJ2sTTcSYclRNgK4#>d0qs-ePQGfH*nK(@W7boP4G z2=aAOyJ7IVPrUg7$#U9)rcpCzvcHdKb_y+j)Q#W%W@f;8vSGeR(#`G?!^QXyM{H3R zXH(hmK=+q?datCjtZoKwiK5}8^OXP$4|%f;z~_Cdt~HAZVSl)W+GLj@KI)unzxRy^ zK>;Pd-Z|f8Flv7P@vE)qYzDS1(ipg_Vl0jGwKh6l%WG6LLHLfUbRp)CAGf=i%pjv zEbR#Wo{)EYy3_}2u`x6(mkv;8T}?U<=R$_GWsC@PJwiur`oi)4Y}51qD4SE8=TQ=7 z+S`f-GpkmOLI8>>jzyNMgp+cc~YQ`Fhy%@~6>fgX|6+nnFN zG8J>A{OacC?*_09PpVb6S+Ze4+Lz?THCNm#HKRk|hGvN5GVkw+fV|lFOIpf6p&}|Q zl|sdR7}?oj&fKV)!ugrW=Xe`Nv^l~icO)&y+_e;2bZB=C`ATr*yVqqn(9IEDWh6^| z!_yG(JW1?F@?{HK7o8&gM3rCl_5$PlM`Di`Hen!hDJERF_;S?Q6 z$(GP^+~@;CaV4da>e%v$0anIuoXA6EFaBzJ+uJ=SBOHz+K~_xwH)z|H(g!5tVH1@Z z9n3@MKOr#Zl$I*a?&|mUdjlfBKZBMtKRGJ=z-XYZJ=-JLja^xGGqcB@W5I_nZadh; zbF%i2_`I#V(+?EQS|_^w@amJh`z)+z3R-Xo?5{Qk4cr-b8GaQNMiz@|C?Gu#oU6nR zH5%8UOgLFRg%G<85|gC(M9GVw8F);cX{UEN;r;dt#sE6fsykAsFJEx@M~f7c#?Ks= zJkaeD^_(mW8J&$!q}$nekTWO_R@+D(k3KdJ0cJwefk~P;rz(SCxnU%1mO$-D)fL5f zz2L4_Q#&IC_(JY36eZ}hm6Ag#~NUlqJx!dqI6{FcOmOwOIAF`7-5< zzAv_n@TV52Ne6fOmtI32g8tr-+or&DsB=D%e8oh z0?;nxrt%QFfg_g|{AueBy}6)tR;S%y!~(Ql^dv-w`D=&nx440=ue_E&b9F*z;#6f9 zi?QS`wXTOmh_CKWgVu7&c-|lkM<@(Z=o&bXMZ~LHL4$IRkihWqOQN*&hy6j15CT8- zR)vX&$l~c>jaBYSna1LsGs+(FMosp3g&V7&TDdg_LAjKMm~FC+-c`cPk2k!eq6Qh^ z)sa@yKi*A%2D;eZExd~`1?)FHGQc8l%Yer%>;Jlp+#cq2SM=ZHt08`NmTn-Cib3k#$fu2WW=}Xu@4gO_-?MKZ zYjllVt{G%GBRfJ$cDng?NPbz3(-ugS&zILUKG35NrgU`H3ETSD%2U?g$D)K-0OM2{ zliFwYV@5KHV0R~^WBlKAv6y!CA#HA=h(2zKj;rAP=_554-1Ei=rUmS7_aTvIB69Wb zqp<{=(EQeG*MUN^FCoR6I8*5~Yb>34`G%pY;{H`9wyD)Fx`gyJSi%E=a2pVfnbEsO zN!P-{H-6j?5KW&vU5!WpW5g>(rDs?H(aWmJvx0;u^HtpzYxt-IH)B@5LAr@j!2MpK z;P>P;ps8X;SH+eyP}mwP3d}|}?MN{9Je<%kuGOG`Iv0-muCr%6RHma0C~%;7!=z1g z%Q84(jM?%23XSKmMP8d($%Ahved5(th_?EK8_Y-yC=v%4#f^f|l((TgseNgw{SQh%+noU2RTzE@ftr?n$|*v5^#F~)HE;2h}Ni#z^k4z2>frR}#S_HPa^`q#4lj$3S}1GuS} zJJ5h?P6JiWQ{J&I%$&T285!kLZ}GkjRs$s~9(mSE-)U-Zr-Pl!c9Y+z(>W2>+Ez zGb7DjDEv3pP}z>fux_9w!OU5F+Xz?dlEb=n2KfL6)IQSc0k#%|0_A4T`f3$7WrHwC zNzfq)Vb&MTE)=zu>w1`tvZF*^w-b!ry5HygXSw8eF>pP_E4Khp!SUukqj?2ntZ$E` zdxMUW zk21rS{82jb?5Hp^4uEPuZZH2_qc?W3rv;K3QNqc~7l$!ZFyr(kz{_U%*$e1^-ruJ- zpSOIQ9@qnw){TKWuFzVe-X{#x1@j#w4*k`NG|%r*;3{ef7DN7xj#dJ0kO$9hI>C+T zncto8G@IT&-rHJ>?px=fhJqT~;6j)S~*GMh+q8S%(e$9L5p7v>0}xl=L{r~GPP znqC&X*`YbyfR|}j!(ybgJ5lj%9-wg8_}#4dz_>UIE_e zmrDE8J*!mh$we);mIXp>FShI*jqS`m?!AWr&J;%clP1wpQ+?3+CQokYx;mL=JYn+R zFwV(%;5GM1*Zh|*@eGT3$IP33+ujv?)mX&`SzogB_gsVlDSrN@mSs;GB4euB5N>1B zikYD;c7zSj2Q^5qi{Kxv4+7^zR$Y&xeb3}qnXlsP_e z6zBuK7))ALJgEm-Fm34oPbBVq)Ct`77wJzD`=x|2M8K#Bj}`5d$+*y3pU ze)nsHI4)hNkcIzP|i#{>Y$#!si@vJ04|R;J-dvR`Di9$MCWs3nCzOms!m%ezHAe9+NilhDeN$o|#nFjb?|Wjb zRW;N6+-fcY5zTdP1<60NzX=-_#m1m)z7bek$(wZt`L&&5puqQxbJESMX54UC5tomb zlvn%0sTa?WAlFq~IgX5wTB+oW`BUNdwbih~W26bkgW^Ha2ewtp zYszhR|4r@4gx#oTeDl<-1YKIaFt^dHIVW!;dXsfGW-D3Y>)VQ%@vN|5G0$g=sDlx` z%fCOmhUi<~hv$OGu@2|_sQrNA`&je2OU%6|h9i*vWyn6i~L(`y3|D`;1G4`QKi!3DI%n(+0 zUTIJCf~$L8#ciEhU;q0gm^6N(^Dg4Q7dI(L6%%g;G{kpD{5%V-oVig9^W^gu&`7p$ zWhAyMzF6#z)lW(-p77!g>+MJjv~;#5V71+IZ=B2(@qJQCh0yLgL>-8k+s#AJf z+CmjzF+jY3Hg^_MP#lk#S$bUA&d#%I#+e8%@M6gG%!(B(U?}*Kn)_P7@3S?qv`zKxXS1%cB8r?@)&}g$DJI0l**hxC z?1e|OFVQ&msm+eIsUm3xaE(ccji7i_Jgo4G5s?veRI!tLxo3NE-n;7l9zJ=ZKc@G+ zU$JLgq7LzHo|UM0vGC`D%2(!ry_A2G?|-qOV!l~qUQZG&m3P1w2ke^la7$MN=cI2K zXDDDXYFZ6Sgec5Bz6qbyD$8^%9$Ro(n`O{onL}*W?RR2k$lQb7Q7Z%c|K4vRf7rf1 zx$FzvET#&d&!KRJ6WNj~Sb8j?zkIw>wAE=orNrR+8wWT7o#)GbeXND;X@GZ25iEwm ziG6l(hF|lDXiV>YY8FCd#DVc%(TORWvb2Y9)8o2EznOEVr`dt`bfIm`>HnsOX3C!5 zeJ)qc!egxJTo!XU#_o|3tHWRJx&XE7?k6!JDZi#Z+OiqxF<|9nQq8R_F0Iz}j|w}F z53VE=ZtVV-lTv_v6eS3J2z|F#aOQ(q)84R~fZhSv6#MF9(Fc}6w@hWBrO(3|GNv14 znL;=O)|G4Jy8dP|pgA}y*Do0S2Wab_TIOVncq!BBx3%q;aq*}XTx^VF;j5Md^zYCT zv5RVWFId3yEm8=qS2ft(XC@Gkl@q7^VWj`g4wn$A-z!v&N40^c`8hv8KYCQwLZ3h~ zY*ad=Dz&~USPDPvrgjK)1{{y5J{I`@_?ki!mK0;aWj@OWS_CD&Jbcs>s%aU^0(L&T zCJNt~dC(5DJX%U@s^c=NLctn-4ki)qz;+BEnob1p&P2WXbi}tZ1&3uSFYub!tN{X@qZVS59Xls{F^j=IQ3~&3jAO~D;?5U)D2bnS zJ{y=v0P@*DlCQp@89ivXJK) zYM0imd|eD@U}%xxdT*6a`DV>wDpb7oNTYU%J`HKHBF)t*z=W@?=~v+kj0<){vgtRc z8|Y!ZMRSp2+ct-7*B1S-K#BV*Api0)r3F2#2MUqaDj62LmWgI3-F( zT5){NS}Nt;!VKURaOpTDNr8*j-ZyBsC5^Nd%$jRU4%^i{akKK3^_SZ!xCM{W>YTiO zJ&`Q|cetv8ALIs}+UX`a9yQRVc#UL+fUU-sJy++vVo&6SVfWhG@?8x3g|UCs$@PBI zGcx6!52w>jSD(7ZKFCPABjv&D6;>|5<0+~fR+Q_!m6A+_vhNTAxMGCg(eYXeq~;}w#Ujc zES+6*^>T_cWQt~Btv9Zt`&|YmFjVRUh`-~&aFxJ06leQk#eG8zlB$`zzud8P1mSqD zaB;>>M@q}tgP~F6)H=V_Fa&&5;rsRBCe=~q9%){P3$%u1Rl8~L(OjkK-}0(zKo}v! zxs6-PlsnB7QR8Dgs=6~+{eR{}tIY?ToO&`SWe(O4%m|T?)O&DDnW(09pfJ!%!U)3n zHsAby!RZyoe3(l#*>BY$NmpC#uxNIZ=pJfw`|gYUwsj79YPwE<+df$BMrnxY^9kT} z*Gp`H^ef}=;zaygK)cgd+lvP{` z4p-+q8ro9f5LFDL{)~F}3^1B4Pl6gpDtkUNYqW?kB*&KWo&OYsCg#e=B zAT0!rZ@GYtn}(DdT<<&%&m+hHNl>?GMV}4ird4Ny(+sCgvxF$4Tk`6yqyhJ5bau^n zN9R^(azq`-?Ep~BH;g=j-Y_C^CtYMz3FrzxYlTzGY}aQ$Ohkvk^d(|pYi~1x){&4a zG+^tqG{chzv5`1hYz+lDAWB*kVA4UZm&Sd$8FQ&o>IH9aOb&Cax*BroU`a$)+@|{ukMCJuJQj- zYNwlE{DJBnj-9Et5zcRG4bNBSKA{lnb=oeU&vLa+l7df~gMr z$uYOL0dba%ksXjp(-t`z&}t-gylKd+IB!I~&E;6(w=L^C352_yBn4L)@!tnDi3vbhbnfd=ncYL2}7Ant)q6_=>f{(vO zL%}{=PTs@r#Y6)Fb^;r@1!2_p#!BzJCmM_p8vL3iwDEj*T5@*Mz zO<2Got<#@m`O2hlmfTYP&hYCfKSPIH(>ONhj7{wAOjU0{MjDr6{K#NMvm(6Thfdhq=Xtt;kOEGuNj!@eR~Px!Xt zx^5#f63~)57ce}YKXPA7S8{CX-%t&bQ#-D_LN2uQ)Y-3PjLY~*akyFFxSpQUhDl&R zQKt%L3+J$Cmi`UXwgteFlS z%Qp%k^UHbf(==Fy%hKcz%eb{4>s}BdtUZ^mw;2}*R+EC8f3ul_dOTj38>1-)If_|+ zxC>mlm8-4iRyd=G5369Vb9p-s&ZR%jXH@KwqAFAk=w>d+gJAt-Wis1> z=dH7E@S&K%B$%y1)O;7N{Xt&Vw1o<;xL9f^11Q~A;msltr!W}60qfTY{6}p4ov2ei zYkYz_Fz4_O)v>A@{1&IlwhC3PCc1a7)ahw7QPLEsHxZ-X9*i=&n}x8fB>uH-a*Ri& z$GiZa^1s;07!k|f*HviFlAuOweO?Sif z4YJBF)oLbK?|8;(0R*X(9L*ScOmUT*Mzdh58TehqCIoO4OfS->GYNZtYn?R^F9Y>)nWD`mc`wj%qLr zdu=g3%HN;KyMbb-l(NVQoXFBKqUp9(Zqx?X zEs_It!w**&aNs|Ze9{>oMZ?wEL~k8lP^}QS=W&{C-U^EcE4xqp*m((k5qWmefJ>>Q zjnTE~(bII(#;P1fV53GP|D&sMs6@!L=P;wGf`0QEu5Ri7CL)d#f;6T@8JcfY-G(h* zUQJ_NVP7VkQk((~Z#PSg2K*rKbu;I_x}CI(1A`tn0D%2%W$A2@&d@Gciz-v*NU+*M zzu4iPL*t%S&zw^s#);>Ab3DsJx1bb1z3ZE9qH9#u?YUL{f8<0-v6br}43k}l!>@aY zEIR>Mch`=6`F>mRxUcig4_>C@zlSL4)^GX;LGt8ZG)*c8#X$iT`*>>Bilz)`!x=Izwz*@GoPrvPhXi81g z%+4C$<&<_Bf2mS*s`Q+iIIrYkG>2BPu^UiSl(MkSApY3g`4AuN_uz+g@somhP7aRi zo~KGvnum2I1rXvoKnw%v>bXEc*^S-ZX z>Ykw|1t2g@>l9+>hkN?!jBLzIF+U%oa-?}&B<x?Y#Vo|MM=;6ub(STXDz4Duyo! zcV0F`UXQc+8l0;`BU$OndzJixVol(E5Wfk4o5oH@eZ)mzN5vovTzozBmd?H? zVFC{@R7)DCr>9QgJ6P`n`=jaETpfmF{}8`^OF08B3uB;F1oU9xSP)n~O`J~ugke!u zEp&*{D!qMG|JvBU{6~03;Q*}FOj{c!iFx%xIx4KJ#MR>>_z@Z+rEISK`1nm(6q+6s zII2vUwa=;TXJXlNZj5Sv+0Og+?mzPCqlgq_(A;!x9Y^5J5_89QeW>06$jtQ@|50+jm|akm%nlE z^_nKgn{gR$$0r5DQ3@|es|X}A#Y7&Kp89<*6WsLX9d0KA*YC-cN2!b+P3jZMZFd-M zw1-zobTY9_mW&py^>>6725 zl`5Kv;*yxBD)7T`fCXf#@kaU>FxXp;OFVr+G8a3lxvpICbu1vg;CvFCZY{4a!2|1d z$q`;!eJn`4ewx!9qEh4dG7D|Un1NG!KR0uk*Tg#*BB>{<0I*8fEx>A}8o-*qewByw z8JT&c8|1y=`cT0%9C9_9yQo_0M0_z#9CR>$Fv6V?q1z_*GD2m%!7c3WR9d1Y=rZ~f zCZaZNxd+JS6G3aS#cQJC3?G@RzzC=^1u%Gywcj9xQ%fT^Of4D?4puzUUF~{C^&V}$ z3#X|`o1G$>%lCR!#X9&P7ZVwS%INmBLAlSnXZh*otF|_*mlq4((7*ZYjZ>K6S?zeR z;ztR{=3dlg( zCbQkqaklpIApFWV)7KFz#Dl^7UA>Q~!$%txw$a@B^H=C_Icx8EAG;8REv10Q?pvZizF-~hx#@F(aOa1SN;^?fVZQnxMZ?P=Y z)CUra87VE)xyE}@wq27)@{KN<3Log>76}67!}n>-=r@8}rCd(VN&mlV9+>uUGbu#6 zGc#pg$`)X_Ba+C^RA?}_S20DMx8dubmy1$+pWgEn7&|EkApA3CI}X_Wnvv$8 z9du;_AABJc(18o6**}wLZK3&5GdlM}K&;Bi`K)LLEAK0p7W;v9(6Zj`SfR!E(&PoS z=EvOoh-C8^jv%tC(%h@6>&*R|d>|~`|E?p2V~CFTb!>YYlK9CPTsk^u>c;u$?t+U_;&9+au8tsxOQe2&3Hr1;@USPry8%aMm6nT zFabWhF3saD7;=GK7&+Y>P(CU(PXUIZw#^=QZa?X&R%{0R{Qe9U6Mx4q(c5>b9aXNG zic@Y(q@bO%8`Js*tt-0vD_Ifkaec6p^0yIoxBdWFwgXcI30azcCf)N|d-PYb(my)x zpDHFI%_?!8&*DB|(;dfZ_$F7qZSIw1ks!-bMlz_Q%G`im@$+xA?@EjcKSxsLo$A%{ zL&Lw%=|uRsho2t98b8>ZXy&SvmnwFtiLod5!LkgNhn}s5DNcq$bU9oX#8SR@hrqg- zJAB(2dD(QB%SNU;!yCL<;itHGU*Hxy5h=)<`N+(C1UpeYa1AK=21|ckZ1H-$4WJ(t zdJxpdF;M|aljZ$y8GHsbFZv=JoGKJ-De$ZOqBvz zyxe&sLq3|%-jB2*kOdu?5!=A`tjJ(B^&KB=bvHMH0Qo@~^}T{pJytJgZEq@_TL;c8g`5BnQCQvhxcp7@g>ydYy$NkF0fDi>gi2*4^LOs%>jA|vY z;0*o$FlHlpca_gGln>AS`a!-V8-m;s5_lzj-8o`+`GKli(|_tdeGhMIZ&)80-A`xU z9Ua1QMpj-l_i?{o-1D}cRoTwDqRycW)0h*=bcQCfp#AT{xbx0b&wdZBCI!Zuhrp2rkVSL=S-ZiI7|HyRf!NtHxGIBf-eFvw5<9J zJt1fw;(ewJJW@)&(=WGRU*8Km65W5?OixX7yEIvEst$d!q*u$1MCfJZN}YH`-YI#u zLrb6>_xcIiRL5S9wHIzjV#zRH7uG@#a&LdIOy6$Hh%ILPTL4HU8m+G(v&@kX^lS^w zMBzGfN{N@!pLyv75g?Us1{(J@?i4)BnL7__vC0RT{vfmJjzDdUw(aDro169Q>pS=t+)o#($wQ%jhUN%-qO;s$Pu{V zOi$+CLZxQamoY1SqL=P~%|Sk1TW#E)(`e<~?|ESarrv$7y?yZ@eO;%l6Xjwe9Pn7X zWQAR|ocHpR(&+@Yj+ubGq%X8g?~4;65v} z1HqweuDEzZ#*<2Dq1dkItw~MnWq==aK5nBgidVg^6qrMzjWTP+XprX+k(k0-7>*17 zi05q}4&DDB_RIPDr;Q3j>`Rh^m&W8szW$zXRK{vxeuXa#Myz}U4R#iOB-rLv!O9*n zK>3$o=&T@t?fCjaKH*5VC#2aR<#&KO%8rytW-ZQ94D2TQ;a8szbn&ma*FTwnLb!By zG&qxwjWVm(W|8F(-#(kPz!329h$q3L1Rjp&I0fdcN9AT|vHuf?UG}i>IJ50(ZoP!p@*+U})jH znuUgQs*#XZu&l!i8eCCk^WanM9aFtaD20snP!jUmWj~Q>GH_?5<>ji1xna+aOJDVI z)SG_pgdmIuTn!5!FFq@Z8Ekutqe8SAMO8j`aU8v;XNK^Q2BwG4E}W>7#Z4z7-CAZM2qXdHrk z<#a|HWfQKJIiHR9DbABWoDTx7ou{Ly2^yrIdFqw)%;FLvSucU)Yp*i?3L7H7E3Zw{ zqIJkDbO+HxA!-GZqo90aJAOcIkH-n8+(IJHC~blQY#P5NGWw$MZYDY`kTc62Mrv}z zrnnoiMkLu;0s>3d{i?GEypm7n1Tx+J^1z1%wn$Q!)vu%!8qGqU=2cklh#iaaDZMr2 z(0W!U5ymG#SV7uOz4gq1#si6;ILqB!pYlx-(X`e+D{&q{nVK-TBAYir3?3wajvh|| zdC`f!eX`rB(cgyA`krAZwdJ_x+LkpEJ2eCE5p}miVR7n|cW}k&i7Ne@Vt;kNTKxL& zm9X5psk%IdC$+3`t#VVQ8JLK$QGJNQc}7Mdth_7wj?)mP2Ei-f(J%37S@JDKTbXSIl^g(Te2l$debfS4s}Y0?@QpQW*-PFBKa@$| zaNSM`8Z5Wf)#eRiP5#}aU_=6_o}dDc*oy+VN4SIJm8$Sb{kAV69i)_2g1XXqlNIh_k#!H_{Dz25zpb#-yEWDnt@LV zXOjoAk6SNNOB3FloQQ;WG2}ky+3lmo%9HWpKcb5}s^z~ViFxB#cy7)WgV0=7ZFmg= zujlx`@$uvpWBK&w{Av~K2|o5+Zk$Gtq{gUv*!@Gt+Ieb4*O3zXTRw~WkA6X^toNqb zOWA`z`%9tzAFd0CU{UBSNwK~+mdn!;UAu0`B|Fth-vE?7LoH1tSF%E?H6a3cc^Nz+#i)2)QBKt$kxP?OkkS(kA@m>J`{m~&%r3 zFk1gkBOl*->Riz+xG@{kU*96qBP`CZ_9@>n`_ES1%=DS@<&#go6y4PaVt)I094o@@?C^F>;Z{c=&<_K zF^1)f{i<>YpR<9NMdF1t#p&7OzvpRZ~)UhhZ5{jB-)slRcgRruy9Fg)~6b*ZZ*84Bu0XZj&@j5V;z z1ypI#$3&a-bFmJYPs2XMFe0B9ya{=3t`>li#t-b5QAnz&D5EFx)#il{Z^Z9h=+`?p zBgOUK6TA1buKKWR{d}N=1k`jYx_~Mhy&E?Eq#sDEa-Q1_vOffv8faK57InGL9O2An zD)h?kcF*+?I({`Z!HpJxs_a79jjtypLilV8?S8_}sV zBB}4Qg2)MhoGW#GEsSpAYq{g7aHYkHqA<`qhmgwYisO=fYtJTn5#;#9aLoU=o*Vb> zwln^)G8E51ffC4?)9l&JhGDx7DMW09oXcl1T7sFseuiwlH0bv`Cqdr@B@?pSFO8!3 zp{&s@3-vwf(7MEj)%HX^vGbzqF-(4ZUC%x+rNPLH*)Nsac!62shPBG?a0qMVB^|z) z!nTO6YOa)qLycLX?Yg}8gEKo*FDPJ+Sfqzit3%Koi;Qm3TDBzc5NNrQ$L*&Yb9v>5 z#>K9DopGhKL}0P^);k~XV3Mjze*$OeFPSrW!wCF=Y+JlhN2OXB8Jh^HrhQr0hl!CX zJJ)|{Ce*R#>}tcD!TdUY<+}KvN-`JV91j-Lkw3 z7DMt71$9a)*1b7qJ;?iGf$+qQuBOD*Uk3S>{A^Ea3yOgQ6=2$ndDYm#ywnnM{>|-adPzz z#puF$k`681w0X*Ln9s{)y=Qmy`w!hz*-vJhM8FSY>(!<~eUR4$K%(K&-0D9_a8tN} z>2`QPw>w-hu}kxu8c!eek=wQ%(9~jcHDZsai8l|C<<11Ox=}1XfKTi4{VeMz6CCN; zhvB@inQK0CsqKTXpKBReal-#5za}3?s;s=8)j&(W<~knDBqsd6{gFhlT`UW0#W*<> z_mJvq(^Oa%(2#c>L-W7K(+Ucx%;jgvG7(RE!G0!8MIK_c*Q4l;mftJPEi#GhK+55( zPmN5SEb)`iez0eXfZS;O@6tC_RZuhiM8?owOK7iFp@6ana4<3~RMCPzAJZTbPvwl3 zzSWoIS0SL4#UHbKyg!_ake{W~v^FEAMF9~{+J^b-$8j@sr$&!K9yd*|ceyc~;|nbc zYPw*m1>ph%IXQ^bDZNDMBePJ;oX+8b>o+b z5IGHUdz#H92S;ti+|2DG>9O3c`yU%pTz$Uig+7|7i2C)F1Lg5u385?$^y{4Oe4E&n ziSA61z?GD*$`9rU9rT*ZFN}YDv<6^n<8c}M{1D{hG|)Lyz2NMvy7h$_pa0~W(x3O~ zl!ZLJfORj#7hN2d2Ru+%@|1^w=fSq`kQ2UTPA_ZxfY647S+u)hr4z>76Xznf#m;8_ zO_Z|Qg6KX@Wx5TV#O~yd8oVbR9}+Ih1CfhreJ)AcSzw2p{F+y#gWldPrt2cm!WkmD z(H6{wfvA(sB$2!YwM_%?d0IJ1%3&p0*Ws$S+T35BIlFrIpkrX5B6X|3b48)Tb@MZ(5hf4ivG1TuzhXJ!R%Uty_G% zX8bLN(d)XIoPkdFo(17F{Lh5k(*{cLW3@J*7^6ozk#PwnByZ7fM8hsoJ8tEg-a<;v zie&eu->dt^o5T1SD+ zLkx;3CoxaGJ-E`nF(ONMBO|~U&{wCUAOGviT;bF?23PnjZWVYQ;0!$sbZBU1`~_3J zm@d$FjS1YxhsI7Eej@+qzOov4)J78Ls{ z)lZ!vE5|d9en*e@gcQkR?{aV8NQ7J;Ne9>=w(du7{s&0+uP{2K_Y{gVY7}qc_(c#} zDzdT!r1t*NN$k?4R>>^9T-zZ$9h`4?)d3y&E$>pD0f$F{wAv7RtC zS44$hG*}=AW%=@PM=h}}SE%lU8l(a$zhC}ng{tYEtws@gMqO<89+9*^5&!|U8D02O z>lHd9J~5A_C%!|#k4GdW8ITE%#<^+h>mh~%tTUEA9=mlGJ(9N{%vX9ewMCH(w@igsKta5xr7*u~#-@AbxLsrP-z(F)(7_JYvgcB^I03 z^OyI175=r(;;1Drw?4W|T&Q7{kPdKyLpYI7d2`<)8Porf8J`&*T-rzBB;y~QKsV;6 zF{e>)U6YFaQ!mqFoDp4gR+Iaz#HYlni_OYk3+yq_gXgn6aU}4F#3d|80b+=LMAbVw z>`K?gYPqEZk|{(Na{=CiL?oBX+PMKXcgkyq5dKl{#u$0tJB@V|x$h+{9t8LoH*a)0 z0h!0&R*rWwR;dtq>)db-T#0w6gJ#{ya!K+NDmIXst88gh7C0mnu06Lg@$Ah!(7Q~6 z=->C+b1Gz0wF9n?od$9SQKf;S%&#}2YGh#_OclrO8O%a@!@U7uTVeT1vlqjV2kz%P z-!B{*yLLT;k!r#ue9A(fi6?dHl@cYIXU89--2E^U%}VmUvH>2|`m$$rEoI;!;@0f{ zVJ{6gxdz?5)0}d1RT+G`=@1*PR#WSTxA)3-sFChw%mHIgR!LiXSsT12ydZgs$F%j&8W=hZ!U zBv4IDeA8JRPIrNxjKhwL7+kmGr)eF2Rd5GnNaF_<9i4yj^V#cd)wir4O|X>URGoGLFJ10awdEI#`&kw<|V`HKH>U1YtjNLIGp zr4PXyjq>Pj$Wo(yfFTL>$n8Stml^(R0r|$?0P&U&!lcb420H`anyt z(BH;s(+c7--vW!mp&b=(8XWepe>M|RvYeg~77%3N?Z87zK=;+v>^%877b1}9fjfFu zc2(fx)*0bYv6$6z1w8ObuCwoViYbdm(jb_hiVy4b5>(GuwaiWMGX%JEMk=KWWnTe! zF5buKNdWV(`h>5^f}t+bdFysrl4YEesKg8(Xsv+Ibjd4LjA>nCTJsM7ey*s~y(vac zk=Kl>x~5@lX#;_2^aK4jvP^Ha69>l=DrRzCxWzq)hwEFC-&f6k=hr?K3HvQ|nh|ky z4H&*tnwrL!UXZ2K_^7waOzis<1WGx%jF&!s91-nklVO`i`n07EJxViR5wD6;eWj^# zX1e$-^#c}Ts0LnZ`yPhLYgJSq8B{gYVD*9%+_|)Js;abp6m5rNB?T*IgJwZ?zS+vA znrYt2BIP~#|D)(E+?s6LFg~P_kW!FQZgjjf8y(WpU5a$e7&$}>EO@A>`#jvXBL{oGfa=kI)5EI;!f*XNspBR3PHhl?bS*Jk@)l^scq zeX)9CXqrp7o}GPsw`1jV1DKIGU`7gZ5?N>H7Mqw}DnNIo-H%wNO_wHyP*vD-liybD zfLD06=jW*C%2dE%o3(OHTdB<%JFBmITc=>zHc@l*fd7pgmCGpsp-_5Cx3>tEvEsFkK0MPDBj0qvV3f6QmI452ynK^c% zR&n@+X%|?MrgAk{F<9q8US|XE_58tCZ^v(5VY2CPwol9^(tw{kpm^z}s2=|n4EA%z z`Jp&WxdBG%X0Qv7Ht3mA=?yfKC6I%yUpd@*?JpI z3)7P_`?$m2(tBb^v{jJkY*uk6nRrIfXL?-5uCL99m9FLNAE~n@#x?gsI_NKo%QSh- zIzT@#-%O44QeO=QltAecmyD&q7(uS5jWh+CmoL5bg=(FRp>icbz z|5o_j(34s%xAG!~4OYtMOLDy$ooh;AG`##7HD!F9T~=OsJ+nNsJZjmTzZ-_Ji61rs zV`hW&sP~-a)(B5>#KW0bDKhBQU;C8e`efr0kZ8?)9j%?zs~49-3e~PmCzswC17B=_pimd zvcXk|+^vk3`)Qip^h2W!JzR|&KuS;gdnP9gTKc^9E~5P~KYKB`#8VBV9==qL{!9HB z93zQhE*wsRz8`AMk}#mxp@^Mrb9ZUe{AQhf9Efsb%Rn}C7GBSNSSNWO%%>TWQ*M$M zlqUBNZ_Vo1LA14_7&A3%P6kf)7m3y9JBon%+ z_BZoxi5|cE>ddxks!^C@puE@}d{x+2SHK;t6_#>-qo^~E7;{0QMZa)~Uwb2&`+B*^ zUc&H0|GjbXFPK;|5LnpV^3H!u4dV8*>4-3Q)Jzfu&OObrr&=rX)o^@4yAT*n z#OvDN^`Z2x<&xjb0Ac5tXNVICepXrV3ARVLj z7`Js%{n3K>?O%;;#uXgOE!kE}S5GBGsM~ns{f6ZfT_23GJF@!V_GFMF`eohoy9syR zrDxboJ}L~4?r-xA9yt9yN&}8XtKY4ucF;3#3jpMtS3|aJ?Ny#YCRC6x+xZ8cd~y{m z{O3%N4E)`JW77Trq<~93L5}enR4dq5qY99at_Ig}=bO`S!`TAg`!~A;f$#$PZ3VjXC@8DNYW zM_+OSsIushdtWdb$NED*&7%@tEEm>_qH(d~!hS|^CqQikBo0oSM2rhVxVhpjN0&R5 z?)qu&!g{IU`(j$tv!$WR$n*CkNoPZIrB!7kk(L66L0zOHVu6({ip;o#x}ZdUh8T)8AsV+ioCazyp*y9J z2yn<*{hj}UiRTI{iC>-d>1YY6N|v|3zD1q4i zmUtfOoz&XK+h;>idolB=AX`-o_6cF!MwEOHluI#+ly=|YRqSl(s;9Z%SGK;WrWyWW zhJYf;>tujPT4{6KiO;I=NeeOmzRRKz^c;R(Uc$bKIoL(o92abdG@M&K8`j@U_FFFb z%S|wRdt5r{46LfApJ2mIn0UJPQhl9(P-ys8?vIL=sXY<7`;)D7HDL02Hqz;4QsfGg zr2!!msg@AcNqbQ|lWwY=-0+s%Q}e3vYA#tCbtY(}6%q>6!n&WhD{# z)ic>U(g5dvkO0n@>5h~b7;3);Jve*VZ{HxJF1?luzCpvmbM|L2kk*-1a*kn0t%G}y z@&hGrM8jUZY3}i$Z8#L-BA`jb#JQ6Pjsd5fO_=DIs&@s=mRu9NG41XtVSRX{0rowz zFx%yi*!Wcb&*aZk<=g1~Q+ifFBB5aNQY7MbmDA zyi}6w=ESldCvZo~RfNsuG8mNrjevEFuobPE`N51lMA(yDU25y}q5F2!08c(1mi=e0 z?|eX{Ba+kF)!5Yc9IiwH*X9G1z2V*Z9kfHYMk%-ivyv4e+g*$y3e_ z^(!5;iTr$z6DcE+flEAbv)G#jL023+KqsooiNtb+MTJzS9MAq_sH=?f_uvYOMi`&; z{nk;e4nH;w)3y;3w_-GXV8+U=YZnILu6FR<1t#W`Mt|g-S@g}AT!84@&}jKuH0ulS zV_RsN??yt$`wQjwE=F`LUxWku(Jl9vX<|5_WUj*F8~Hgi!&&hXw{;7o7^$C$6`d|*&nQbp@L}w%1BZnwvUHFgcXI>wwU(ijm#lG7 z)_K49o>slRi$meW@;+8xns`QMO9W!O7jmNHFYaYmz1#3khl+O66XGr z-HYKk35wuN5D}t1RUKm=Zd%U9$)x4zem(n}Iq9v0$+)H8=M*;Px;fUqR(CkvbqzAI zNzJ1#O8DmV`)iRK;5ekzv0@48xETc~e9#m2Rte^WQB_k1Vq3bCq}9c^Z0=X=94Y#J zo#TpmB9&b@drsY6qi?I}6BvJCCIoz!Uy*hIJ3GNX7qS>qGj#7Q#qGbWb2a$=MW{&M z-o%ZbTnS=aF06vbCiL$8z0jKu4HV3n3G(D&oI&}cM6P(PuZc4gjg{s*omr#inQ7O= zns$C!?q~-kW*uT5JE!wd1L{VO%gr}jkDf-vp)M;; zYyy&M4YsZMBqym>GUkQU-Y^VZs(CJ%FmYDpI^TMEG6N#`@~j%G$?>?|_!#{42 zaLM*p<9Vn+n+JxKfLsmOo<#diWYspcp!Gb~b>YA`>HcR?9QS(yj?O07mSf|_I)VTP zBTU_253h3NA9f>bu#QO;lPMk=SC_0uX}$&Wo@UY+dj^8}u|O|yP@p3EU7H*TW}tlf z$?lZsZcC8~ST21+m-zS`gaaFPDD$FtgxnW1>D*AoAS$L0>bn~p2Cx^SZ zCJe%@D)u_B(6S{As)fCGb!h$S)9IMJWt|?!!P;xJm{;4zA4$9pHkn7?S277B1+br% zt)t=hYu@W@r~jZY2zU8$l-%XxBL6tMe8I|nyD?p@xg#UY{oina2X+)FH$=_@vv}tJ z%i2AOYs+gvB%}q#(hk%U~kh$TLym)A-=ccy!E0 z@h`O-`Moz(edm(+cN4|m-Tu|pf%l#2XbZ897-<;aP}*#pXDbsJD=JL`76Uv`MkhDw ziWU_k#N!Vxo2t4>jD9cmApbVH@270{NK=Pdrl9|;5b?gf z&9WUpdn%q}t@aV1TICgG@P33pUN{jg><=;QT7kY2R@PJ>FVYqLz#j%WVOI_q4>1n5?UD_R z$AZ>p1)E0yxQ;@^_^SUieDma(TQ_pg$^WP)F75-OnH@+_+%H3BL z2l|8t&cB}K8E9i!#MuP5G4h~l*Pbq;le-xG#W3HqEqf>80=&8PH&oK8(pX{e?h>5# zWJPKMyeV&ESEeWML7(GZZTQ_VRH^}({%n(@A}MnLWJ+ z1~QEeL0?9^cv@uUi|p-O%V`G#sj1~IjEjS#PY(tZ&Ktf(>tdBrUQ`*WV{MT9&twMO z@nOIOw!F`D_2wXHtym<5@o#xkh2U(?t`kHCq`UI~=b>K(4#r~kCmO||nv>w&Bun&0etjGR0h69}!$s<@sSK3PRh@Vxo+ zxpLP8SYLZ_AeC3X^}N*NEEIhpK`Obz9y9EMqUY2fpem?8qCs!Aycpd?sk%ILKEup=}TpjN% z*c+(obwgh_i>&tU%Nnm59<~5tx2wSd)ha#J({Gj?P2Hb4xs@#H%`;4n_#C9R6d%ph zN+M>eN^O3{kWHAW?)H(x)V>(95}p5F*N?hv6_N~AP!=6Md5oNRDd_{ftD!wT`PtaD zc~+kMU(+dWh2t3u_s-c;qHvc*AcJqZ%j|${^=h7)TLz)8`FK7zzWCx!(YJ5OCM7@4 zsvQEwR1<{aWc9=UsncU?ZeKXo++xi4>5ZR(@&x-B>>2{4(bY=oic7)PMgauIv<&tv z_D0vPj@)iNJc+t{AeXAbP+Gay2Px?G6s^PKw8zQ~a38wKch;S>ts*-{&aHMLjN!236VUQiD&A9?D-GFYYS_ z2VHUEqfZUC-?vY2o>d63bDiIJekbb+&X~j;jL8>a$d;bvR495!-ma+4{;$qn1H3s` z+pqQ;S5H2lD>9NVv?U0%(37vKAoXUl2JP>D&Swm-t@@)J($G%Q6ro^Ni+@yuqN}-@ z>(X<>pyOnqzxQIQQJ9Qgd6T1~_Sie((nBgBRiCXuj?HaV2Dn6e9#C>kwOt0S^%OLZ zwL{a9^X!{L&R-gLch7G~-Qi=#B9o0{V}SvTXhEYf?aHfuF6IJtx|GGhy*?pGgWwy7 zaHa;}4q=RPO>@#rUIL`-w4F-M!Ly3&!M<^WdZD-Btlhi# z_x1qp1`*@abiYd}geTv}kkR!~yv@bCY z>)nfQ^XUV24n*KH4S=2jC{k^H_3$(EJ|GH{4TXK4Q?DS6SU963!!)&FjLqX@P?zWL z?|$soR5f7Kf7CVKxRXxSOy0Yfuzp^inV%rh42CKzZT>5#OzDqh+D`89d&gLDP5kJH za51G<7xi&hD~-B)D*xJ;2R)dc;4jSQg*ElnOXUXPgGC$^Z3G(QCZ#BYA~&ht`W2f| znM9K3f~~Kzk6+)O$+jD|h3(QDSRZf87(R;W)+ao7$Prr%(MkqRZF7c@Dai&RQUE7t z9z1-|1AULWTjbqGAZ&e8t_U|F)9$BhsT7w z-zcTu=_+Hx%JyX4^I+&`#Zr;M|MzMUH-};&^S5AHitKGEHL$d)+};DT-HTy@YPrX!s)3WA`t0DdZk}hm?ukmX41_k zk!7Q`qnL%F**ZT5pVJ2fy0GNK=4c-8jIiFkmpWBdsw^H?S#|ztDmPSr&bcMVJ(6Of zLiE1h^zQKqowS)Gw*2e!22SA?LsP@5)pqMDW*vj`qOeIiS-tgt=Lu>1Wt}R)p{vZ- zn5{rN5cHj9FU@f04f`?rVC8@hBe4K3eSr#Qkl8;}J{GkVie0d=%+2aIJb{~XL`414 z>RtKtdWU~#6Mj)IkR?mb77Dl7;)$AXi>X1Gh~Q?Y!c97vAJEZL!uK4PO4a?Fe>rqa zD8~?X{N6d(*K6l0b^{4DrxVoswH05kSU%8{u5Tf)Y%BZy1(LM*xc+^`D1Bs^-KWzL z{(HPr=4mTcv$q@mP)ev`BpG7Q5AvsmR2TvimdT~Pnk=_={%cT#u6{2)YWAyWF(sO_ zlc`qW@NftLc0oT{6XC>bs`^SpYNF`TR7fK|34<>HNaw)yb7MMalQ>hR_P|+J*)4ZH zGgn!+(o>gAmv)_$E`sN-R3wibf{nqBh7*E=vIbuy(jVbfMOY?pII4KT)D4{`tX;aO z6W;wv|U{a>Pau$A8$Ju%U(%dRg@0^(9wo*m_^G)q9G-*atb z$}lQqoLZZHQAtg$G_{c{&Am+jwqk|5|JfjGqfUp;Swxyqie{tv^)@Q0##j1Kz{-a? zQ)=Zxuqf?FI&p~KV4SwJE{~-JP%Ix}HJsqi zSPpBG7?5x7)mZQ~|+jg6$lQsm@)mppX5~ zUq-_WcdO*HU)E{e08yMCnPp4Sa+%0T7kFnSrAp^5Mqn0Sa&T^bFCPhIb{$U8jk;iK zC5DOjURdhIi%JQ7BR6fIwl*AL^)Lk;kI{nAkr*!c2S9+#7YwgChPsQppFN&_&7MlEQE)bq z-Sx{Ku$K#K9g)h}#9T-b9(Y02+G|>W=G|X+dfFSrmXcQAa=&Qiqn`Ve$3WRe{hLFm z5XWEM@tRdTCuoG#Rk#-l_qWBi(?(#|D(SUU8hN-jkkes^?U!Uc7fNR^UcS`50Qi+( z?5)zW3yfhEx!Y<$1C4~WhCv6tlC)i(c~uP<1ju=qJ&5Oz;z=yGiDFQEobZ(;nBxpj zNy(n~)P6sh>9NjAj%^q}<48Ip%_-Q&R@pA&GLpQV{hb4=ha0dekP^q73B-rbMx-*qEVy{uvJ4TOA2dC2ZTD!cf_`nR`47etz>68J{$mvql&Cd z#pBgnzYXo{$pc-m=CgnVyQ3X{>@Z?E_l3{s3FbBp=Pqj6BEzQ8r6;%WdRF@;G$kB- z*2flGY5@q&SnWCytrgMfKXUZ6&)CGCE^v}hqO1cCP16ZB$k-It>7ob|4T>Xz;H%YL zi$l4R;3$A4I4&ywg0B6l3psYX$#?U?_1rt51v|-`Oe7oEj)d>^mtC8=Ltk=ul5NqY z9~=WTtmiaP>u1%aTPeY`cps#$t>Djn>DOc#Sk;*KimRJ2xmH`|>-n1PnvSI}8hUan5qv>GaQJ(hvHauxq4dnY!EY7=JZG*QP+;s{ zUT7BXt;?l92-)?OK`z#yWtHW&qD4NZ>2 z4ko&#Zj`9l82PoqzkJ_YLsAFHC3c%Ep;e%xq8bmtIiOELbcG)%o5@Wq%Vu z;T1=1=u4|=C-^gFDF^ZfnwDFtEY%G}%fKrXCEa6J{~vgRSBYN9nz$FAnO;*rN~K>q z)3G|$m7F~y(#yGy*5T0N;!9_uR`q=%))m(oxN$7TVa7&2v{|On*IA_&<65l*&7Q5V zWM3+kc?R;5D;va{A&3QUa8E+AXV-w*42T!sT(0ltQ~B}V53R73)deN@i_0SS-26T# zGgWv=LHDl1u3~6I!4+?&GUZDRbwkRU|JV7WXQe}gdqul}qe1HbaC<}0J}=WL31fRp zDd)ZAwY39&z9K3ah2wdJCT9{a!vR0+)nagv?07J|lq*P86O1A{=W|;yzSmWr7CuO> zC~<4)(=#6|HbMUJwO7-AIW}M4Exd)84ANBf4r^HY00aPDk;o~#|M*5gB>QnOQmWNf zj)6iCB*!xhXFfo}U=|CYdFuf0d!lAubei|teNCQ@^Hki2xM|*cM*vTjxtRQcleRTR}GVPRVrC)k&p(!A~V?zr0mu+5Pwah zOK<2;P$Zw^T1V6%vL|q$s2NhY6mc8yI zdy9%1f&lO2w_{}@FKcGSu-Ha%e==&`kRRs5aLNYzL;!cUH|9x;dG*FC5Q&bl%w}6M z9m7i(qMSMzfD1C+%3H+!QjY!`Y%gWw<1-(Jla+7x-+yiF?d9&wFr2CbX`z_9=bD=g zH&C}?(}GdF&mB-Kj+8Yf;PiGudIzZv$lq{Kt%76@NW=eP}Q@olf zNEd0|Os7Ykf!l1Xms}Y=`)8JYC+_|>V?-akJgLk?0UV2){KgwL5LJ6y;`pxzm5hnH zsO?+Q(0VAmatB)o#V8PiTQzQC$u_4mr#wL^Z4Yv%gog7N+th&w0{M5;LSe{-yQv!y zgAnD;TmO!Ktg&CcRE74{dFauZ{U8$Y)?pGuDvTyk)*==Eo>$@m8Xi=5!zWDQYOb)w zd>L_3#PX|_yTpht>w7YG|7hZUM=kKc2Ml%Bm*JQtH4V@yr28w=a*oT0q;-TH!{v9J z%gRZ`MD|zXr!l7B^NMwu{E9au^w|tD^-!p&^p10>8*FIo{^YT9S5wL+yG1gJa;=|d zo+Ge0@?c`yL{>%r4qdQR#BbDGK+Wpyw=zJpo3+0i>2IRwMqS|dYSzPEFeG3#h}tRl zYjWW5Po5Vkp5o&Nmj-YM7%?E5glhpgyoS7HbV(_Z3jSdH1&EY4p^D zs_$ou-=8-H^vnRvaG*@a7wxqd9jV>wW|*%EGnGrd;J{7Zxp(cYywOhYUA7$yT1o?; zg-=enY`WC1Cr^B=uy(1VWP?H(XSK%vX!;m-ShmF%qBb+Iud!dwR6hprdRgZ9kDnS$ z*B)7tQo*gkrnP>$vHG`;xM`LxMY`MIfojnTM%gI|8Xmj~)bb;1ecLa=$6)5<&aki@ z_L(qQS9Oovg@y0ly0TdVfYmQj;sx-lvo0HQ3aNw=2%;nBzl%Honp_vk(dvcteD3lZBs*p zW{z`r1nvn@AST1*XX2`7ADZlr*EJHiOQK(#76eJ5lK0QV-egg=xp0kwZkQTg?90zo zeV)w+mb@paT5CpJP4~9gxndz8+o}jl$Gce>x7J7QCJ4z-W%n24zIAh8xy)63D@4Dr zjAKMva034_tZtC%p98#2r`IDbT7K4a6WL{U@vuI#EA%1>Tp(ruYk8JZ1E`TUm%)$G zN~DG=XI0oE@~cC-3u`d$-SHqW&?PJ#cbaiv*d`L& zg%E=7q$M~f4*{2+cxX8iCTN-+);k8lbNqg>j&ni##oE_2wOR2206Q+sxaOXIX-(Ws znR5WP*1k`Bd0U%nD`8hT*Pq4d{@8^NeN;O+Y)nL~7O$uL<@;9R1Vj6&Nn&Kq1NRu( z2?vD9&xUD0I&|O}3pK~~c!eJPH?7A$?9byuTaZ`tdbMS0HMEJF{-Q?mABgCSlaZ7S z>^3zHKbzABa#OALFY7scqHD`C!AC2Kt2)?Mwitsz>J;6;X%1ZnUJXe-lc~dE{{*6l zSu>)8#eWZYfiGS5fgU21Em1*D`|TC7cQ=~4*4#d@IGt@3Gd`_k%hmO?BDl@s z&|zKN#)WBY(4*$wn%KYpohg^|H37@+Kd6UA^J1MMMzqOhX`E|JT1u8ZRvcNZ@&B0} zwZ!^+j`#_lOs2iTp;6Y&o$ayaPuD79_XY$vRwq|;|8ITV%dpN9cotACn^tSrHfxR^ z0&#Bc)cu26${%x@PSb7bNyz2A6UnJoYRz1p@Lb{&;>GJAhe{ec7ad8sa;!7;)kzg{ z4Q4~Hiu|jf>d(Mh3Snv|pQycmO69U}Ko1kTx8&;pPtUH9y^!Z(i+wj0=)HGo z!T5F?-xJv)RkELRX2#{=%HH14a-aW}IfY=<HnsBzWu1sEEga?r_Wwn zuCWNM;mO~7O#DZJCUu^x&ocI8qbV7+jBqUB3-PN`6eI=)GqLptguSv$^dSGHsA}w7 z_ZbK3Q;+$kR8+c8Y=CB=ZLO`KzX1jAa-9i$-`$9OxZ>+~#6cl`K z*)_@X-yPSt0UxTcTGJ^RqEO!vg}!xO0SXl7>|qI^PfvmnXXll-ofn;REFy-teprW1 z88`zEUC+!1cQGjn_ZSrMVksVfqtzLSrLFT(n8kc?cWCeU&?)_Ds>Jn$d*OHumj}H9 zW}il0CQLxE4w@yCAigN9Pf%ehv$fFGLjOTpEpPv;S(`YR4WD4^&vdGSdd-(HoT_SE z&*YTnDt>8#!v||@=EIOL4NlN%E*cvRxjsUy-DQ(mTvk27_ps9AR6BUzvYkSS8kZny zzN#??Y=TcGf!L~|Fi^;qK=1v zyhgk%7CF)Fb4S4Q9{|2NYMH;tIYEsUb)#{e=#F^wuwuG+3@$%;9Js}AatxbzIvv{U zR-nZ5sd}Y&RF>lQGF}O(PvfeH+9?n7Ge3t&!#2Z5aIxkbbn%Tn9$PHIK$<~Y!y{*= z>q%04=Z*m*d{8Jp8RevVxLguEO17eGwrt!q)n;WEw_qx4x5B3yyudbHE)piaSu|jj zfpl$YagTKU5=CAw_nk1p*Lc+dLRjVM+jMebHB`261tJ^`^u<9 z(zm~zl29+VV`Gl)Im@pvQe_90#aH`s5}6j%t?=4>g(U;3S!$oJHJrP7UQVomgh!9v znb}#9ZdC`#a|suq7u&5Vn-yQ3GRxnuUR;A-D!JDeyR7KlO>?jgeVY;+gTVXAyT3I* zS(GsYeN}To6b_e?ZNN8aiar&-ruuBI)=2mi7Qwz?k1WH7X`I3uwF#B+Tx|DZ=V3GYPR0CBtY+!3g`dXP(7Ee>UOZNH9x| zN~Rvx?;9E{WzHrlNEhgcHOm4H7o#|Bch=sDCwMjg^k~Y+T-iy#A^<}RE)2|QnRo{FW$L*Ep~Ca+?m1}1e$#yzgv^uyE?ytT69OUs1Edt-Fw29$uq;9qF@1N~lL+~DAi zI8`)}(TM~P4_&D8y9ER~MvfpEa<}W}2*^XaZHGlaFx~_I^pT7^uIH*(T&)yj{ zuWdeb)w@Z>)d9qR$RHlrS_^1$awps`n|QoLx}KR9)#td1<*rh|#8_=N!2X7ApZp>e zk5yu0#s*bUGn~4Immqhv^fw~|p@2_6nVSl9KSfk=-thw3YpidzW;k~fqc}x&{M6vv&*)m2zgZ++4{(r}1c}x$HXIZ!U~4ynuDQZXt44>fCB0Cr~*x zg{B{1eF(NS{~~6=`9mx_bY;DFd$6PWYk?5Ew~ z&kZ*$E|=80?wBskbnb>KXwuDW^SzJP0lX>#hC6YIEo2_AN!SN#bmBW_fD3 ztr84EP73AHoG`@ykbEPr%xOtyC%L8EX*G={S#(I$Ec`I4@oeqD1Ziqd1pZfpa$ZT- z=hPc5?@5)L*5Am_=mb`Gr$_db=O4z}pyz#mLn6eiZ{Mw69{zPNte3h46 z0;Xhyhf2>-!GQ{34;^aN-MPiR5@%2zxUf%$B^2TPV!3O~r(-t#C`TBx_bl~5PBOP- z;C!L-#sWpEinMM}oC^=x#=9t6L>C1*t|mv7O!<~-&9MfP6FS=a#KY+}fRQsiD7Jk7 zh>fPbzXLIKO7z4gCuoBHc4M4zQ0ZKSpAP)UfK+aRnxbI+6#mKSRxk&PlrJuF%E<4w zYtD<r3l_YX zM3aV=o|e1R67N>t)GF2ok*5^?s4*~H(_}Bcp5J$ene#7bk}I3Cr`1Tk9a$<1iWD0| z_AMTVEt@wS1^wF}JFZOpopOU}1Fq`p5hcdfd_V95K2hHt!Z0&`U>nf#VO={YHtzDE z@Co2pbs7QSLLRPX2nVND$XmBl;$;FlDkTpaZO#P9fhSjuV%ZWr z;wx27V{HdM-ZA>s`Z;M3`ok$o|M>KN_>zCtCxuL_Ef0M-RTU=>T+@2UypLNg^J&t8 zWDQK=%93aV>FUnp_Bog4DbKi@_lrX_F|m-8uo_;!eBw(e`i)~X z_l&lQYyq$$F~$lmVh9}T=T)iLrUjMc6KFLRRpd?)*5?+wb^=z*?|ydoi{fw)n-6u=yw}b18LM4QrXzFwA5L}eakN5SQDKO8>3^Out^daSLv2mv5riMO-2F1Vz za&8#TJp>Mslm4>+C)CUHvSS_c#|ML(ZoxSS50FNE%Jz+D5P{tm#b@J83u#N&SC*dG9y)e1OOC$C2S6*{Y6H1Q1#EQljN1*6s8bet7M zYcMQ4%)cs$(}b6|-9ebD5!lG%2ls_%W&S^zx|%18+1lcrjz=SZTKU$6N`&7c!45?t zI4&zD-%KWGaO)ydEZq2hOjj*RPzLDm0cC7MQA)D-H+N;p3ZVF(*jszEsh(=2*x6A1 z>h)8z1^RCH8UxkA`ld3G84xNz%C=FbMp( zc~e?BHjXm%XCTgvZut%o9Li3xF?0@9E-6vCmy`jzbq9ddirmmXk>Wf+Ge(QWo-98s zEN*>K1{0`X=VD;P;f}Mh>fL(q{6OF+`rJcNs+ERv(JkK)o>m{u}a5oF0Jv)Y%@Bta#-jO4vS6}8diptGxaJ?k>Alrcs@kHGz$M=#|WgsL*{ z!+^#1xQ{{un04Zz&rnyR;^VbIuE5}h-+NbDG*3+4lnE~z<3+IxTU+$!M<#A3sr@U= zwrp94dA`rD=ic0+t_RdFbd?k&A#Q5a$<_=uZ1PNAn?^4%Do97!B_)7@`MmN?M)=3FE&j7J<`g{S1%XI}%->_YcohIQmZZbEH? zwtMc$*6g_|#gHb1Qz(8$UbK-f{@`G)T;aJiAC{kwS{Nxd#c! z+Xhg2kkZx+x4k16eU@vu$IugpUwE9UF17B7GkBT>=3!J7-QE%ly;~b+pQv@VfeUNg zr5!g>6;n_8Rdf#EmnXdqo~IxAgUQA<%inPNleVd$IK2IrPlRb@IkxFCn4I>GoZ(61!-VM&+qiz z$6mfl0mzDN@37|kL-x%g>5Z_ciB4prPo3XBiYrziM-QfQcBK6jV0j1NEqG?7D3rP^qjX-~CRx(3F*v9(1c@h@L|Hm+alHZ~;|+)GGn#;N-KnLh))QZ5 za$D||OxrjU`}V}DLCLf&gnlBuk`}_r{c9%d{fn(2CHL7y`QT8kJQWe;#&|T!N=8re zuLaX#&dBm*apiSqF`t|I|_d3q7 z4hjT;{jTnvApdVd+k8L1X`B3fI-XAu5BM01MHYO_#b6SRN&@j@3={G)S#KMSYf53u zIWvKi+LE&N;R;xXTPZY7q04r}Cc|GP#81YWC+T=ij9H+>ynHaHVf>8x!u%U&Kk5~OOToUY?H1T&_TFs{@To`${$=!MTL?F=NjYrFtk<_s z%l%&++<{@a*cdYMG_nMG$2Fqlb1+Xr)3_KqCNo1_s-J$NCxhC2JS7k@=KwHWCfCpY z0qA|%R1BBFvN#*=-z*DGThcZR_=t_}mp|;&n{8E1Kiw_7s4I9G=1fJS8c~= zQ@8VoS!n=Zu_S{bb_gHhR@`i-fv+$`Uj6MgD z=f8=j=LK%9AnLkkr0u)pwS6i2)Q_0h>GnK7-SD2xI&q7>n}>{W{IqBe5mTlktQ9*0 z=e#VFsDrK~S$s9RV?G?d&j*V!40`D%3%i5EGkp%U2% zq+3w2{D69OJ#$9$Igc%RTWyvsK_Ki4j(!uz?8GwnPw`xR`xIR;d4LMY)IpHpZXG@n z^cU=w>-%t@dj|E2{5X_`jCuzeUd7P=4uk(XncU`8iI*(0j&n*Wf971XR&IZEY(4q_ z;zGmqE7$l%#wzbM@hG)t>(2(HN5=knnR(WdP4JZT&4N-Oe=cJxtYf8_nBVq+oF52< z6jjiA{h6?{-?69lv<6X2@c;nza3P4H6(dTfar;~=LEfF+*=^xssvit%8x7Ny&nqo1 z_7`NH9+q&^{t;`_3Yt~PZ!7Rl8p?C!z9g#TOzx9sI6Vh%51C! z974%%`{be0tUcdJuyx-`q(HY%b1pZ2xwiS_MgFI)&9MDWi9mjP6|8_QcOUGhRgH6z zf9Y)lOBU_ZD3N{TNfyp`ea~^X^r^vgY}n;dUL=4^|B77$lY=~V4M=O%zHz(~xorNM z<;dLa7yn3@Ty*AyA%$Jj3QV;fKIvd!k2>KLjFfOKgMDRZr#8x()n&|$Di4lNr@f@y zafJs$!WtHYD)L-k!?9*l`DQNp<}5;lfBc`d7CDFbYJM9}K9`IE5#3+xeudZbyYGm6 zSeJQBWvb&|Zi_;uT=k`m#^J6$iF~@l1NudDKio(Msq$!Li849h2v?x1>Kp{8PtX=7`E*U{^h}2RuD*{OY*$rZanZkkL50@~C*=Fi0 zKc@p2IJh)O)NJRzyK)VwT;y@V-LknHG8Y_&#)4Ow@0C+L*7QjQEnIpHZoZ@fjRUQ& z4_YhN)327R$Qjb9r{CRb@JFV2$&Zy}=%0mpN9dZ_!U+$UNG8JJ(O>d7Deg9x4rMI$ z=g6L%Fh;Op-liy!fxaUKl*3%sVm!XIyVE~=L(CTZB9&j#Dw}I|a62Ious~~#^uqeU z={~WJ*bc~^9l>rte7Rj3k`f0Z9Xt?Ser_0eVSLQxD-v)GlHNpKy127v^c)yoBi2mp6Mi%KW~`_8cYsTdbV) zFh_klSJ0S*0HX_L3vC19KIfR#CLExV1|3!)0>$iN7qeFsLvRtMFx{(62S?~CkKW&y zs|}R{V9B#1soAMLI1n{Q@uzg@|E8j9Bws$AHkMXoC^~bxZ;e2hy6#yTEq4Wmz z^Uqd8&Wne(=4tswFG(D|Br4pk{N?Wk2NS%Ra))otRhj;eh=GBFeTp`#23Xqe2efW% zlGj5|d?I=||AS6iiqN&+(ykEObxG@v#FJ))SN&e zQ|HP)tpF3E(^S!ccPR=~dSioiF?==_IAjXhK*aeHa!ap_Ju0I)(q+KTC3M1RCT3^? z989UFdUS_aYyI-hT8BiOsjoFX+g2bcMs(9#p|H|f;PEl_WyH55>t~}}$B8zN=jDp! zqoB$_mctBbemtc*YaBM_fYursanBfJ-+?P5!@Oz*Jc`}Dd{dCxc10i5oKrZ zom^a7nO~*+KEFTVJ|6e|{=8r3bc6{R zDK8MVmJYv7B+Ccm8p|&-+tUF{oeND65o7P<8VgfklihrrS-Rvhp+|lD==h=+YCO`6 z{_Cxv%-P+(t#qJV|KDkmaBCYK6f!<(H4bYBWz=xikBV40k2HgV2hYnx+Nf-}fe1}) z8TrXEs`cQsxFHi4O= z?6l`PokCj6Spn~%M!vfVE0=9gD{^$rtR;EU&w9fnKMl^Gnt$9LMk9ghr81_Sz{Vx= zAj0L0wrtREs){<(dy=DvxcGM>mdLcqC{s-K=*9HIUA=bdlyej!5eBll58?$u#^)Zi zz4BHDRGd$8^-l)aHr4qc)8$=BuI}tRbH8fjq@D$~p0j-$P~ZjsDv=-~`AD_loE~aK zVB3~sZbT9Q6Z;?okFUM?X6H)(tqVvhK<-xCNt&+QQc4`d7|;Fy-jfq;noesm=>MiQ z4D%@tW%xNOkr_SDHuArU;YUw28v=(>HP3#t~ zY*)O(T2Ti-COuP&`oOdE^2W+nzJAmbXVg;R zqtF8VGxFdOWsY{vN}w-mYQp@}ZO1F%!xLDBV=8h*kKSghdFUPib+GDoP987`<$i>n zdm!`l))&TRze&)>UuDtaG^tJzH3%*|XbtovF_qu^(GM<$4esb^xp+QEt{8+k7dT4I z1s2Q0(skP32{$}r-wsTBE~+uwU5wW6AK<6vl zNTJev;W5E_Q&8bxuv*u2a8;)^=WXj2a`vqX+J8d7M8t>#d^y9$ccVFoH*xYAM(!}8 zK?M3W8yGn(QcFhJo0M>;{a-9rm^Obpm##3hjPDP|dwr9;7XsZj0xsdo`M;~Pr)J(%I^vPUI5Bpa z{brIh|9)l3X(U{^bY6+cDU`k6e(EK@jBY3IdX|_L+8Ch6|6J5jjtR7bgBK%40+%Wj zi{3K#iR)65kmXm}wMS8ZBurM=$T~a!d0(q~{(OCaHA!m&)$$wuxMW2;tYTAM@FQn< zDfZ#~oz@LDQ)!<<1B#3N zIm)Gvx2x$??=1ZCt&|7Z+mV`k{1}7wM)<-kk_O^`{5-M!BarV~VA3Ig zH?O$C9eyiI0R?a+WP}7e)4Y=q@;Z&9r5PyPx8AUEV zz<`m!CxC=E%vjz8X1EV@FU}CFT*?+|bkvsK`lbw9^e_9Q!|@GPugGi}1r(g6+gCAL z3mHRA=l3h6(%1&OL1*V>C5hJGbjFpO5VbKQ{H%;n(O4;wtBH4A;Af94YTG1K_v8!K zu-HVeJuBYp$x|VG7?`?5$VL%t)XXsh{Z8QpON5ott|w?lSqyftdBk(0t)7H8%?#mI>|>4aMUENk@#g7< zKa+2sbM&{yI|}qEdvz=`*y(Rgyj>ayJaLIZ&6s)}NO8_4T+i$%EK+fx6M(#NxngPl z@znr(=9>)(0zP16JJid`5Ry9V_GJ3kk*(yYUx7t(RNx#LrlMK2w(6}_;EIjG%z3bL zLaK;v3$0L^7M(5+&I@91EmIS~FTBN0S}(jG)+@))*W?fO^0SqI17ES}_iC5THvqh= z*OKG3n3G6J0ON_%+39)^WmYh>*)Sw#xIO-$56+a=q8^kVP@Y zGGb3xD)TC)AVjI;MV2*Ln)=S8OE$Lz(2x2ZnB3&?%_a3}bYUvpsZvtNd2x1{a&?`1 z1Y%T|7Y|uscx(uK_dX5>9>*@bC#D~8pd^fk6#69XM^x;jTX*F&y4C(2nW8)s;k>0P zaTxzPtD9s4Bs^Iv^E-O%ue+68*h+lCtjiK5JRm*Cu!FPWYQ?y?KF_lj>+6Ydi$M}S zzrb`;&aSKO*ZnTInRLX~^pQc!TLCz#Wh+(Qhsfg&F|X@P(UKh241j_6)GsZ_M#Hy0 z82Y@rJ)fK{9bo*38Wz5RD#7!M4)FlW++^iM^d}m~FC4UpNnr3d3 z-#529T`~`sNM10_cJ-4r3vfKXV-?DQ;C{!efuz{$g?A<4jHIVn{eHFW8qNUk^69Vg zH2s0`WD7tc8TvP|K2Pzhzex=} zdWBf?yxkPVk>>I@fLOGdaOtYm_M^#jNv zI#zLNOu?`OdbVRDD=Nx4SIad?$rte4vjnP|CtG2qX-9t&Dn6%1`KJUjrRlfEo%0!3 zeA9Y#qj>yRb3LW<8I+ApugWbIulPRm0>RW_cjc3Pq5f-7dGXet?XP?%i!oEpjkhL$ zJlEze5rm!=@p7F4rhc!xY`@3pdCq@NGPePF)63~ot~fk96oPp#%6X?5L8%%6K~CxQ z(FJ0ZVr{(?xFps3bkRRsc~Fg5Gk@U3yhmir z!7SeX3G9b1d)dT7+{b;gxPHg4EPyb1X-rnKr?0Ykv}`b}L#7lHtNQTCqTawpkPP1K zhzC$?v*|$O@+_MwHm3gsPipSYpYm5g0z$BV{2!WAqa?!nkd`aEm6SRbd~st66xruH z3rMa;>^qUR8+RwZg!d`1tLh_#2badrSP^X#Z`$jDnV~C)40UBzK`}5$K*fLh9Adb9 z%K25{P~C5#pfeJ*@JtOXYrGoT6x}=Dm1=~TpOjqbCSxC){9wA}%QL%Ho6v32uS7%l zLd1WS%@4p&{fg1futkW6K_&_^iJbh{Q9ftX{I$w&5yCOogwv?V?G>z^l6eL40Ppcvi4#g9$Ct4xyVV1mp(y3n+Smsj0 zTkO}cG-ox!jt3nn+FruKIL&iqyDSf9#mKC=&m>nJn7%;PkrD0?@n@PN@p*WLk|+; zAh{Xvtn6(oJDMh1VmWZCKnBmj3-%|A7O}4~6=+fimZwuE0wz6oePWVQ0~5mxOZ5-# zf8~@+(I07)9S-i>T76gCFnklN*p_s0Rz3D;lJE*15IKKZ9TnRCuhQJm%V&_>tDGgW zFd8v!UbX(sHM+Dz>P7COD!wm&ho3QeH2ofFzdPXHs@dETPJzeUd%~kE(0Lhuul&87 zE_3rVC%P@_{C%#ce>J?L^AF5A>qoZK`8oBR{QfgXW+?vjdC{G=r=58({B|cErgMn= z=;BV+Rqi}}noQZf_UFzlWK;}>68>(Q^=@mCDCkLveA-^cVdbeIxir{ERUItuf#)6W zH#LpibBSVS(so`L4O{kg&Whm;UaZ5=o~n`#wd zN7J*kO;v%Nb~zJG@L^!L8%>XNJ1fI*%kYfVmix`VdB{t;yI>~--vZB;&wCjc+P_Ra zObSvM=u^b#3l}H0cc2JHow2{~AM%R!sebuX(vQ@eIuk@QCIxVDs}5MrQ+-z_iirk+vwt>E zrA+L!hOeigW8g^3ZY6!FndhE%WGT<*J#%%w34QI6GD_q}w*JXC!Q+6IFmYOTYiOe; zLrOx8Z*4qiLCX+v-|a5=2$h$Mu(1)!ePB2|lNNR}+^FY_rlZVFObV@JSL8&e3z^|d zZ+n0rO7@m9?35bla&kd6daZgMWL(C@>V#T(26H84Ils)JolEZnmA*6?$t*LNsji3t%aJ&qEIh@^Uk5IwBO0lYEMfLfPp!R2`mL3Fa*(6uN$$J)L4 z;Z~KwsIzOGHCC>7U5~) z&^Jgs?Uul{68GQJmc{h6+Qn0CgB8BUiiFh2Pk@=ZQk1eQvry^)+BM?vT~rNUg$`I> zjd-fY*(5)#k6q91CS2AH54Vnal^N|0Ovp^g$nW#I1)Cq<#>=`v#f~QH7Ze)AfCkKY z)YHi1LT}QC7YC-{QdAP#aI6!S*}fM3=xD-2=lsvOoW=$NqEGeCi#!Br4ChkaLARN) zEi4cg&axPRdTY}1cFT>abQ=gC9?0U?Im_=MlHwJ148Mm6w6nU#`5i;tOW8^babZzT zJ-^px8ZgrUVsCcN*WtU@SiCk{-$RQx=kWVW-F(j{?5i5kE8eu20q6tDWzaSUrexFL z_R!wN^YFi3>oYl;#w{NQ+1OG^Euv)lj6&}cYLuoTXtZTEVGnlC z7dVo&x!)sr2>vRkiQ!+-X$Z9SibL)>>j3%j{Ft(50@o8CCmP&yq0RbGrsrB>4JazJ zW$bB@I7iIfULxEfs=v7xOwl^p5guZ*I_aC{A}U*aY36 zvG|2Xd5E^2W0A}-!4~ZcKpc*)rX-4}=0fKXE6qtHIP9#E?hDz0jn^BD(ShAEJ(+|b z@$lwGWyja@L>2enyHHl0U<+3vvKI-TviHi=PSCFZEWh`W@43-Ui7m(vAAX8qp zh6*d~GdZM<7feFvUoD36%f)23va@$@kd5eV*TuRxl_-%S|Cp>F4bNAVT~7^*Ufq{$ zaK)Y38koRiDFv~KxCs3fx<6+;w}x8#^avtfd4HC^->|ZW#D=rUL>itx!2Cg>h>*I> z zOH-w@n6x(&*<_IssD#103ziE6Mbos7&>nDL%(L-lW3r@ zb-KI*ctBSG?;HOY_FJ#m{(PsF;WyD*;@r6fmi{X zKZSzruFpZ1luc76I3~qwqe5&^X993jj}w`rbrm?JL|jK<-J{-p3B6^zwsTy1N(__* z8LrPj#9~8twx+zZ=?7J>;7*kNL(np<*Cu}4!g~%dLBDlx_1=I&P9fDG8d6o;H&e;k zKEN(}lrf3xx#V}5QzaCo%4+8Npt606(FG}%8SvfpDpQ$APpM?Atj{*H{$}!3LeI(G zBh^&`i23_zmv8eI?%L2l>9zDW=N@k5XfrKN$=9yGo-Azh=w7B891$_nbVYU4J1R!j z)?b5Sk-m?ezl>z@thwj2K1>qlP@1ZX2x5k%I8oX&rjN)Y{{85NV65P2FXl9Sx{Wwq zb)B-*q|cQR6Fb~)Ae!2^0YqhF%a^$LF-N^#cpBV{I{ajHQ-3YBMGCw>^DSExOkpU*xyNva2oXd*v7Y9+Gam{Vj%OMW zLv;l3Ss5c@UWiz$)6S&mp(3ZRc>oPXJC3FeLd@68?5@gIT&=u z<^8&IfE|`T>(e*ssjWw;k7XjIGTDi|cth58u9k0Hih+jw!YU3u>&@D)2YlpLj{Zv| z{gM4nkbO{=%DXJbZ#_MWCzyvzTUgEF#+MXiWLnb2auE=FpPP7Noe8e_nT9ZuL>Wox z`fYszg4y8x{+~Z1aML3NL(w{`1lBxJE%dSuhX|_$odm5eXWhp>9V7&@Hq}{Gm;Q0A zeweC%g%~YmXbIbbQGav>N1Da9%NPRLiAM4+tis-9qU%~(rF13_QK3f4DpVK$BCPIq zK*9}c#&&jMjlT8F60cYKca;nx%ffx|;fDC<2sQ%dY5!%>*biN)&CkvawMu~x(gG+I z@GtL_^h39$imNahQ~i8Xg#E!v-+TaFxEd7Xi zzD-6OR0m951mX6YwC!}g32-sieoStp$@%N?xIS?9l74nyDstD~PMM0x8tb_`+SA4v zns8J#6BYT4TY__}G00@Op|@nAfr7ADhqYkRK^vFdH`l$($BeyN{&i{5^HE!&|0&N| z<&m$}_%@cL+4B;~JX?1K%h#-0VD+s~=o@4s3QoQfWcM)hlX`SLb^IKz*FJfO6E0BL zR{p!2Atfa_ssQ0S8~V_g`R`<}%)$)y>a&EBcAX9doYPx>@t2FP4azdQPnhpRW!{xa zg3g}C#&4hmVva$gZ8U4gC{wQ9TljhE%-dIEfj=== zyeBrdPt=w#HL2{ZTQDK|Lo;J{^1(-f#b}`ifu+<;gohpL>VFH`aW=)J|0h{63{m9~+pqEXsZ+>jV8kY8u7@ z)K84D1!_>GRFRoab}Z2_a4Dk5&-Wo;SRiNjyMmAO8Q0phz zi7Ofv;1%ZUCYcV2F>&V@*wIARNCL*1x!tsg?DOQMU;RLj^bB9Je#^4t2?Fi-ylQ$s zE@69#KLR{C6Nj6hguHT8Y$26|4(#RG*6j+U|7HL7(NRa}WlhU_!{HuA z^og8Z(i9$Ta^r;Cg#?Yd2I)g>FLZ<*e&8O6HKkEK>6WEIx8Du6_frhJ22p#BP)T1; z9J#8gk)Vv#!b-2Ab7Jg?)ym%Sv%A5L8(yz6YM`9arF?vD>g;?@=E!?9ul?>FXKcg< z&Oa@R7afT=u;MEnFW=D8 zJRlK%NE~@18tlUvLKInf!Pu*ba4&HX_ye;Q&e$aIs=h+tCvx9(4EHxmlwdZ9<3yR8 z+gY+!+^UY*3dNJ3+Z^{a!VV$Py93LoDwztOlll{yzsBVbc?t*Mm$P0!%^Tqh_m1C) zR&*}kwD%42p(9}*sHj_^4F%RD+pm&N3K;4bNR(rEWlen@@QZGeL+bPDyxau)s-hHi znQz?QEp?$N!($qE8VNe@8^t55nkDtsqPrcCk9UoGVNerL9w>x7AyjMaBveWMQ?pQI^ti@QO#)oX~adNVu6cbAQ{gm#)#!iyq7 zY)`|t!l1xdJBUWbsg(@etePy(pLZ0(mnLfIYs=pZxV*;WLL@j8lsyzXeG5Lqx+v-r zlXFfKd+FLk4%kPjj!ZjO5valr3%kY`$8+vYX-E=bvT#xJ`LRO(^z=zU1xv%Y zl&x^T3+(d|N}y4|n*mFU5L@z){RHU!@9(&6)!alXdonpKxH1|HI|&ha*Hc^H7}>i7 z1qCstqAqOe=!R&i=VgXaW%*sCsKa3MrHkKc;1tWxE2rQ8g6TX(6`X}e+lYw zNk5qnx5{L{`E7Ji_l?KXRI2Z*9~cJS%SA~UyDTQY8B!stmsJ3+^XbbmG6?dwNqFCL zAUkmIv5jp z!ay2_ZkJV-k$?UQl1OiKby|$?=v!hSy>WBe$$dvRyQ;DGXsX6VjhBsB#WxvxQ*;H8 zzb@x^L-{6fYmkV<;hkrOH>itfxJyq9$)h*}4dnwu1Y;uSNZRNwcN}izI=P8P>y4S3 zn|TR4ghv&R&*aL4T!WszKwy@;*_3Ih}%R8c#Yk=-5 zja-eavn2ZP;npWl#iBH2o!mzHEV(sb^FGk7mv$0C5PPi9C*mSdlP|{+zL8=dVYVjC zz#JP6{#Z_&Ik{_}Asz-sO}hpum)}c^9696z_PpbxVhwbN0bW)i5ke@zQ3-=k7W{Z- z9uvQ|_Dk>U6U90suW-wwyYkbSur)MJSo44buF|06*|*J&(Bxc3{Pny#VpC8Uv4fX5 zwE?D@iDyf&J2&ATu-B@>ng{pF#>@`hLqawT0)5E0d`$>QU7V zo0QcO{#_ytfHjv5kqiME69zh6dfD0d;1?P8cc7yqrZXYX$~istQ$6WJI!PVEl^PwW zOGdOggo>KT$NED;LKrs4EgrUpn)a)_BT4C7vdQO^CW-NPv;5P8BCsr(d;}a-oh(oY z*|y{(iI3TTSE1dqTfYm(Aw(Lu=QgOA^&5Q-AJ>_bu|JZ6`hZugxjDb2kXXxyB{WaI zu_=xVMhp-<6$kfv6)aFS ztg)04ck$+40Oqru_npG-QlQjs2X+}B}UTRN21Ul71pcAM@<4r3;F4< z?Q=SR|BcteROLjH*{b4H53X_tQG~H)7?L`@^s(hTCYIN z;al3Cm-)P#d#KDo;}V!(w{^Ymp=g)|lJB-}z{lpv)v!^9O_a`$#HHGwdjTTNWb_w}AD`wIX*XRQucOVRZHW!f}mbH4OB`v`$yuqa^V*bgtmgrI@ zj8_2e@$KPm{9{D6e9K06tzLK}Cqg9b z%fQ%aj6m&)TwXx8Y z^3S}4Gp4S3j_Qp@WqR))@r@qG#1FC`Jyw(<2r_>j=9x-_d~4O;zX>I^uIW-qjyF4$;}3je$O$D2?t!95o zSqI1Pa@kU4;__fH9}d(f(z9KUP+o`6)`Il>OStOffM00gUH zXM%aHGqj*ZbW;H?)+O32wfCsQipy2$;{XAIoY8^9^|+Egj1xCg<@O02NSD=uj1l$~ z(4roQfRbL#SlW^?v@YMtJaMD8zo6aqtY9Y(H?nX~14GN-T5;%JPi#jmgffye4;O-H zf-fq5dEGM0lG1H539z9g=hoj$o3jeFGrW2J>Zm|6JduKqEG2v9W|ffmS&+zAg`vr} zZEXSvitIZj`N8Rd7393&f~{2cNdj3WH5xI9J8HlzcuwLEPgn^T^Hy{EC# zd?4Q-YI9y9A5k{Ij$M3e^wljhwE;&M0Wad)+q^6TFs3`lUq0aM@vEbMZ~ja}^>5Jd z@NVe_Xl0<+X&>)0nGUn(%`RAIr=%b0)8!NiI93&m0DTtjhghtLjpKcX4x|TP1sKo1 zBZK-JTJC~PEk9^jElW7s<3Nh)W43ADuKsL#Qi|?q^YTzl)$3{9H%Un@qsDG$6~LBG zxM?wb`2&jKq|U^bNW=Id=|g~pXu4OvJz!SW zQjH`3HT$u%3K!d1)Z|HX_{E9}i%!S5jb-JBN8+x`;*5-dxceKHkz^dgK7c~{KZ`P? z%h1rR#~Kk9$4wZGtU{`I^N!o#66NwwiS428ho_vc>}53!3$JI94*Cit?37?W*Qugb zlu5kKS0YKstQ)qg!#J;cWRRooLU zSp(gftxu1{@Q!!JQkV}607wfKG+3D)vT-MSd%Eq_{zUL$=3Q$H56ID)E2`0E!~8-T z6^)T~?l^PSTgxY7SK7Gqx_U!wNol;o%(oD^u|?^;!j`Z;s^Zb9$IW? zrf6n*MK2HZT)t*ChH!UieEaHJtwvD(TOks#rJ?_u@*VYZMI3Hzt5WokO{w$<9`!gp z&Y^b{p5L`&!nX3_tr8;LqA~Q{6JMZq^;-Z-tWH3LxxG`Zk`R<5f*R-WEU>mhnZ#&K zKkr?Q(o>8J-{H_2V(jtghYPg6acL#o$;x4Q$}+TR3Z+Ymd5ds+tS-EOwX_Zai-V+BPN-#-mj$;S9dU0{XLFj*1(B32%T?|3t#b7q(qFQ_ZrDV0JG5HAuGJDp3|yAQpx&TVfF z17x2mUa$(*MB$&!k!kH`2Q9zW5?uIs$pr0#Fb&*8G;3( z=D-*-3|Y@icw~{wQJ_xTI$BKyDI?8p?t;?w!_Ur%c+2XZ#$|i@BQlJMijVK0@-C7- zI1rdYmi^gWxu$8F9SajjLLc&mhyx{}$V80ngV+G%`GJwIa$;R=ZSjIG?_oC?$LpKe z#Qpn~gro=TmdlhI?vt&%78gDvPl84ioM_p;M@tV8@w#GZk$5=v*Yb%ywQmP)FhHT* z=&kSNfhzQou2C~?z9mw^?g!j-|5d{!-La26GP?@Z(-&ocBt7>U@QI}$=?^q2;^&Nb z-}qF4bVzzUq=bgc;%vP{r)J9E!w>*g^73*u{464E9oW{BY1ph|ZUoGnbNHkr$(?y> zF6qtO3%+QOuub}0ve_g zSFn}GpI&>Ln~Q{)=QG&^R_IqUGfRIbsBQ;zw;&~zg8Ch&bKo=!e?HA+3asYRT@;S{ zZT2l=&%26Y)X*Iql=Y5$)NApfW9yR)lZQdjG8Y@?-3aG&qw_;M&ktYZL0o@-8B11A zZKjp@`O}-8mSZ=6eh2|3msHwl(!ewPKP9rXLB0qJiK8Y-eAmd{ePEJHcOr|}vQmiGX{1!9DdV4`rxQj4b|BA0;RuO1+o^-00 zBzT|x^>TCJ=EKH~$!=(f37~hBVJ@DeWrV-g;bkD2%BUSM`4)yhA4&s)AkRwTY10Z( ziZeD%qQMaACSY3dmo!AH@nkyYdKIVN;05k%J}#SqZoas^M6YHw36Q-zyWp=H#rMr+ ztNrhWqG3u~g+gTRbFHV9{{%izMv$evQ=)#O-p!L1_}^?U2h)5&7C5HwA1LZs!TBwIHsJWRl}RTwLOb3(M$v>KZ;SwaZ^3a702_ybw&=t$-JWijgS$ z$Ve5vB}@0N+pL9a)c+ zs+YOIl67VRo(U;X$3hP8WwPt1={kWpy$9hwr?w7Y29TCmER-WzMqCqyjo&dssyy+L z`8$D&@a@-k$5v8=^^;ppB09bXS<~TT)Bb1>}6yV&4xSn z3?QtFb0ozrBOL7;;+iT?@10HCzcYKxzAub=&)q(%`)D@#&!>SKY{y;ZCyWVWv-me! zar|^)e5VQpwn7i`H%HfR()MGhYss&M%T<1Hitw@u4mCLef9sBTpUhO0^lTCN2Em_g z6fybfkLUs}DYwV%GBizcE>5rh$>6HmsN|l}1B-b-+cbrL!#1%SNwJ1cz7>C!PP6Co zM=WApe}ufWYnoV3j^CGC4ckeaI93B6UKMV3mzACw`WOKV#ASVv1Or2ZvnIhv9N$=0oAkb~gO0d;8@xAr z4jh3Mru&b~?CaN}B_~UFAF@2W5@2^ZxuY4aw)yhFSvE5_qfw#DAMwWK?==^ z?|azmzjG>oD@gbd$7M@%(5iC2A3>jgjM*j|g`M0g%(V6!{C8Ak9lu%SN|#McUhx(| z=!+TrZe6;4Zu6P-0EZ|2Dw^$X+91hprP8`*Gk2?Q@uM66<5D+*$|;eRJ7MFIeZxT zcWb4U&CQzT#9FFnFjllYqlN?f2lHF5-1?>sus5Y*t1`1v8`_1qW{Mq|e#&|Jpf#b) zM#(<4-42}yKtfnuh&=`NfOCBuu9M3{LzeIDHh6bunvm}X2`f2d@d zUp3I|{Yt9-fSitnfDbf}OZUBX3J6!zyIC?X-5>_HR@qe_Mtb|PVvI^&5=lRuV;xZc zyi1-;s%@9-AL;_+ic*2jVB`13Y~O^GrY0|#;LBO7>QeQRU62igxwCu1g?0;saS<~^ zb!gL=;_*O9#o1?(WQ}>xlxtw`8arVyvEmVr$h?J+a*V96b?D} zgHrU@pRH^?T-3ZHVAv%^u`=(T7;gQ8_`MH8Ls8ytm!X z$H+}zG$g{Lp5oXK-bLEk6TDs5{$SXxcxcQw&`F^HZoP8idiKceQ>V37fPNo$lt0bZ{lTHHdlsCU`icsoL_py(lf`pLU*wC#!s_dYaE%j}i0+4tT19=BEP zul)M2hc7@&b#S<~_=ShH%H5OB-vZV7(n88}s_kJv)k&Q(#Jy3`N4yOU8WT${9wQ)D*ld~4yp!WiLQaAuc>)a8y*YFR>_}`5+BpW$8!8o5~Hx+7Q#YJ-MeR8k=iUNcgCole(J20_I!44Stci2bA863;KyrI&ug#+cJv&fC+O*M%#LhuTZX3l7M2J7zXN zQY(mCkh8zS?u~Y7M&qm~J2E_C^|*G$b!Fntx6o&7dfdYzQY`714&R#FNkzLi&rj%U zIuym@8heEV(y5whka6SVchkjKK2q68r@>`&wWeC-YV{U|liTj;{(*L>zJjOPQ;72L z{2I5aHf`7QEPer84cPp*ul%n-x=728jyLK|&(?&%X??ByN4h$pN3C8FJ6m6Kf>Mel z=F6MMd+${aMT1pZ2g{Rty`?D#ur#RB=1{y+(#(Gid#~3{ToZNP#Iwe%1E+pdT%}cc;_4m7I_z7Ro=yoh-Z=DZVb*~?%=<}Y3?g%&-%BEi z#7rG(McuZ%XrLIsaB2p11qt=sbd`}MRYB>8t z)Q=?Ox9c6=rM$L<-{&P_m5L5G?+$x_mSXhlUTLD|ewM`#=v~jn3TZ8T6#@~-PZDFb zC=cpej}{AcLqePSEBZ{RD1fV!)nQGIrAA{OGR@btEgPcK)UC1wR_^n(;iQ;;dI6N^ zZ2Aowz{?bDNROra{e(-X^-s{>r>6`)FoysOy7AE`EyNa1S}CmoB?$2te=Db6*MB4- zgmO13yZE6i!vZ51d-S`srlR+KW>*8l0^i!i;h6+xM!A&i?>e`RH5Xi^!N zI)dBl**gvjL<{sX_-L}>c@K268M^YZ0SnMnwNTnMto2i(=$#{ZgPS?mzTuN+1FVdNO&_)tavPtwq|B}2f z1;PPu@&F*wu*=W0LQkdBqcLQj`ExN>MGiZ@=R*G=xWLI2$$fcpE-Z2~Ryy&SsY5)y zV^|U78uSK_k7)v=?aSdLuGZgXL`X2-FY^ld#_I9b0UpA=bjakLIscu!O`QPAu}0bI zmrZd>sO)pL64HljNpy4`20C)906*?>CF<#AQ}XwBGFT$pRe8AGT){+nh+q=r!1Zhw zk=RkP)bP^Zjx2xA=9^yA`;dnR^2Q?O!#WEWO*j(PRvJeb@sJQ>AMCYY!%01OD}yvN zm{7pVr`6IGAHRt#EY-7hro#5{{sd4;n``%B%xyabK3Is@l1-TJmL|hgq0+E zGJ{l(fDNwV6>apNIka7E|A?HH($IP2N>p;4wY;DC_eKQ6Gt50I(AwJC%=N(&mtaczK1 zrVSlc}p!%)Y%5?f8Rh1VBbD4-iua(*WmLJx-g z75wW}boC0Gs$RbM>ZpI{8Mtp-Wzd}er{p4NP1aNGONg%Z&!w133?$?uT_A23I zoMqOcBz+Ow<_C_>Zxv?=`XbCRF;i^CZ8+;#3ka##<~MKDdSR^2?O!7>NISAP4A9V< zF5m3-FbmU=fC#5$ysoB$=U`4l`KbIOfHX8k77?;Dr?3@IqN`@i20e1Li*1}zJQ`Pj z3Btze@}N9TKHldbKUSxBd!j8zDru$?vMfbM@8wKx$u`JGBoC5-HRN{70Tsq{QsHG) z;{V2^mVK6oqAYo1jIcSO&*K2b@AO1FcG>7SlYgNZ0wFU+vBj3u1Uj0%a6L7v`*?a| z6C5*G*4^a>*rB*)eDNGqwK!|h3x_wCE!!gOzW-cmHJa%4?`*w#i7R>vby@BLQo-*_ zcqtET>LOQq2+c+fnN^;3)i@wMh2v;av;(8D=*4Q?dyiT?SzO9wx8#Sa=1*s z68JH`o*~81j}6)zW7)`oYqWg*_fc|SXR;)gT^#a3Zao5tv5Fi_o`-96d zv@n|x62Q@-WYOWAmmk!6Jv*OVpJ~a5M#mVsDu$79(sLSymyg2Tk(5^@9FP6U87~4S zb2gclI?pGTEqhDykE#n7D8_4Ymy?TJ*vh3fG?}^VAi1BW@xJFG_rx|*Hy#3gE6bMI z&&Y(((f01-<4fEky4Q27=8(57^5zD?Y_EAm55J(KX#Imcb1$Zek?KlO`h^TeQ1TOd zv7w`HWz>iZ%>YqbNdv7I{a!a`%~WC*=;S*b|<(PeH81k8QFagSq0AX)XADX?_E!lamo|Bdh;WbRPatKYko1 zGRi1X`_J$opE=_II{QNXGQiNXRnlTwycE8@ALZ?JkICy zdB5MU*Yg>@A`+J3efmseEt4~@3ud_#%2!DZb*6y?<&;jKV<6}Vi)c+JLS0Je>pmB^{nn=a=a{` zcaQ(?B8_@iHiM0Woq(D0AG<@xa6Xm%+Io8C)4#5a|Ec8(5A?Bc*Q3DpjNy5+5&$}Q z?6>3E-C~igSwcyb&ayfr1>`Hf<)_Z@#8{Ylw$z7diJOUz44dIQ(~!@@=eN(b~u$M6Rv214_qFB}|rW$rUqP^;|p zxu0<`(uVH}sv>bQe(8{u*iXYOS0y~lOD~aj_co&9Wnn$y?(dpxx-ni9E|*)7ORS5T z&qz%lXpEIMcSAQ*dRn~hg+r3Zjpn#}p8o|;$t-l~jRV8aXU_eEbr5l53@9~Z1HYu}r1ntDuQWMl?jNDS)f zwI0}2Gx&AkFMLrOdrpQePxcH6nx zK3>vSm zr+il^pjsw4m!E1|Z!b9>9^2F=YT8Qg5!$$%;L~GNH|<&O{=QUgghV!*_&MKcQdhSr zty*S|hUUU!oYA6|!dppNIw0R})hh%0%HrhPeTVcgc8HJg^+P zcx^JcOs}|Qd7^Mq@PmDFVj0bIreHSv1OjbaL18_jv5g z3PVX)AXjPx-MOOu?kp;i_L<#7n14)_EWQ(+lr#w#yuhxNPTr+t&S zoJwzKd(`!mOGA%boPU8QKSGTt=OPA|x!hq@Aj{Oze4yfcPD>7UTu+BfB=qNN2S{yV6p<%I zYpBeNvWoHoVvw~7$pbLI5cU2`2SX_Eme7I@w)WI__k(ZGE}a$dA;aei{e~Rs`9e$u zHz4dyzX~=aNk?^F#w2G{-t|+xo(an#r-+Z_2#Vi$*+KMElqTlemoyamDG1#rJ;nh5 zh{wX4!Cf(r7qpmyJop5E_=b$j=#zh5Sq;+H5+fW_ZVK+>d-wi2Ewx>|-g1iXuAE8& zq*~dmhyY#m?n@s^EapiBe4cGlCgPFQO$D|#q;5(z7DqhIf8al7w(!G?6avDIQfj>Z z@J^r~ISY+IeweT-I3f1O{1;+QT}0|!O0znhty<#VGI z*IsivN9a8n=q|Fbye zq37HmlRq=YYdIG=l8|nXupt+)b5^Rra6&uI;4AUsJB7l!m*|L`fpDj6*i22!Je&Hi zon=Glz_dD9_MxRj>T3d-$KfaOcXffEn0JdO7J4@J0m4b89Pa`TqHB1R9zKcxj1Oot z&EZ~5KoUUup`77OG9kPc>1O4(jDSFNmyQs+#d!@xS0@(B(r*!S%y>F=_E3Gv5O2)c zNI5>WGuRccEtR9Ej#PHhE{Z?3c`J{SO z{Ng%31LlSP|D^Bt(utyCYCg#(P*#ht7dPh0NmN$ze(5KX`^&2Y9SmHP)l0LO^{m2& zeDD8*pkz;CRm=MWXv@40^=6h@s8_xxj*OwUac!JT*7odnwsQU-{w_hz! z`kj&Xs!$eptlLBelg-^Vv^z+Nzn-;ROkcb(h&tfmr;_#FY|Vc%DB+kjUL^6i>v*lH zGdpJ8I<^aEfPkEm4(2f!f9}n7G90z|Zg3Kzt*fT@YY7!ZNTyH*Z;r}j z)~T*&wT&+Vhkm;uZo00qM)5moPVL7S_(E$?%fe!2+?L9jkuiKszVy${pyC$J@BU?DnD zXA^?gGj95khHsc9pgKGJ&AF|Q2S=D$SF$;DENJE z3_^c9s^rmnvK1(z+(cBh?qXj(=GkcY0t&l~DJq?p`%r5}HP94y3$>13dSw78t&o{K zgJU6mRatHwV4Rm+afQM_$ITYsVvB)FVZc4uPGu#r>KLIx>XC<_?g{uzSC}=vu@H#; zt-r<`Tq{ACn&9CQ5T}IaJXZqM;MP2Z(Yrb-f;+3;AUp3u#*6yjYM?4z{tToaw(sqX2My6H8A4l#`_sYbaG#JTI^I?iCv-`l1ySoZ; z!pC7veh}|M+V@QZego&}z7r#g_*bS1lw{>xrRuSgHvH5}0mb2gc9ZovpqrA5#dBVOw~gi+{(6J%qWvrjY^_BZ(yp>6}m$edR=l}cdet~^@11c=Q9!TV}<=o<+SUiWDuT+0cq8flXunST<~ znHjL1&thr4ci?MJc%C{nDm~o~PJWH)l53fkR}N!Yc$yZ-{GXK;&J%so%?kTHR?Q9yg_%+S1zS7(|Go};+=Js zm6t-njlBpcdVr+}b+Gu_{qFVT&qdQTY9J(Afh*zC_*dF9a(s&4X{)YDR1&1a&ZBr;1VUb%s$LWJ zt&Y!bMJ)|Vt)J(ZM+@dXzb1L|-)!2+!3@zMf-BzjJ2kk15pA@8kX4U{pfuQ2Y3S%& zuxh3My{5}14jzK#PnP29p$qKRX ztBnpd>w{m`R9XN|*P}A$H}kJ~l5aXbSGXBRRpM%%tJYE~dOdw`diX$%NN>eODCI6e%s3(J~6WXE%TvQ*}=l3`!zQ_3cE=TPNOZJV*Pl6T#a?HYN+y;G{QWBG!@C+B=E+*~WOr(Z%W3rsXJBZ1}TV zwO#~ehNV2U4z@BnYS=HaJwnz0=OTgij!(J}>*}uT{j-u--xmrFG|VT!C)r@rA-Jlt z{#~XGu9#%MIPBQY*hEvME0<>CX+XPfy^UlfPdX*DEef~-REnh+6(rgC_~&v+>7(Pz z`5HPk@rFO{AC={J<$9=*T~2UnCXTHviS{2Fvu<;gpmEt?nuO}mSAhi+aqR{ zz6Ybq_ueHurMX2E?_C3S(Lr!KJi*iMo?0GlUPhU8<|bM|Ag}&EZeJ0MA64+jT8Q0RBPtAXbd4I(%E#-J-T+;{^4F3HM9m zUGMs_^bZW6kK*2^jWI1ZwSsbT_CbqE2^N~N0#Z+Iv)_pO{9faIUYX1{BZt9QI*TH& zZq%=_DyCcQvjZV1vJ5(A3p(b)h2wxSVpBNjqxID#VLkbAm-h=t7Cqqwd;u>1pp9P9 zGE%K?@ajttz9eR!Wf^rcJ}qF=rMaWtwjoX3l*Hsj1Zcfy&WxWSv0 z`o!e8Y3-!!;*;&&eqyEzVbj+-vl#`jJ{>c&rebWK1Q$H&7>qo-oUGA&wT)QRYEXVa zjc)W<=S+|}GHgxsgC!)$ZAGGO{@>|weRTX>szb`{bVLE~l~p0tFSmO?twuH5JPOV)yIStw=gKtC{ArLiv2^S^SW8+49tDM)zrebL})$hQ8XbUi(0OrA-n zs>sv#Ib90Kp{N6aqGd*s?)^1S5)PsgDXVSOVJ0oi*QVulSY2?3V?!W;S&)F$ZyEp8 zkoOv%42qacz_BAEW=b>v%Ekbj#X+N9FE!>qqCVIiHfj);vE$R&mOpx4b^l_3{A@&J zjpaG>E}Vp-rrnht;Bf2lH#Se+)Ghb8a9aV;+C zepau=B*Yx`>uX2`#>})*SJ#oVEZJg&rUR*TS*HCZU3PAGo$x`>t1F5@AUbhDkdyfl z?#I!WhRt615#(67mL`myJ;}1lo(K@b_lZq59lqx2xc5!|G;j7fko~-vqgl`N-&fOd zySJ~`h@YtMcwM`@twCSUU3c5<5liQvt#xeX-|hV-pC-1BuyJ3pFOssy{$i&=(Lf4( z@?xEahMjabOn-c_C%o8N}}vQBJkObpT}x zS&!H1uBs>_=9o|i%#YK_tFuXVM#4`nFTjAf!D;nD+N4-8Z&}Y;@QCaot0!$-) zOm`5O7BE2R)$3g3@~8I-sNHi8eqggbt!~Lp!>U2U~3a7<^ml+=vK9J*=*)1Adq(rv|G@&M;uhd=;4R;T$M5(o5t zJrxavm&eF&kUXyV)tEA%J5_zr7@TMAo&W01!5iIizYzv!?s@(+;W&R{RD3tFkpM(UP%i$#zIF8#*$`X;^2C?M@ubY5 zLD@!u~%laFy$A!}63VFNv4e@9H+3yWp_w3Y6|4pc4D z&~F1tN14w$^D^e|0|U{dQw&d+U7$@$cTUkQH8R+!y7;CkHI(wU z)WA%nB2)|ws`a`N4;rfr`ag7eje%#H1%?TYXX7I+GLJOx!|0pP=b`=L{B%DP6l=nM z-{7^t+1iOSW~5%v^iGO@ttffh7E0?2ce%UL8ebUZ$PjpM#-{5ky!&%TAzy2JKd6=bNJIiJ!=pD*42b_&hbDvRo~Yu_w$?jTDsbNi~h(LCQ&LR!E=Weenv9(92=A| zUrjenxCcG>@i15cS7|fR;ST7Aju~5-*1{5UAwc%a=<|N%tGN;e8I|smjCWqtfV`!~ zR(tLHNwW5%;CmfbNTY7Fg^)9y1Vjv4)e@@0AIuDv%@>h1-SHgd2+&k z>-{#$wgt=;o^>{JCka^|q~`6z06f(OdZo}ws?6Ip8f+QhTAo9Wv%eY zd$XRiiEyUSmTH3z?d%m%h5af>!wbsHaaBe72lqpT2HP~6Wa1}FUY@9Qdfce{I1#g& zR#5f{&9hsC;>PGcO_XRs{O(|{n{DuC>pFy^szz@SclDtmkwCm*|7-VA>iySScfuc{ zCrzeV2UYb)MF}fS_xvp5zCEt!eb>Ji;XJ1o}}tFVyytwnQn!#od!yHM%*mqi?pIm)iRq*NdtlP<8ccMB2M$ zOafm)zx_zr&?ChovTv{vWpL}-@O$CeN1`!pj1|uSmGFWjnS*`wf178Uxtb<+%rp;B ze~4KXu%%O`Nxas}28@sj9}1VfI^A@JsFp>T^I`msWlkQ9hdqvP7>SHkvOO9BUGC_QUys*77KM#L zYjIE9g0KD^N%c#OAk8G}bd(f_B?#&+v2M1(WYg^~w5TCe_9?G8|^T zX|+?Q{0GLbl&q^3QAlm~EDst4g|k-Oq|zx{^*jPE*Uuz9{QUOZ*^fkqXww>9!iIoi8<%lI5kYZ?2@@982TAB>D+j8|GIpN|E? zm(%@D9d6ctmf+f+Ns-cy$3=Jov}b+B;ym9@)B)yJ6I$}Mq`k(K8R=jKl z50`-MGJ@=+Lh1sxJ$1ILqhA|My5Ob2jU|{qlq{NAA#tkGL`Zt^-p@$-`TI2rn4Gqp zA!*e-1@)jtHO7o?$I1LSrO>U;kZtb9gcabaJbN*Ya*R<3hr!O6__zG#C|Q1df)L|1 zID7@_7;#7OqmeR^I%4Le>JxV#v=s{eHm~G}~ zvtsdaLDoRuvwW7`kZRM5k4XnI&di;8pwM~p&CH5ncmolbZ2rMo``j3STf-8etagg# z%81F_ECap_@sYSwvM!RK^Csoes9T9yfUq6&!@VsNwOs0?v1n&;$~k32HHf)GxqWsy zqYl%Y%{sKVHW#CsBhSo*awk7w;oz+9?5C(W;F}6w06X4*@wqB#|!1-PQH4aX0vvS@h2s6iV#Ki zrBPhBF1`H+@C_L8mWG)K&U9|-BtO}s^`FsVk4t8(lErOA(#z4x@-{7bHIdv+i-Fhw zxcq2PAxn#A9t|S2@2vZY*T#Hmy(F7*sZ=@+mn(k%;CGzPWOjw2*9`P@ zjQ?7k`kGzsqbK74hvs&BM3$+1#+-b4VQBngt9YUe}K@VQGc+XU` z62L+sq_O&?Q40}JXaP^-119%~WPpZN^O^?P8eSM%*!GM|=UGO;5jNa0YflEs6-kFA z6z~eJW-RIi&-fW{&_zVtCofH4Wo9nGP!C=rZmmvYH|jh7OI4N84!H~>{KAkL^-Gc8 z%6~HU3W&CilRO#NJ=9jGq$~y@ke9|O>*;km&sCqyu|~fQ{P6X?M$HKzVsZDeuC$Q| zGgX;LfNkrhi*gLZPS;|heqP2M{1_0M@a^vvqGy5_I~bA(4x`UYRw`dPFKk^;Ow!{2 z&%X0N&t?oBR(ZaoRw*ceXWOs0CLxQ2#_OsOc;D+Gp51bG+LKw>2q=dDjCLi4=VTlj z(Ru05psd=`XT>d;*`UO-3EmYkod$)lxV+QS+uk+yesNLcMrkIW=!&i%~9tJH|aiZ>DGzJ>E(qyCuSW#6>t>@%@ zc%yjVNJhbn(R(|_(4v~t4!25#_0_-7(-?jS*jJ}l*D_{lBTtt<6U`TlYPoQs2{a+K z7ANYRCWmdQ3-c~;t8R6y>;4*>h_unW2Mp3xMlj~i#fZ$a;XO8ruV0)(YJ|a{r`kxZ z)>`wA#-Y7m9_jL(vF4W0G);b?IeBAsPGCC}0 zls`BIuxNdaVjCsC&;7_}IO$(S1%DZB+TeJ*JaBhb8P2*azI<{urd^vNixx2^u=iClU+su3S7Fs{H2|9IK9CoQf*J=x)TU`{4!8HMHmM6dR)WlD`kI!162geh`4e{p6{^@nN*7;5+`0 z@hNLR^J5lN={|a;>u`u#dsi^a?D%ZOoJ%8Vf7ot5Wqlb!$tG%WvwXQU>O)xF`V&~6 z*mT1~w4JLTTmxmR;v>_jhC4ee)pJGf(DjKi(QF}P{eJ`_oGQ4>{*HhAiUUj>_;XXqk9_<^OEl!vh{*Ic>D^@&!i(ze$9rMlBj;y=8*qPG$ccOP89tye{gL6NyG0RD{+z;)4uuUWk(iw(zxCI7I zout~^-p?{x@q#hX+)IPIQ`Ze5%{3?okQsSwEx-TpsI@esG3t;2Dl*?ygdFcm7qHXY z&xD5k^B+ulCX(h>%%?puPm_7Yh+e%ghn!hVAw9_GlciV|6&I7plx#7Y zQbua_4lnIWF-wF7B_FS^c6T?TxhRlIfj29Q#hm!B|q z(9B|Ub@J`dNclp}lJ$p?9MVE>z0_&Pn3+cq8Uii3c)8n>v%cpvIWoHUc&%44%$HaC zkA+4a9>g<<9QFTof;(FT<~dp{l&JN8<0AvuJ&!R9zck%=d~K*%BLfW)&>gF-R*xS^ z4}8hrIl}%5>-80k2s=L>h&2XS?NXPzKGO_uuV?XM|4Gamb;3q@DPNhq>!lh1=OcS} z^~X1j#xZ@mpL9Hz0UbtD@tOIrwj{`%P%75yS#bd~_fxit3VGIazI5;CTwx6u|1!Ne zuM=V!Zw?W4)7yJ6&R)j@+2?f6Kv+EJ4U@Xe{9boj{rvT~ zN#l*Xsp@3msvDDqcz?R((5O)mJ4Hxol9k^0>3`lvm^)yG?lq_s%W26Fn2B|bJd=9F zy-;AzQjSur&&k5>p5op`BA`<%Dt1O}-F_{Lli1h@4Aqj?ed@EyQLgn%=?l<TsNt@7}{%1o`W`@24|g%_{tdLXr%iQiY-QiZL?{oP6To6;CqvWZmf7?rQ*!P;6Q zg!@m+{bsWS$cAa;JyeikYJqnEM+PR)>Z{ZBWJL<`t<_vXjwX)xKp%q$G;%K$`z6x@ zqaoc1B;>_A(7VCMTrBz7C@Sv6=7pUVzy!TUn%2{FjoJpm zz#Dh?DJOjb)gHaY)s)*D7)%=PF)drXjr?NN=?~rPn{AWzFnlH4(FqNA^8B=tAc3tK z+0llN$kL!_*4mvmr;M74s@*(=8h-e{lF?6O$P1z^u2MVu{CWu`l_5? z4^r&djZyHp(^ki=0AR6T8VeoQTRr2>dqLAjf{vS(*h&Eom`8Hb6B(hpi^YeQK5=P^ zM326)p(Y}D$(YG3EqHXRJkL2K@ySxC$MShxK=BU`MGSp`Yjm)YIjhxH)ngjtI2~APo#`dmN-cx|O#Gh+_qQOBQqgLKU5l!y}6-~X1c)bQzrBmi_qku^CU zpB5Hf06pEA*1%Y^0U+8K%YZ|{w+$Dj^*LWZ{{?_-?N8WFAEIT+-38)Wr}NO!Tv5=f za$A^bdAMfL9`=~XHkL1}J<{294sDZLaMLsfR%7w&ZtiZx{3oBBA2r7VWsvd_?r-{& z%PxXHykN}R5i?<-FsM{7rOS-^@$xBy*^N(D%tar9sPwGA&{{(l> zv-a4;l}OOxK{(&CKUN2H!~0?>u9=yO0GsBeJ>9bDS zm(RVF>o~>g{NeRYB~klknyIHGzP53J9D!Uwh8WB2E>UrZU5JXi&;U#3<#sw6q~9{R zNIST7J(JG=nKv$i08BHAeFxayz}Zj3dxztv%$titya{jG6DQZ*4D}=CaA}3Cl6)V$ z_^J9W98n;`yuM6?V>?ox6|xlJhyXL-p_CO0VrTq$JOlmflUR$!`X~TPH``81zD^{F zZKp^*d61d9y4jk+n3z_*B+kr9!qd-&)Dsm{yIH-RdAfw1@L|t$Z4EAK8dyL7Q%rZ8 zv=Jr;P&00qaHOn}bU@Lg6fROXiaDP23dguFxBP!&G2Rr98R*lfX04&kegFEmabi-= zULY-L-=Mb0dNn5jA+^4G%6V3@>3p0`&jDkmMIm&=GOgs@@yw^$+UIm0?{w@z=zk~4 zuwEHMX8{)NJ48wjvvkCt)Zkyh7Ak2YO>{9VrkZK*Wv=i3_v*NndZM;KY3^jA(NyHZtz1WI=T7ghe#GHUS$YF? zG3HnT>N4hDZPg{c|9!QKn_=rH!b?|%syNYPV2cOKXT+R0-#jSeN907{B6_Jyd4%AP z8TBYhGQYf+)5G&$6TZz^-o2G%#HN0z+h2OFu%Qyb7E(I46-a%`C)etEBJltO|8`VT zYpU^J=tDK>F_Sj(x1OfvIge*?Y5*{1y0Xm83J=Aj!NKl(tv`|9${*bM z`wu~{0znyR%_a6j8r5@z*GPcWrX%}Vzw1LNru9Xk6y$RHt9kqT!s6@c6W|OioN>f+ zy9NE*uZ-Hp!%MAmi!Z6?xKwm&%V^v%qvn}eEz1hL>1>SR!|_hsRtw)l-vYaYb;0pG z;4Q^=Ik~dqD`H-vMCJT8x})U1ptEveLzEztp}1U9$f23*k^w%d1G_ZzOOaue`2Yqz z+%t}-KACppkp#M}Gd~MMzVIv$j4?(fL*Yw0l;SmMaAO|J?6NucTxW8G*5h~x$~LLX z+8`gw_O_z4Ah+=Ws~V@TSV>Dn|XSVWT3;DE!|x1W{K`9BZxu)Vi? z{eAxZywvkfkBHYKx6GJ0oUwXTH|72aGmxz8J#;6KeNDW0gD=YuQB6D$L|VDwb0(#q z=3jjRhrM`h=Vte(aNN+*C*YmG9)Op*w>u&uHn4O(ekzfm81|So9a3wXhFTf(yl2t< zTF=DJef@mxxIzY+J?pM96F6PJvHsTGIR+?WPre-}e=0%gthbzfJ9N?VqA&kRX?5L? zLD2d3{LxIO5PpkiFA>z(&K!eX?To+0oe7~ZP$H~%STr| z4JkBnBJ^$#(}Fl^H>zsBu2FlH<5l0Z)mmh#>bDKIDQP8t6QEWPuA$oiDv~C<^5z$Q zulR~AOMAzkV6>L~-XT{ow zsE8Te08x5M${y&}#g}u~34S#<{S=5wD1?$VWe;vx0zidB4@^jQUP7vfe8>`!i6;5@ zLh;9HUsdDaVBqXS^(|kEq`K3XCwY;-B%CSl;ujQ(Eb|j?{L_3(#T!8Rb63;opgY~( zd4IhTC6@o;nIA`ztjP$>bmDxU*_vuZzePaI`1Zrm0w+gaN)NT{ocQ6t^S-Y{v3=sy zjgSMrmjAq`)9FXC;1@kcM+u7-7ijMNHr&+@id_5CI@@Z!lX@(8Bv08Jpq@fu83@_&6FpvaEQ0g9s1Wnb%yTynk98C2TjF>$1Ogdn zZA$B2=099k@KWc*O_#D>jR^ZKEH&GCkI+$jPHDO_R-CCO6Nh~+;#Xj+F*7Fn&>GP` z1k;BNCjXM+w?5Ud1?csxYdH5A%Z?0TUJ`M*aRjJ>hLVR*DeB~{n1crq2wsUq{?Lj; zl~wQjAz)#dxn^e&oJ1~J0Q z0YOW$>J%VIMhwRqV-!Z|p2SXf1$U!t%aLv3s(G?ZvI#0WuV7*~2gu|vO09Mt#Z(_a z$qv~?;61`gBEsk~&{ zIEj!<=kNfg5BB7~7hf_U`H%xE%pJ=|oD~!lbgCL@QXNA#C<0g*GY+t{ShmgDQtOJn zBkWAR3Qxz`*kmH!D&vE*IPg_E=)0qWB*ZWXjl_{Y^9OhesmGG;6}|;LdZ}9>RC&rY zYFO}gX9=cR(PLdj7?br=`eBp@2YbY1alxYCRn~%VL`zubh?X;=;U%k+k6ZYy%^VGF zx@F}GpoM!e#lwk;gj*6b zV_-I?w*1#}rhJbabWwkmE$gCaM5lb&6EllzCfk#NT*QexdpDyouLK|;izJ^bf_HKT zFAwZnFtMjQ1>Syv5pZLTqZ9ta`KyrMJfmH=k&Se->AOV6y!nAz(eqtGTn4Z1Lkxuu z+pc*b-!JZ8b8NTT1MlkQ3h$y1W3+ZErvPd8_4uIP1J=)ldO=f>THSOJMIDu_X|Kjl z%^q;BV)cqC8$EJLGp{)78*lg~^&&IjIy=Id7e&eFn&W#;#uoca9Ve0UDMh~ySy+z8 z^llnfT&ViEg0Ckk!y_u?U}8TPqhJdvR6YK)n-)c<>^~Aj7m;rgXCP#mdA~}Q#rnqG zK9v7~!A7-=B|`VDwUOiOaJ@VrGdGiVE9Qs}Vf;BTlP{LYrM+ZrH^I|lzDa8dWao5} z!Z`lAD2$VKu<;G-l#MCJ@r|;|{zR%#P_NpdJ_j2>ovB+;T&V%0^vq&VO4zod^WZ+s z-Sgn`>1gJ{0GpQ{z3O`|OP&WqO_h#F;IIkgKB@Y_=m>4cUgT6}Qzg5gz_>Z-RD&@KTi1*zeU)b z@_PC+CE!jeLc(AuWCCMv4X272~s*#Ykz zK4H{imGK#43E5LA7!kH@=u;k54V;dW?jesQh{dOQPLG!psL11aF=?sW(DEX!SxZo?JkP|!HsouFybl+_rksC#r3pD z*K6{|J@xDEFD}l!SAPWcg`JA?)V%}F`%5REWfqIK+LQ&A`Wjz5*igwQ5qEwB-rtH$ z0Z#o(R4gR=zeI&N0e_tLe%utM?p5o1%nWBQKaYIYx{*YF?$=IuH7>p-E)idGG0shp zqSbjWJZN{T^Z7IHH7I*h%R(g`4 z?34UJ@t=hCRWu9elqqqokdLBF8xr7KrUXH96FSa|3L0X5iU?iw*!tG}U&uH$c62{x z=D?KkdpxurZrmKKNAVqfNzqT7o8_0=$|V%a8zXO+J4QEDk*vw;GbXWJuA*O5y0Vw? zo;_}D6Ye&~W%8EipUOpPot*MlyyAUz8#z!|8lc7 z#=h%@|6$tx$H?7F$IQ#mfK$Ym#$*i&jdSiohEG&b7Ptl!DQ}Iif)|t=rEEk*c#eg@ zVAdAwxRJ9YU&BL_1i_61{|-)lLp5?Y;E$u%(vp4^R$49L`Hz9V!ug>b{+UpJCrd%u ztzSSFcIIbULb|~bE-m_3F|=4eqvOPE*L!k#$2=)204L9m zSJ|KRdJqV%NN0Mk(7tC1+QR?IrYAB|l8_xooEBr9ONarHLgDkas14=-PVVmUXFf8AATK@8N} zE5-YWvNUQp%;n>LV@`Y9KB*GlA6G9I6q#<(EBf7#@vI3pw!ug ztd30OG**XS4@sl`ED2t}JXu+;6L*g>JzXtMnoBlUs@RS^n-a4~& zJSh`T$A|G}+1LOP13qki=bW*9D*rh({WpQ?#?O+UcNy@0S56CdrgIde33*d!#lT;u z0JCb;R{=q$E)#r_8>Nd<6$ZY!h?xx=KPx2Enk6#qvkGY(nA)y2fo94g${=lB#WxL+ zoI{i6#oJTT?q`Zq6($UXp%PX)Qdo7rkhL$@rV?935vioF*V5J7X)btN>Dj_0bGsyK zx&SRq%0juXgSJS_V>E}zb)+WDUc14f5c00$CQ7p@{Oc5{YRe;1EWy=GzlHz`riejK z!*b%*Q#|46(5+NE4^}=;I3E8r0mTqJk}j7a=Qm>2&+1a> zANER~E;RYSnKbEyBw_~H&;==#0DHzA!?EwCs^b!H`UIIruHNcO^Wv(!-W*=SV(FSy zq0L?#m>69(2uB|eb$FnZl{a7gO%4#pxm3AE8c_h}PLqjxb@VilQ2Grxb*aHQ^qqRC zIpsJ9MFKAo)49n{c;{KBm*=!k_?XYDoeq`5H==$ZHsz_P899g55@$RH42)d*?jUmw zl^-Y46J!NTbDbQYxQ;!6Y$e4e0~)khccqU%rkT7|O&rlaJq%a%8QDfqsnCbX;HVTr zX>NFRo?`AB>_zG0JQJ0J<&hy=BNq4$jG^FW;5e>s&F8p`0E9c7xH7t!zk@xt-53(j z?!I_vsrKQ1ZbmR>bs%NH7E@e(_2@%3k5AOTA#)>CQro~NHB%#dmsEPjt@2P@-S-|; zH*JK2%+odkFGf}%5_~ls{+rSyt=?<~J42=(znebvX;B_OUh3ys|vSXdBs%{>kjqbp_)`;xI7tS+0%-C)D+D6A|&RmN^k<*rmA2uBlOVFDI;(iQM>H z?7xzuhTV9O%e-lnt684V{Pfh@Bppr;r6D6C-=8d-dQ$B9%DgFzV&egpM0rrHqYu=m9(PvQvKJvV zZvSHHdEi4^UbZ*z1I-aK&OLY9Ensb1^>e@BWeR?y>dH_&)m3?E28m39I}E#gGGHO)1(EogTt(cVtu}b&3}E>6OqBE)2ga!8DY;w zvwGqhdlU~=ru__rg0Q~OO_My=_mS^yhd@zd7+Np(H_s;A;3BIJg z@Ry_1JdN7u{dmked?7_(>_JVa!LgBM89;Iky}qpA3GGPMw;6HYf5`c5+B}M20DsfZ z;4^2k7o$RHqm;=DlLM`^apDcQLS((VR6 z0oBB}wKI?OXaOKLo6^i@nP%I%6x_7ft&Qz7AS-R5JE_H~Gf8F}UZFxUeuwrZf;7o! z=?b#M4B>n9X)^4IeSePM(!4hca55W@B6E7FPU@S zPEg}rK;ZA~FHA(L8LCMUi zq|t+!U;O6j*j2$}D@^yG){S_#`j}I@F(q%U6SOD}{o0GxuSVaZlG@t;glR5f^fi>t zgc&&Ue1slvZ+{*vQ}krLUs^c3#>;%AIjvOYD><-6GS-uIp zV4B|&fHQtxUjPEOo(1W9v{M`7e9F@G8!x7)Vc@T`x4@JyQ|x^Y%%GR;Sx;eK3O?{L23@DzlDnIYkrE<94vnyFnNE`i4vhpZ6~Sv?=KHbLNV3|26mn5LAJYhD z#qXd|{4+>RdZ!Nl(ailEIw2SBWJ*tQm(ibFzJPe? zav8r!D+#>bS9avWD>7cyxYcLf38e|BdBZ!tiQO$3bz4SWA_T_o{$__0K@FmI(?bag zl9p7L62^Xya^Fsi6!Keeo*@c(CE-{~{ybNkt(AV@^}hGkR%*J`dJ-Zy;x}WlCDB0k zGjn=Fh6U0n-J=;OJKqAS@vyfw&gSb)8z!}fudD0*Wj|52_Ui%P-h-muFrino8;Pb2 zZhoy(eJ$Q*KBE%k8mprWR}$UG$)7dc5Gg;4B?DKCHg~!k&LDS#KsCD|Fr|s?Z?CuX zREOtTNCQFCztSd|$d=!18Y)v=E25z+ne}D#heFkJ-}y!UcozSLZNlcQQC~JO&$VhS z5CFC|C^SBc@4da)o}Uf{$_v3%Fp}o_EXb=OB+ZL7=YTQd$dx@Ig)WvaSbmBmG=9dm zuV4mflEO8mM??lO$dsSqWAeV}#UwA@O1E^)&|Qvl{L8{y&6!drU;S#}=klez^koHq0Q+W2dyaEr zni@w$!e(AW2p!hvzu#r9B%5`3H-`E;Wb!JStHK-pf;=N2?y5coGvrST7wecg6qaDO z9lXjoZwPcy^vXZyP>{=uiyFD=D~0nhCQ3MASL2OtCsvZ!h?lQwXLA#Z8gY+)`V#On z-?e%vKDT%juP+)>AS7678lwUG@aX7ds|7oMH=FxRfNbQ5P(bauD-@8&Ti?JEWUX;AGotE;s7W?|;)B&~SfVm;h0-Re-bBdP@_4l~^O;8L<<*8~5;~&ChgDwi*Mu2uijHw^91 z7tWH+73l*sp%ZtHT>$=9^7G52uat#aKo5wO%x8 zwlh!vU~l`<^R9`FRB2jGB(A}$mfHBQ;zlvqM@K__OWaxeX*OG}?TG;H=ZQ955xZ;t z8%C)g9%m1-EZ1W*Z2GjqCjb7G#@Y$Ot!T!hkfnH~|ZZ zgDLk@rif9D@f+)UFmJ?cyWg`~;(0COM6z ztsI%iFO+q!pIZNaAlWYPMl3w)gQ7)&Cvi7H{Fd=Qy>>qx$;R%&IM)np+i*`sa*D{= zl|oxBZp=;Wj5rqkcFC@)xDFfQb~)4k!~KGuklNW~uH(!+S5d&jwJ(AUpu}-?AhPm_l-F)asj~XJ z4YTCX47q4~U5W1QJHxH@mlP6jrUGHDISj)?hIeuiTtG^OakC3XFB#tsG)_!by za}R15b+UVM4+=!5(f`7+=zJ?Knjl5Q>}M#xkVl)D2~00t(hSrV(G|gTj9&<%N{H5y z+#hvYoi5cd;HvPA1+fs4sjW=|H~s0cDdA!y^4eDPN2mg7Wa!K<0+rNO23~Qu*1&>< zpMK)t;I07BgkhT+bqgne=XrKADeyDaw#X;1ki;STUkIN!#kjEiA#FpG;Vc8+u6*Fd zm^?2z35c|sS`oZN zK~fw83X6_Y=5`Wy2Ot)ln6+4T31;L-HP@o!m>=}=|jr5ny?ZWw97S zsi^?dlBJOx5bv~Tom`^~2nQ8k`TZa)en->ZbEer~o!9qmiuM0!Vpu>~pqu&Ju9ecLh}OKAaq|SPDffLEF=d*cXPD8w3#x5d!2z(t z17eaQk-^oR$@2W)qT+f&3*)@DdFvm&Do>ycW^Oh9F%^$bM7HN{Hh!A2Ab#PL0nZW^ zr7zswXk&-%l8P0|Y}JUjpS(=l)9cmf!WOyNgFZ3H`?@{x4zi>Q699yy;fX`rM1^g$ zJujdR?7hKzxqD-(Xer;YuBuaepWaP!MY$)hv^BnT_YF?6Z_8p7syi{h&9+2duspA9Eu`xUa8Y+ofilrk&?u5$5q7TT|i)a%fZWmi`40LiU}^1S0(+Bzo+z#G1`?P~xY7+245H5=53Gb(p= zyOa+`>t1)&*7gE^J6*xVWrTp=AC#`uY6xMyZx;AOK*Iz3L^GBe*LOwkui;ml(vA)q ztY2Gl$-aJ$!@G#KRFu(_y3w2u6gbQ|Ygt&(gTk16hAgS^O_0KkG~lHFoss8y1U1#6 z(4HOs-PHumpGHTKD(qvJ`N0_tVb@&4C&rP#h(S0JQ%dZV!{K@iM6_*vQ8`Sh=e!&p zB!|XR!>JQ(Co_I=Zd2CDz^rBA3-`^H$lxeKE9okHO9)bDub#B#iM*#q2`xD z1iGr(%6~hxo#iVnIJ2+gyKY$w41pJylr_=QY9|FXlbdQ4iXKU7AxukIn1C|b3*BK` zeBxeM0(GciD#+GY34Esc{gJ8Z!ILA7alemN_n=@*6*7MZS9cq6I8duKJ?X~p8>0Mi z{L6Z3>JK`r^7^$XN)iP9C^V~leYgX4zqGPCInm3MK4vpiBQEDM={F|7;6B}LVx6>$ zC3wJ|SkXE#h^Pbuq`br-N?&1x49nbu32G@_(?F8v!*2fmWM`Pdp72ApNcUI9=M@h? z#@tLvyU6mKHjTwKqo19RnJF-)O_KR|CeHV>DC*D4jp#@p6YN=exTj&)zhm@LfxCHO zbY74HMsacT-P(-O%d<6Ex}N#K{_swL_MQRO&sFGIlaPBZ1|lTfC=c?}jV?5luIz*>FicS~<^qtEQ6#OQ0+*Rqe5MMiPq zC0tomVe1LG0$RAH;WCbfcv?Lvc!^&{rrh#;OfGp3^4<vL88t7*L_e_>nCRP8}XRB}<~R$u;= zjSAT)g7tb>-411Y59qzrVF~1}2%#g=a0(kfBb)dy?HyG>!!-GHZE5F-mq9WMN4=Vx zI}T~J*eww@sG-l3M6B9f|3YpH#J5WU zzr*2CQy~tM7{_RP@&t-0sOGe7BL4>e${=>QNbFr7N#nDaTl7g_AL58plbbiRSDe3% z%|LXaN@iW0mo~lU?kjuN-za7g<(|3RwnnpOV8*-`&10S=qq^z=M!>mdiAsrr;pee>R&LmEL(U&Yy? z&OIYjZMXD+Wnp9^OWk<%XjylGPZK=}=xCNs8Ex@kA@PT&FIK-feQFc2h|f2-2>wN~ zo)EQfwCGrfP||-`Be0p^xS%%Uz3fK9C9C`xZ)bqvyYlQH$A7m!m!3TjpvLILKac_C85sgFt3IYTZE^*QRS z-k*gC`<8A0yq`iRXgiluZNaBG{sU0Gc(W??3!( z=7&dkIFdrmof297Y`r&7Ky2jDZARRgi_8Q9kvHtvi_TWQ{xwbZb zp?y?p8`Fo&RulWhw?`40Pm^4F>Z81`cdNI$3^faytZ^hfMfbnui`Kq3rRvbQ{;ua! zW@eSMI&T=A^Y2eSpV5C3CT^w#!MXd)i`gRTu>~Y!IB_w9yQu(y#k0vW(mrc_SUyEk zA&2{{JiUMOXjF$F^IKb1BZNbwYl86N`gTEyWB7m)r1W=r+AXgG3y4zYPMkZsiI?~s z&al#N1tOyMlPg}u@NRJD;d2_D5uG=3yVe!-sawwUY-bwhu=uTJ9ne=gfT@lI@7Na9 z)|FS$Ng7y@&(=o&|C_lbaM_g@MYCUaXeGH-xP!$i}>eiEqfC^=Cwst?_|!Y`Pla-G5?HKb=;%p zkO2@i=rpf-RbR(tyf2kDYe$uuxi<+-r9UX}IVciIFaWp+xXZq9m)y^zWWMI%QxEib zgWfQqvhrMoh9e!G2c*~LZNJT$mB)u$&l#9uH66{9wh;^*q%2w$ zh0ojhj^E>`#R`h`<-YXR2-sy%{1k-c#fzUvmA=dudo2q7AjSs+K?bS=-=*OycNYQ_ zwe^#<3&KXAhkDoCGr+9zFafur2wC^ygAcvP<1w}b7R5V$e0Ww>nR}^`f&MR}h#zo3 zLF+%Q1ycWQXtLdP;V{|nXiE~0>l5j#qrjC!z+jvrjpr(>l^1>56so8xNSuRcOBn_E z%OPoH>01II0QBc={PVF zI`5ucW7<(ptpj#^*G2S&PT+A5$ukyR8FCcb(4?!$@#W6q=BYu?r{WR5=WIOVF`K{q zHqz4Di&EBsGH7vlJ*Nu-J=K=vqau~2%AiV5lmM6!ypTGA zxI6%>_^(ztWPcDc`cv;bHD;6Wd8W!*$EUD*;9J+n0EAHGv9#Q#1Gk*vt`3QnVufB8 z=&q`dRRkSG+Ug9c)t#_CvrFz)ha4YjnR!?>qR-y;MDYy;GNWLVzV^M z*$30sz0><5AW|IsND6ishA3hN2#;*tY5j4*Kj!k(s7MJRUL*^IFV4}|!9~OyPZ;S5 zS71Saw$h;4_G5Zset4~`ct#MpOUDNQ1h)1NmacP>F%fdTMHcAv8d|i{6kJ@wplFJD6 zZvhny_KJHhi@a#Yc>@#`w&vohq35CUWaA;MP@^6@p46glZ0D$k45r_YTOw9}xOUkH z(V8(dLIU*SVVelO_wWinW-$b&sv&sZq&-r#>$w(t1f663=-GfU zA|;fEA^}L=ZX9pN*0Q`>ihfLJQn*nZ`NH*Xx(Z|DSAF^9`-M^|C@FNOoOeVT``bpf ztf7bbR`Byxyn@uCs}DpMGLVSQ})dg2l<<+*r<{Pv{j%Q<53K&hy! z3SRM?#$&sKv9X7de#<^D9i@-IyOafY0>u_TZK0I(>ul~Q&8u(qI7AACnJ~xvg-1u1 z>i0|4LNQ18AWA$YRD$`~yU5XmbQ^)Sgv?~sE9S#N>D_((vD#631P2?YlDCR~S*Tvo zVO5)hrcipTR1`r$6huT6=ZY}w;pObQ=r^Hf40=YSa4Bxczxm53NlCmi-&KjE4rrMg zTT%-#^UQq49)-S&SgdJMW-(Hp+2et?23ib-E2j29Y8`T2MbNN4OVh)mF~MA-DDMYD zda7}A!`@^#1Wi6v8}vyEhsGJF8dg4*3+Jt#S|8RuHN2mLc6pH9(fkSBDpcOgq_-(< z53%aBD8K~yJ49;i#(5n{;c>3Uh`>Tv;ezO5sR+xaNuCY+k%nVTFC?!J&D~Bo${3pUhl-DKQp>* zS09ASC`|?DpkeOPm8EO{r3h^uk6s<8=D zs`6@PbKr&pE%ZIAS+FRl{y^!ujgIMGxs)%gl9uy*A+B8$I>|b+Eta8K2_lZ11Jctn zMn#@#`Y^%~Mxs8K)j5T49pzWQ>q}t5Y)q_BQ^5qBaM?xg>ozD&n8(wMZg?KH2R-!CkjcZgQ+C-_&bNvnTI_okP-XcE!{2koi(s(b6%Ef$X3>Ev>d zO`m*CRM2&o`X`dW=J`4&{jg|u9wTzR-GM!Gb&GC@xru9PBW>KM%n-xXSRJ6qh78pC zx*-P5+V=oFxZ5DRJt$<>WS4X)ImdR!mPR-I zlf^7xpgK|yIC%{&8E@-&L(&;5lPK#6jl2hT*$ZgDmwBk^>Pp#HxSliv2%cr3G_^;H zI5QhetQC5_L-ZUcA_H{0{aLa_~Tw!TGR0ksdN8j?_`PaFYpke)bguP2)f0_3%m&}|$ZIw5p3f&)Bdcy5B!O&fg!njG}DcwDnI~?W9Lc-b$;Iks-C|>4^wn zfE(U6MihQ=xQ;Wk(egpV1pU7g2+c67?QF&e6qs& zLrPY!lzz*y-Xnk02X2(Y4VaBWa_&J-Vn^r+rSToTm(n$Dx=4H3MzzkmeeSVF?o^tAug-Po%zQs$$Z*7Vn#5thWZ^egQNWC z)$VL2!U4PW9i)nxksFRdLP{OJXNjp_$49a4?PW2q{p!HL8ss(pAj9M ze5E(-9zG*?CpbYq5sP`mGmH`UAQu1%L+0RoPNP=>Hb@z$8N$nSIDD=6PV7%%cVaoz zri5BM#I5(`1RUn@^?hU(*W;?0wM+4;WdI^<`LK@vY>S3bYg9JyJW`(5u`_Qj*EV?~ z?5kY02K{NOm40-=bcIjW6FOo{pT`>tBg63+x}5NW-2pteE0R%p_|mf8@>h2Rj3 zq!!hlNC)1(6}Sh{ zSH0Y0`-r*R;k-7p(WJqux5Hv6D_$?rgajezMZfSSvEP8`Fc16IuqLfOu3@blNt&5}kUTy_doqEKV zyYhr{AXl3xN8Q!gk15r1dY|hdzC-GFCmXZILB;{O$@D(friup${)~z@KuvbsHW%RB z&ExcMNIiz&ypXrZMLRIn20wUPo*~F~iPlo5todM!8)=s?%07ZC>1*#n8Rjmrs$n*U z*eTugLm3))9S87g!{=R}L|G{dHqlSHi9FF56~sBb1de!Jt;=JP!K;sn&aHt@9h0kX zqi&Fi*EDlEc8&fw7TT_y8_+;Lb?8#Oe$3UQb9OtSJDSvUilGXlCdcLDlKa^so$=KT z5_X;HQ z!}~<^kZKI=3vUS1X=ryz+mcURPce)3s3EE#murnzT$~(9^};PTz^#y1mmtH)Og_@c zR0O58Nz9KyrMw@~rs76K$Q458?UQ9;Se!esKK%-Xv%@{I;}^K%W71=}gr?UGylJ80 zFX&LQj2P@Re*t(0`dEjWSw*kSnwr7qoM+l?0sY?NAy4+Zu=sFd*2RyV|?b3nPU*gVtT&kgWJ~o zdBH)|rz8W@YJ9TMIxC7Ar>Suxf+M;-7c>iWSl=~->`)q;%M z0|>QRow#a8vOR^@rGd5z;*EzFJ%lN*uA-FFoNi;n!RaAhQagRtHnBN<%Wm_ja$8}) zDn9@gM|5_Bvi(i21mn8#4WII+451d~&h+vtKUFof@%PXT;MV|>DznZ$jUoOKOF2BX z-XhUQ)uvh85UV68%}XA?t_yiG%WhXugI3&HfDW{o?509ob;{Uo72b z=XQ_8StS?wF0wVPWS8_;7tlz+f3%|Fw7pOJ$QR{BzQ1T*82=5s-HCyxo1z-s>xj>U zZ!`!#`9kCDwb|&gyvHip0$yYt1s_G5?NSDWA*<3v8!+7k{NmLCEJi{XVSY&jw1G>z znAkTdJpY1O3pB18Xs9dFIhdh~URKC$=gJHG$&cq*(~u)zwq@Lz^gd%%p94W**cEot z-_9~XL)v>Oe{LLD^emV600L6kr0kQK*W`@`1Iz|m{&ABhi#k9_ItI3FBJfKsvT(PB z;Bo&hFK=>a7gPxVIpcBP7{-7IVn=P{d9rl^9`?ivjX?15=23}PkSBUF}|5hA}XmOSf7WFa#ggJh!+fx>h?NUa47D;ir4aCyzbsdyqGu{rmb`nKAiwyK7aG$kKT3bMv7nhs6~Exz5k()&!C)h zpEjw-kp>gaG+(>xaF~aOxq11gh(ZOsLidU<&1M6uM%(f5QWICkSGl?m zNkuMqn$!X@sHEUVtu!sG8AEk@525In?-rfF#Yo-ej0^a3Mp08KzY{HfuO;x$;)R3VdpQ+3f?>Uw7q|mA81Mq z1w7lRa#=^)`3bt47A1~|xHG4dDvsHfFU3U4#qZYrHc~)Dz)UJ`+|)-WR-W+RtP!SA zop!1$keL2J`cz$1KMYtqj`vuw3s;c8O54a}mwk*avf(}V?N=ke2LUI#wti5T{#cGC z&$s26qo#>rE$a}z7k?dsE&Nlq4KA{Al}3zQEyN0g6h351|32^=7_2oygOPN%WKQ&l zks$*TjF$Xfm~<3M7Gj=N0gU~|^HxT@WqqRb>pO+N=%;=3HS@os!x$D|Cd8p}lWo~{ z5u_RnOn!^?M1qOsVX8*RY)fh+M*xw}p60P;n}YFIxc~`@0)&#M?Q)}Mu&o$u7gBqQ z-`q$;RH(U2_sX}g@wF&WbS-^P+EKj+nJMfc2ILmndes?YH_vUPMBTHo(5&v+9BI3R z-G1Jm{kG~>O!L*N3p93vYxs2Z1EY%tUAW{VwKhi{AMYZj3HcryMk?)A&uAt2Z@VN4 z0z0kQnRCvB1i}+FKI1;T2ZtjNuHFn}+S{6a>2;Tv+~&mA0B$W|Gp=YF6f^n-FK_O! z%VL%4CY2Wpb51{09#>lbnm%}IR99o&V&Ungv*Q$_rc0!Rf*O5Z!AWL>&@(*O3iK3; za2KSwpKIlLAH}J0pd*3D$FwPBx6zvyl(@CBCrq?6h<^*at^v_Yd&yHsxJku)6-FCx z^h8m=pm-U{JDwjaJ!ThmtOKs8i$4A`cP7n1kBQ6BVULWf#gWaSLp)E^>MhttnE+PX zS!0CfF!oH;RTPIqdRmWe$JME3PXsezY&?CuPE=BE1BId}&(mZnG8;QR5W5gaY zQ5En=N9u0tuQ9!E1GgPXHRBC|YXgtI@sJb>%YRo+8E2Si<_pHi8B2EZ{(o0h@FakE znZVbmVBKev}P zI4;WmRqvP%&26`B)nLcqN-fZSlew1AKqrJ*^7A9z(&mpJO70pTY4im?gU*!AQ6u^ih#dZq># zk+C>d4gW<>7y3K%Cx!dut%~{tofx2YxLWcAZgLQZ5y%>ukc4q-_|F#~w0b(0?6i(P z4zg1}eoC}X1PF`lGBJ)s;Ae(2bgo@J@c>nEb`wb1N-W1oB9X4^2s(WjnMMFQ9*~$Z z7)LOpYg_{Jp2a)ZH?M<+g>YsnTo2gEY}W6(Xqv`{Bp&&g(!}ot|A>DlB?uew*ULy_ z#Ebj68y$fb1Hj}B;)^i>Pf;4{FxG7D3O)z==NqT0N5?1x09^tAl)Sxgyr+91yK(3& z`V+y$Ee0w7^@!P9!yTWr&{iX)#|&T4-4MqtQo|JQ0bv;50jHEdb-__ecy06u1N~+; zeKF=Fso2k8>)3heE;hqUn#V>tP3*fsV&?MD4#xw{zwJ=T(h2KaAp51|vo2y)~w46M2-K3xf|%%ub%yRm~mEWcKb|! zEiWhFp`>T~W;p%A@pdBM^b!to^uMl7y%ba=OhC})`>ODPw%WQasJwt3*hQGOE{$lc z;K`#+V3Y^YO%t-7RAzk$;KHNGj0s`2z@%#yxEtFaNj;WKrMxDfeKy4~Z7F|lD#+E5 zI(aPp34-I3=K3So9if=d(V#qy+$*9|_^(0;EG9rTsU@Ng^{|m!`BfWtGLq_%U_M zHB}{qF?qL03)8w%;3-jK{Or+dLFL*O?*YfCxGdz97rMQ5&0FEE!~nyE`1Xm)laBBF z4WgmWL1yD#w!@>dyEiCdx7C;;cDTKRVM>Ls{23X)W{1S-6N*|_D9o-ZI?eZoc(u~q zr^A<>ZD)5YCLEk!8M*M1M=D)cgi30GJ=%$vV4kIu=zy9fj~13v-J;Gj*dcNA6@2~r z^Gy_m7A6z!IeT>W=t_BvaYA_$OK8)cNjBJ=Ihpe9i7vV3ccbi{@lICdZ`LowYmdAM zrGz0sQmXlbu?>}h#-nXrrOWb-?o7LL+3xDh0RxRuLw!vF(P&kNXt@}RI@y(Y6#x{r zzbeh11PMxKOu{*+tev76`FoXC{0`3CpE>n-!mk4>Ilm*7xT%H!?Q;L7qie4fxKR(* zW{T{)In{)N*T?k??kcsjdD*xErtd+}$&h!IzJ_FJ3~?u5;|7xwMowQ;)<#$=yv50b z%hG4n^jGcgm%k%}=&_>#W0eJIU8jM-i(^huaLCI^)RR@L>Acc%^eR_UhrN*qKh4kT zRFfsr!cBaL{#+`2h#mwpST}3`qGC?C#PF&K01+A$l%Mb)eLfK?*C5qvyIa|yMI~|- z2quuYZKAz6`(FyzY`>jtJgSNjf_vP9EJ8JT7+**}j+l9?75EZxPas3kpbRM>sm_J? zy#7p>z;D89RN-4oe4Khoz^tPkZY*7l5yllSPjmC!ftsB~155s2X8zkym%(fP0i-Hh zFdEBZbPwWNdc3%#pDOx1PicBfn8L%*waN$KT9Pw1#Ymj9`zL$WSlvYZ1#I&_x=_50 z(fj%O><2xWDFvfZg#=urY?*_CYZ6hOu3ykEMvkw@loINsgCn6App8uOF=2VZF@*aR1=v0{DNFp;iDNTtv|y?YeGJ^0nT zakHZFoC&bqXS<|X!mR zcv-KlX0h9k>ZJrdOnHoU13^H8z)v<9#2=1=xX7ns_-wCF1K>>HpU7wU~VSii4du3?zWHsU%GGo0!!?opu3OqJw zES*QY0;;uHKknZf%3aa}gX>$oPzb z)B_(FvPuBXJ)8c*8cz)n+uv-~JP%rm*lR%({B> zizTSmRv||8{zVTvA?Su=kAkZM^YNLiNYbYUZ@u+w{)PNH&JrIQjtIonP9c0?SD~xE zq3-o!aRV@^82R~GK!$|?;#&>_l>?=`V0xfD1G!!L;js>ahzS!lP*KIMr%hz^yoMcC zTu|bzUb533slR5+@(Ig!0nqQ>P86&KZ#p#SXuvJj=~M6LD~k)(HRX9AM0vk%01Aja zk!Q+@x5%h8drIvk1jB3rE8};;cf1>o4BbWMgQLBB)57>LJpZ=amWQ*wW{2+Phq&bu{3`?=6w2;4JORrd`rR)y z(&L=&>jsz%j1sL$U?i%fE^B@S#!Y#(I7g(8A-+@9J>}^1;-h^ny7OOmMy|LAgV8T( zY>@>+>%e90d(791k$NmCgDJ{24< zgew>dTl7$APqk{HNS8zX=fDOQ@;gg^k>8^?n>9b1Yu06z020wnRFY#y<3aXX1?b40_L8poowKOvSTj03OzQ${F;gL}@m_luHVNUw zd*<<=Uck0Jl(hzoHzHoayW`aea(_n)h(*OgHJCge1fHB8@a5~c;l)xv-gU8oV8r7%DDDZbq4@vDbo%>gz{hT}7c~kCG|dmZ6;C&Mb5yODFFco1D?mJ|*2CdI z0#K>OABu=HZ_t!={feM#@h`Qxt_0Q>Np0oXFH*y0t}C8HkDgU8xKi4Rto8*G_}Qz!K>=4(F?`2^<@@cSz@V9dP~i7t-AB4n%~B zYZ<@0$a|6R58W#Psf&4!IC6x?@L1OOziTAs zvHNsoS|yJcfi>Hsll)_ej8g~6iuMiE$}{6RT&1V|;G)w8yT4)+V|E~W?tXOM4jt?yd3fy2wY-Z#C=b*sCj1x_3L>UTO!Mk!Fv>F;eRZQqxe^OiP@s>*;gVc4TYRakRP7khs!aJ+kUccnrQ#4oXrS=~HM$_KaUw;F6LCUhfeFx|vlXbW zhtKecSj=B@R4?-C_Fu~%)#Rw_mAG`URN z;&H#D9rF?JsNL-GaB#CGTF#%SmfzS~oOpISsV!WBfos&j4EU~Pd znja-cul|vjxxNB|I@h%)ev@0eC`&fmV!%+JDSlymhdyaF-*khAtCPO6o*W+-HvOka zBdE-(Cec~k-1}NK02&JCvKfe}DfKNUXRN^_7;K8r8yJzlZ@0PZ$)KJd&ySQqnBJ*Iv23_?xi)jglr;d{iY*hMghZuN0& zPChydPV6L|!~^T^sUZ+2IN`-Id0ENVMN@eP9}vaTX#l zWUoD67}I6sSu1OQG;Ycg2K(-%NFWW+>gehobp{J!s3)^iZ5il!e_VhsE9-NxZBoa( zPtHCcWjHCaSW+g=OJ7&NnfJU{!NzGjg;=ZoMDbpIH*Q14uO!becygPAjq}z;D}u1e z9!77scH^+!D?<;+#_4MY$3{eS)U{(99ROJK-ksH0bJOz6INDfnVvHq61C{%&>SWRq z6{7Dm&)Oid$UJL$(HU$4ZT_ypg-oHWblH%eQonN!?zbfhcdw4a+L(|1fX>dHpexd|@cktNcQAfj;XmW; z#EA2Vmv0|NR{d(!sF*zn8*ET~of{X!R>zCDr7~(~G}{e`#olB~hlremWaceoqbK8! z`GV~%<4LTyoF>BI`bot`s~76_9MyqJNs#(V5F%`6lx&mJDg_G?h=kVbt?7#ajIidftmt-9u z|9oTlLDb_E2tnNK^WFCk6l@pcTKpM2gtq8}sqMJrd+9-S6s(`?cai*E6Fp5i>dF57 z9m20F3V*GW^y#0J^1pxI8ZHZG-|gY>M;Y%*=5@ykGS$gOQoqEBnz7Jtqgx?aU#Dxl zkfxrcJ7@1toW6XB3UlX^Jv?N%(t($~`VcsLYcgf;LGOev589t+ zk5z5=s%{%?_}A+*mPl^B#;)q?t?=nr-4iDi&HpGm3%@4cHj0CE2vQ2t9Rs9cgc3?h zcZzh!CLI!jNDMYYa&&jMgmlAb1|l_D=^vo-KJUM<&z}3fuXCOAJ*Ux%><*{f%+*xg zx2+^r7(f<6h?9u7XY#`g!jpOz^qwo$WspH5EPQK%iU~gWu5Q=lxpN(aI_=~xoBE&c#is7g~E*39mEs3Aay+hNa+IekyjW1PeJYMhmJ>o%6MK#JhA1wb@jEnw8XU9jOnP;C!i)7iU4eox^6rCPNrfR!xFeGjhq9myi(N0xWHHg3 ziTzQaeb5c7M$APne__Z;+cvWqM=Cvk1%WlWnQj8I%HuxbrO4QNyVVu$%p~F{vNPL| z>+~6*?a!5+5AwT6q{5{yvS;j=1x4r=8{9#r@BR_(dt5#vO&peWjMSAIC}4~i>1?$o zi!KmIIDxpTk!rSUP z^>am!BVc4f4iwrBKhT%B zbM@+8&j2cSY|G7GQf>xM1q5G~b0s=CP~uyhMJAh=r}?H02N@ajO;cE#z9U#Rcm&pB zXxL7kX1LypgRETtQO;CLaHgN&#~LT~z{t4_9S=+>6S$Es*1oO+?+ddV8R0c1!&KN( z3y4eM@FR8o4wrri@N!!iNn=^I=`)Vc{G{}i>=B|co{Z1>o+)x6-p3Biho#%cjR5#y zUOP~D{NvJQ8B=cW)vd_SY?7}e9q1mFSZtTEzf6sbb9Zik(aHUF)Wa6ndsOa?F<)#X z-N;e0p)a!7@`uv@2R?V(S$H&IMGXTv+$$`if_(kvPX*->bQV?+S0Dr)kxe$jo4Sbj z?vl;G?4qZqiPqEV|Ap>rsd-~Qz^boIzj&}nhXw2qu-?V(do?v>HDsKt(umjmHB zxsi7vAn>Hv)?&%;gp8RrbHc>&w)it+Y97lrTuk^r=*yth&5z3$UVP)tEmtlXURX?j zLA+qD<#piXpB?OFfEBj?(stC7raG~bb@bT_6cARji{F8vuZywbtTjBeiR9pr=8T6iA%ltm(&HYr;0wyN%bA7h+XUGIC2A^>OY_X zJ!jYU0~t~@3G@8ijg$w$k(yfbZR{e=d11b+ZRBdY4yN?we9fA-s$WawbD>^}rCWm_ zUy2KBB)EWVuG3QSX66AkWrUlX#VVAlW?eNEo5c zd`HQ6^_(bv^Wr~)v7af8ESPV67RUWd3uJ~yKfUA`sC2qJq;R=kSuEy~l~DnLVAxvf z*PWlAmF7xf-|=7J0;<)yJ|rzMf4_O6%3MuJ!nD=Sjz^AnPMK~YB{2(`^VmGCRWXoe zB7zbUk|0a!4&E-`OF16;A}LbGU|XyHHFQgD=XzRxPWvP0x_LWf!1$z3-$d8yuKyxOTLG zp}hf6-MCk3#3k`YpV~zopPP1)A11rX|_n!SbLj>V%pwD2yiRB98IOL?jQ}1VB*|w*;oA=-JM)WCbI}<>2o>adx zDVfFc%gB~EuYeTF5_6*p+QO|=#|SLP){hL`Yj{P|7Cwj(+bOQ89fSY4Cbu^W@6{WOP^S){A7k<2nt(kLb_;~1Z7Vj*>H6-Mk8&|4-|WzZ?aK=->^kk=qri;VB?0DN zmL~|0jA=)!gQIKAo91i97B48`$b@o)W4k{Fc-ERpW#Dxl<7FyEgd7Isis-K6G&jlK z=(JLd%$~A9@QoMiccSt`KQktHQddKXhJf0u+g&n)q?Kt}v6D3-AhYd!VKOJZ?|fhu zI}kbwncNxqPEa!!v{mEc>*}y3yO4~-x9RnL{`qXl`u5R3`!`lRfYKebR0A%?!}3f` zWDt^j?CR(9UR?l`Z<<=y1)ci!&PBEWn-3a=IWV+KD`Q&+_)5QE(Qoc8DIOL9hAHy>&|UEfQpN9*tzwcahW!drTI>#I?aG0l4Y=+H_{Yz z4M0>we1=r$xW2{wC;1bk`QrPq@wcdip*(^#14N%p5NYmEUCl2hLv-7k5g@8x*}ja! zK@%0Ghh-&YNa^8AZ0BLJ7iixGX2lqM@BjH!nWX6&)9rn@ zF#f*ps-)DvX_(U|zqOdNrqat6Urcp)g7F_j+Z)+dA>9IZ$BaM8X(^<9V!K!RJHxUB zc$giYin*r3i%=XN2qkHfymR|2dcTrDj9gU=`RQV7uy1Gvx#?G!BAk!rSN;tO1^7v{ zvKCrHht!jo7f0F<8q_95f+p5Gj#6?JhSzqIUTJD(vpY#bIzq)NAC*jn57GiNd|mt8 zd1y(uBZ2@rzbT0#O{CH6UOd;WEV|4|3sU4*>}>8I@xv``sq8#>Ew#=Nz@!XkqWs>A zASh=?+g@Gac2zk^y3y1osqQ~hqanesh z?f&mARbG2W=W3IW;)vJ?*xFF{D)rL~IjD2>Yf-|w4H_aMN#(fybFkuJ6%WjX6ji1! z>dXeMh*j&zam4s3vqjBE=BZkZf`CO`OY=_a-<%s*+y@q4O6_1Jndrf;8IBwdQ-S4? zPazAJRl0>*jXd>!YHEEJf42`NjbfSw+)UEkUDcqj;*A5^cY{VYs?()fU8qJ!j(TCy zL0(!e;p_{=R>4ANk2uJWA8V?1DPQurWfC`7K2)2E=e#m(X^Yy{@Utf%p|yqYfBY=| zycA*)#0(Un4o6X1f=~541r1n^-{1U8g*`uVhL42PzU<=n^^)Ph8ne;6j} zcO*`#&W6jxV39gzhu@;aNmyNj1;sw=WH7#pTa4mvRXDLTSg0ZON2nU{%4}NOq76Fi zn-k8G&?9X9Ii>U4r7Zx#Ew*m8p#x;;tU><={#j8-+uJ}ZgPv`iw1M4Ycrx2|0|7C| zwRZq&lNZ;#8G`04qS(E05{s1>m!0i2nyoE@Yf2fG#6oty>d(2HZVGaIXL@UAD+fe0 z^j<48i;$r=Zz^0)$McX;`(0UCB9-(UhyK>+t@8DTDfn@?p3WmoHl~Op` zBGD*^sX493(m^S&q=>7u?F*KSsXE^Hsc}ri#E)Uot^GM*i+bmmPD1Wc_`5RBsMB5r zXb_9gFr=hJG)Un_Xo{ zqK*vr^P?i!co<|zC7s%Z5B+Q|0LT_oH;Ez;KpSe5VP0c{Suk*2{Pxso1&^5g9ylS) zfHlB!c&Xau4Z~y4J+Z?E3FV3NHyUw!x=IMpX1;tn4oaMJ!krCxHcUYmNC4^k?r{FvY9lS@3^IOz;zSTv~bV# zRic9uLC^VsrJEV)5`||DKNNj!ZbmifXd@Z*&Ntv@*rie+#$-6TUHz=@;Fr-M&*hAF zTA>kmUYMKp9`T0spVfR)>r&Z~&c9b5ifc*%MjSoypm8e)YHqXA8Vo)puYOz0a~P;~ z%2mME!JE+90=D`r&hQCeE=Fq2;!N}Kj;^`*6RUSvH-U?@1p`jsWpx^Cg1&>zi(tYl zppSj=Yv2i^wk)z~;Z_Hf`!GLj8j&-+N6^AMF+dSH_Rl9dwdlvkbD1ArzD849^s?Iw zu?cxVRc!D^WL;&P_be#F*Y7nctbxa>eYe9V;-9_{H+UOd%I&n`A#+ehq`+wzYCEVc zi~oc8Q0WP+-&S&uM5J@!!$R+^0(U2LWTfO|?Z#w>n_e034Ufz^GHUfX4J~8u={9a= zEU^Ccs#5Kpl5;MXDU#_F=}1e+(Nay`rQ<5rQ@Gz@m_bF&9TIgueLc(#fyrF&6&Vpr zY=beGY?-hk@nZPH;_bx050`kkU@%*LmR$5U2N}_cyo{IkT$Ud_%4>KWnRk6z`eMEB zl{!J^jF5=3niiMMj2s_x{q^D>$8MTUGs0S5Ky3 zH#IvAcGlAKb2IQT32<{5PYXRk#V+ILVrot@zkIZnCwz}6wC;20Dk z$?$=}FP|*b%?=(v=MKpO9ELMPgsO}xVke&{nrvGRe{bEgt;unru%}z3@+7o3fUzbI z6?#q<+2=5XHL?lS2vf#dPc&;+Qyh^eoBffQp=Hcn!e?|MWDY1D)3*)87~0ze8JU#G zk*jI-GsgMTY4rhEg$TAq@>+WdPUQ5S%TE%CuKqich2Q2Z_xknc_sF)b3Zuvlv^l&H zlh=1cO|wzla&NcjAsF~>CJ1oa%#EKN zG0>WGSz~eD=xrbcTES$TY|Oa{nyECq2?Q|@^JRqu90&p&uHs%FcG;z5{Z{?i3(&Y7 z4BCnO^gR5%m;cU(ow;J}#zQ?ns^D42UE9cIgEPw~z0#YPqm`LP4QM0xeT^>BXBc8p zK>K)x@$`ntId}LOnX>{HjQsL20RgI6jyP#@T23U;7%|s<#}r9TDrlUr9#AUk&fyNW zO-`P2)_!+1flr?PzTL(-xPai|y5#3m^ylIx+8Rk3lIe~!y~-$SskLL?A!!c*BcMY& zCz%}yoxz?|zTQkyjK9IMrP-^r6raC*@|^*W-IPQg?D)HUQL*g^#j&WD`pwqmE`J0* zX3`=O@Wa(&RnhUT;q9E*Q5e85B*^KEqW=& zA9liNOp8Oi?>eQCVTB2rXOh}=Sf*(_Pi3qCyU*85hJENA;`2yuKnu!WyVHAl!-|-i z{(~33MaoNIx_K?SpL3Zsgz9H!W2mV{Cs(S4YijkO$vsd}Joim-$^6Wbqk zt-|gKyZ41TgekZ~KX-FfaafKb@dIQti?*5-+&#qJD{u&sPbNV%{x$MfX)xG&^_4HQ zdVMQ8EQ-EW?fu7k@OJgrd8OYpTjOWZWr~N{C0o|p#EBka=W`W0<+Zft2sk9XRnI={1h0kdkU6EE zmQCIFu@j*Kuw*6i9PTko- zhNkv0ja*72z8G5DIS^~SmuEZ#rIFfVA^v|FRc+<05861}`m5*dDaD5g$ItP9VY7K7 zGcvq>VED9!%Kn|e+k`fIFMQ#R76F^4W(0l?>2IXvvl^LM*HQ?e-yJ-?I9@`~vN&y{ z6(hV1DE6Hff0E|!-l8K>Js7Ky`d}7Jty~ zY9Xf^d5`^_ld34?IbO*wE}s7X$?qV6-I%DJePT`KrG~4YI_rHb^~65S)E^DM$o2r^W|paZh2z<|Z8dsHwzXQB*H!>P>L48U6vjJ>F^2RO{Y)^u=TRs+hx3|wbUrMSe z6UzZJ-=$QSrfZ1HHAb`5l#|}f0;lKIxOh(4zF(%>8ZG~xg_tJJsZ24L;;p6i08*W!-eUl{(ml~q-BDi(-D4x?IJ zK4n^ds-L)v>R0m4si=hKzO^Z=j@25FFryh9$g2LeP1u!c+q8XT=I!)MFlurhG>;n) zFkK6XO63x>B5+_xlt-*4A%uCulh7Xyq59R;pOP|=YEK@p>XeC*J!V_po%ORpPxy6) zelg{W&nJx(N!Jg_9oD8&S~c0B8NZ~Au;3gFQg)a<&4u*4%oTU}j!Gpc*{A-TLLmt! zRVuN$Mkd&oLDCnYA{}z>j7~=8O ze&tS;t7L%iP5`X$8Ck;V%h&eYb~QW zavm@Drp7o8n_)UJ*v5_{*R&P0gTW_4iZ=F&66)#GR|lFaGN(kHE-KDGf>{tjk1Epo zr>i>hGSjQX(^_=PoG;?s$JsZ1u*6p|8!W)EVmzsEoQh z6vCHm**_$D0%pm~u&gH811tas^lF{a3OkK%1Xxc;s^fT1|9r7-?|dE2F;?&wb@=M; zYWVlq{;97MV%4{mT-I-oAL0A;egQY(X+Dc^+QkfF#f`Z7%1-8;Lga~_Mu!1V5arEb z%XDRClJp2M*h#<&ZwMLW+-+A&i}%Cdcr1C$@qh&}4k->lhAuHR8yhu*QwguhHH~@r~PM5xP!r(kACCoTwU6z0Ak#54Ih$|6SZ*u z=jf_BYOY$8bz{0gE_I|cvLvDZ^9u_@v{tJ2hWleVA93!?CEYreHsuRj_vt$8whF*V zsv*+dzblX72k?| z^kLzE%eOu}jg_%~EHBl2Y|-ppl`DsS|EJ_WFmA}J=a?S-_IG+iX)_{|)HhaoG-J^_ zRLkC4nlE=xp6_v7uqfiFk_8k`{*v62SeytG?SB$q$ITHrS3@l+KZ#BM6_?9deX{O{ z4at`U`IOiF+FP`n29)bnYjoc%l8D&zDx51hfGEIXr;o$-4cvprK&0)Im*0oi`q9%2 z`+rpQWGcZ+Vok_4vJ#U$)l1F%2(u<{baU-=#zj+(^`wqQH%NWH2E`m!C`)d1^W3REUX zb0bZ4{=-tEuWi6e><$uNnmE$g!QwU9sSpVF)~M>#Y~LtLljoTD=Vxt~VivfneBe!i zfV!6E)2w=#(Xg=2;LlQWAe+?(EG-1#y!`uA@Aienm!;G%9mjVlJLmHjKf0u@vRIHJ zQ#FqF*3%2ZplOp5e=*i74>Ng!k9arMwEW9$GbQ_ib9%#JAfmzI(dhIc6bi1Z zGGy4|>|uJec#oct;_Sfkc#rf$EWa#xPCw~F@EA>eUt<`ChG1`s zzrNn`OgV4gX3N~uvy27O78vA)$?kw#X@r+?HE2i?r@o;Sj8kn7k`YB|nwU7GJY{gK zn^uyCN6I8=OAWaonn!=w97Fi~6wihN@<;U~PNo@M^cn+`zPr$VkqbJTH1b!l*=G0e zW2}4=v@dadocMt1^JkJE?W|K4lD0v-YNA=MYk4O1E0&o(((TeCX|SDe&^t0439jTB zn<8XM+>$$_+F906mT?OH*(zCAmdUl_&OwokOj&v%l#M{U)t$0NhrUyPx~kNPfe2|( z{+)c*U_>~s0&Mwvajbz5%9$FxlOs6^Uk)U7QTD6+hwDB$jOeN;^ih~314OObfWJyS zD8Dv&K_bkn_gb7VKJ68+e&Sb{x9<2@H6$&vWdwUl-s)hQczY7I9f#Jf$8>Tu+>W2 zBmv6v;%{?vYyUo3h^ucu0CEcxg(Hy*lVDSE_o=qZ{SPf^=eX=U*Vd^86*y#pq0J*} z37uIRYfm5j(jw%5I79AXSiQsBrf*tu<<+P4Ui%)UF;HZq`uHJh+V53BX1?8h#XyI} z$m3QphCN;%l@xNf6u<3%-n?`vM^9{v3r)^^{$xBibiusF@{1qMGn*tL7QZ6yB(H#- zc__4${)VZQX`e1Y)}~PPPh;oDF@G8w>g3rJfYLdWH#yu)Xb>4Wzx0KuY^^`uYBu{gU! zOUsKeAn0S$|J#ZqSs($U7{>CS@CX?4d+gcvGmeGh#5W}xZFooj5nH5TB}Wjv&t4dk zv!983XTWt#da=adQ<|_Ma6yVh&V!xnj>!yoHxb^EDC*75c}kULveLC!{2Tx^l5LmJ z*C0F;FbGzXP$PiP8)q(j&DfW;!<*+ByQ1wod3!G%c#bA>r5##3mMf4P1GNRO5a7A= zkqQrCU!E9cX&X^Gcfyv7{^CPnO|kJNV9eiS?bL2Uzz5RdH=z0$u`Jaqm2c{Wt(k}X zW4Dz2wAKTY$9gM|mbjYI7CV!iAkx#O<+%LASN_ehX8-xylvD?%(vAFVkTox(KSA}2 zz&`~_b0TFN99aG&otZSr<1$`ar;tEhp3(i6r4LIp1L~73Z!czaSs>6JrdV>Cy>*Yk z$N5$CE{K=!`?zcPJV;r*hdIL4p^csRYy+V-n>!B2)%FC zQU8ta3#7CPq7^lS?X8GplQrC*bO0S)v)%kJ*ni2SgL~IEQr3={LABs9n!T`OosBC~Br~U8I>BVJ`*j!B zI5QQ|{=@f*Esb!SXKH5?AgzTs13kXvSPm9{c2(rb#kL)T5)QxAAdNc%C>@f*BPN0T za~I`HvU5qC>0%rHPF+bn~HVf=eEmAcv?Q*+JFr$+6bmevMd+NA(WkXW`@cih_&L0}HLrp8-v0d#YVM3M1Ri z-hJoatS~+(a8`_|@q2wHf7!D;L*@R+_$2aDv;7F~*+WIGMHbBC(c7#rZFOh?Hy^nyfNYx^~UZA@A5qLCY_50C{lX_Re2~Oo5af>!zY# ziH^Sg^15_nCriw;oI9O1xclx}?A!n$Iwg%W#iQq3iQidRm2A|o)U;-z36K7_PCT;z zfCYk~LqN}+w!^^Cr9AwKY&6@#so}) z2ktg`)1367+1%6n?WJ$7kw&nR(P#a&pw1D$G@ZkmP46|D+#p-d_y?>rfi%k%J#6n( zcC?{Wt|*zi`s~ry#pBtDFRZdT2hl@;9?YpOYp&0k^2oH#|G)IB-meHt!oBe6w1_wC zc3qn*w2y&sHN}tp%4OSd*XU?aPI@YTpM(!2x1&|gWTHvC{W41%qeQaLGumHMdeCU#)unj@2vl zT9tiXzv&ls_Za(+CTNNUFFaO;Tub_9FX!*PVNF7%Iq0#CXn-x`djGrYAY=uzuYKEF z)w%wZ#8+s2^!Z2;MSYw1a;#=Iit}asX!7eebH}dcRKVRIZ_3)Zn(JAs0&Q5*ZHl>d zQOQhfv6f-DlzLT6>E~xjGFu4DljfQdu4{g|>I(vP=Y0Ymy@fT>k-6Gt=HvFl%Jz-^ zj#G;|kak9GU?^-`zpe4%F1wGjy$%XsKj*OT6$YKS{S&v^GAT{(N*knG-XRluS&(ge z>LFZw(uH#K&`#;KeUT)3pgxwQ$=EzYxkix``iu4;GlPpLp@aA<^O@lIKm~T}W}56( z-vgFhd9o(sdPP5M%ZD36k@Pavons~q$OavnDZB@_!fo3&+DHB|+%W$%e&5z~kDKe9 zMMe3D*D3_`)o4z}E(`W))hO2y#BXf;f;wK5q4(+V5)I?uB5zinaY)7Tg|sJQTTwR# zV!k*O{9l;8Eur1}usncgkKmK*(qEH}@FM#y4GUb59GjWQ_~l{K?d%Zc_2*=5(9LAj z-S0l@GY>Q~UbYJbdVl{krMx*=;O|WA+a7RC{fO zj6qK1v52XNTve$^{^M?uqB}#?EBd%M_B-tsPZ`Ju^qPl*(%9$Sg=~>8!0QTlTK%QB zK4n(=j@)+uG9&5HCbC%#%DpGUF-g=2WnL#di$};jU?rR02zyQ>b`P&lzaQk8G849% z8UYIDX)`B@2X;WbQ_|#adWy4ZU}swP+%5KMXw$0Szh=3|SFa`0_QWj{_jo;^t3?-# ztSVGrhjHtt9&g;(+8HGNk@<0WiRDD({X=*ti-@T0~MaUQh zr&UcDD&Ptp2N|UqL)x{}y){|4)jwli0c4E9wC& zj>S5&6Xf(<3^1=1J#PT$$Sg?vNMTB${4f{t?gQZY9E4{D40|KwkB)SIs_&*G z`45t#8$+~qpSi}2=;H!YF2jeC^xl3)gr7r-Md627PlW~*WR)LNsobGZ1Iu@&_E7?{ z^%?Gx!W|KI_xDKfv`fwJ+b>P^dRmaK=Ty4J&s%_9$}Ngf{?7qKc$%wNG@np@yZx8e z&Ss$RB$3bi(9LyWq_b-AJU@>jfkiBm_C}IXnu*6#TMxPT>S5t*oJ5p`UYqXwixN;# zW7BFOUvK?dnCPYW*db>q^c#t5<_wS4w;Te7`941gfi7yXZKqJ8c)9Z*M}?OJ4YOJ3 z-)fjPwWjlXC%){KJqFu6FA!aE7ExNd={`<1x(vnj5@KQJ3?f z20k8WVo0l-x2aa>_URfy%_bQR_T8NOcPw+3-vr&_c)X@8-NTQU*%>k|ByscD>)1++ z5_+mXRRg18(b-o$0-URo7~jqKk5T?qeh8x_=&Ys>a}quwBQ#T=ZQDZ&d#lw$4T3gS zI8_jfm)Zu7c}I@&YW$e^V2Tr64XPm`{mx|rs6m50H?W(X8Ke^GPo6HPk%c}o$Y$0B z03RHIz6>JmW3Uh{=ckIHdI5|VCM!SYUzbO`y8DB=vCZqPcHuQOFWFf$Pa1;=y;65_ zz{$Tn(8Vt%*8^gHv-vkI7##L&zars?&Y0`HE%1w)* z(M!uN^fZ6^Y*%MlYD$D$9g+k+L2(sWl=G4por(=f?_j`3@>1KfxfnIbTDBZH(DJOt zfhR?W$xN3J!UrE6yMFi5P(fd2x&fSCZvgcjDkAN{)a>4by5!QPf#u}5C|5DwjUM=2>r=du9_Fy?e~v1?Roof!Rqit}d?BqX?jpSwP6_S~+34M^yYP8faS6dM2h1g zv$MH?N)vbwEp+yC#Bj5fW8W_$g1)&WxMX9~jXj!EMU}{%ooC>=MrR>}s@iw37@7w^0 z;ZoP)Sf4s_-KCS`x5Nyj=mCqUR?bXP4%F^pl|a26YRt}3SGL{8YAJD+%_K9|(Uvyo zKTy{wWH~n8g!C7?*JyNywl~6t8Bj*@fy-uZiZ_>lkO!e`vRs`A9MO|i{Wak z!Z0}(`-C~U`!G_ek-g9KL;HU8oldIgY*8}xOGcL!#IsMz#-z|DOtQiD6lqw0S$GsT+b2!>|F7V`$0Vb(%vAifZ=}(N&)f{7#EkUyyo0CG*c+@uW0F{e9FzIj|zU7g=zoX|S5 zy*JHx^lHL^-Zu)=`?47`dS42eZ)@a@1v42rSV;(PAL%sY3|ZVGLr*B(1>YCHzlXBo z?gnDyoVV>-jspaxt$#bOp7}o0wN9XIv~%xWiOkF)hS9U;!lR_hR$K@kP)Eybm6bSL zDx*j~qJn6DVoO%hvrj|vw0m7gK{qmi47o9~A{5_;gsQNmhU716xXyo!q*OXe_108C zw2W~?Jk)NdwwGb4q{T^%5o;quezvhIuYwc>f}RARE1B}F|MK3?>@bi*$hpNKelw|s z^$+uBmWs`j*+1u}`?*^=;bIX;^Y z_Tzdo@N^x?_eykvHG4O)8f0qTZq&)KJf^LclR(SNqaV$XS%Qj1>_0vL!m+piB@`0K zbTC78J9F}IMrgM_&BJR&V%b=Wtj)RQ@HE2WP_(bixI0wM(EGvMrE7|88Zw zGkV94bk4n~E>r{BQo+4&2=P|eUpF6t@#mK3qJ%GMn8$3xCA4;#Qc(x1culOH0?luP zu@qQ#7%mo@)VigKtqwE{zQyi2s#XiUwXdsD)>3J88JPdW9zqQIB$aeIJqEqIEG@E= zYKhtUH~;&dym4ymvzMKAHqEa=r`7+Cf&!$G*&NEf7bm?&3NU{$pk~=ab~X25>00xJ zF{<`Y%t^Dn<)~2;3;wiH_QgM_QR&byR@`o!OS8 z@s9fxpenm1tqiuDc@$)__Lo7xmxKCRQ-cZ1=-Ov8)ijr>V$iTXm8W&3!uWR{yUkSo0L`9&7j0_&8HlI?{H-* zcF(hRBH+y@HL?QpMncFff(*PSsn*3C0uZYeb(GRO!k5>R-YrvEDYzt5(XM+299TN1 z;OV!|t>-8Y9im-hZ0Y!Ge!Q6cPv7I*_UmQZoWmcI|U>IdZG(N=qOVp8_;rbwRvb$cM)RWfUw%r6KUlCV_0-k;c z4_FPJ37wOq8vM>t+}vJ;T8n~PN#<|IIRZvZSHiV~lRoA^rd)Un8#S^tYx{h>8T9HB z(pcb4v1Gz1xKU}EY2lZyPCpxhv>ApSrq4JBI>}VL=mUfXNc8pTZ^p^zf2v{4#)b&y z23B+Dr*Dz=V5v(nj%#h}?wJ#2ou>9E%4;@zrIbvnXx(`0Ygu6Ot7~s;lZ47od$RCY zd?08Q+hvOP1f=@8lhdZQJ(DXL|Ev+b*RdwMA6ZQT|E2OLpGMMp7$O215P~>YxsHM839w#{EO1& z?4oe?M-T=k(bY_VqvBWpfI8NyhC)joupB4rw+J95qOAwFi-raK?rf|qoAs9Mv?sB9 zX0K0NCSkCU-|0m;2&XA(?7O`JRW|s@oAJoVOz~JZXOn1G?IyKdH=W*d+HI@_&?KL~ z1a)f6lvi~&Dz4GHCF;$}p)u@yi+fJKJl{?mKw7208VI=hmGtH@m{X{($-Be}_X{r19J6JVd9WwF%0k&_csXK?!|;#J49 zSW|YTgfn=qQeVjqYFE`6U?nUT-=zQ33u%nhYD{yoG!T}Bb?>LODlmSKW>h{!=`<&B zYIB`aL`?L2JcRydgnLG~Tu+kCVC}6qNAX#g8^|+9ybu;Sib4x1FupNwQ4(Q{C#_Jk zx1A>*GTWbiQ~bVjUewlGw->`McUz`}1=fRT$(6ecU>~x=qt!DgEY3S&&uij315Ucy z+5T=};Z%OOi_PGkfD4F2GGE&#Y`nB~}<2w)jV*DUs9h2#}q>?5JV! z;1mARJa5D68_v6|%55)@!{0dmq)OC}p&K$Q@t;TRS5E^lqN45<5Ki*jnw4PQo#e0} z;)KoRfqWJ?O7=M_Q^Grm*E9w(>S3OTmcAL(u(xUTlhG(rR9Z-+D^K3F7%vZ$(|%Z* zXs<`iH(0lVr=bSA>D@osQUeqI6bW`wz{>lRnflE)$|Q*LEFY}s$2QI zQZIkH9zax@6@7=cv@Hzv>0oaOzEjQI-06O33W;v&9(1t$&f$SGhuo3omBk9I*g?6o zc>>na;ScbU^AQ`F*1#_}7d`xA0pOxlXQqhRN8^1{T0sV*|LW>=TWNO|c~>rko5W&b z&vMpPhXH;|FZO4-kV<3SGN>4+xGnL~x7mFNZ}MwD#&{LkKixcf5ErZbpjFJnEGFff zww~1O#dNm@CXJJvQH$tA5A9jL-(T*R~gyH6}Be0E^-v4L>_m{tB1@hm^;F9%Pn()bZ2_YbshoE=(N~ zeiyDbkE+6&TuRI66RU;L={uSuTH2h--iG6|b%8M#te#o4a=iPZhyjF_@Unigx};Jd zn?N{S`p0LwnXfPCeFqu6c-eS!IJVt$AzBA zb}9I>z)$_JIn^;Y9W4QT@>LyUltvHd3?Tas@3eq%=%$=iM;JaFMOwYB+Ui7pHm9Uy z27K(<*QMo0F#oFL=G(M;{9}r6{TXDoUepmn>W@p&t;ZJVl17V6OA~AgMYivKeZg-# zIWOJ`{LL#7$KkB!SP_{RA$#)F(cs(^7O|!H`YA zZ%2j+szGK2DSlKv3cU#{JMr7NGs|?V-DG(Bh{jVQU@_vV0?SKO(AMdBLFhdt2O3RS z&|r#WWM^FaGX2dNSGXQLMP{moxvD^P`X2pL3}h2^`1I@TxrqOuqWcc)ZR9d0eo>R- zWV!Q)vZx3PPk#D#4ey)7eBxW&BvGWOvG$g(ZS(X4%4Ap1%V3+1K&q0^G>lX<8PI%) z&$s55FX?FwY7D*qyPL6HRg*mh7U)m!>e?Q#1ZahEvOjRg+SXiK3BwkGTN7$PYYJY+ zS_I5yNvu|2%$}@WY`GeU23Tvim{7sKb?Ik&CkY=a3+C}O_Y5n z@D-95Q+qRNQOyr>kP74d50w(F8xGJ~I44@MSiYGgw@MvtAeVpE$0vyz5>;2J`vE#e z8$DD6Q)=OZpIzPMNC3;QYTgrY^!S`NTqOYNq2(QAZ!3AUUu;lmcjeJ!dWH9XH;hzoppNz+xwn>28dTzUQpx)9s>pZPif^H-j$eSJ%-41tSr@{bd zi;zCGhU(n9a$#H65d6mA7lRIO7+0}f`i9rXLsK1s4)A*5oSma}j~nhn#bsw>oq~XO z<6Ppj#GZjbql^acf$k_#?)a2Q?x0mr=xJ$wBXut)%ydIfxIY?rlw2LKWbSQ`H)ZNn z6!NLA4VzK7Ba~ppX-Kfp7v_n|3yIT`qyp7%keyU4EENTfpN%w`^N3Y@wct7I{v?@s z$SrJe1TV;gMvd!=0O;VJX%|3j_W06HITN~ud^5MBnjyX@s+!#|4Vk1BWRF01p7tqL zHo?AKaY!a*JynBfFvLI7(iDHz{^CuZnHk3@fBfrM5egy<`)Z|yI!mj`g!dPUQD_r_ z&t}!0>)JN$2&3B`FR&)}*JYrlP9)(_TSs(W=Vp%^AXv{H*tTDAzTkIKU?kimu6L*D zfpavzFP?fNs4RE!7c_;~GnQ#tp58c4rbQo1p~LK8E+@5LFNXQrZ8C^o#a3#VCZLmI z^tCq(qxx*&oxnEn1Ldv`MZ;6@@LS#efwyKG8$@sIM@S_+E~f)C98@{O)5YLPeg()k z8nNnIJ-|Ee(8y^As15|I;L&u`^_6;x*-t8 zdpf~5sLTsF$A2&5wsA;_)+4ZMG9|u6t~<{Ucp|kk&Ut(=3+o2JGQjd)s7Q4 z!pW`>t^^Ca8X>5zCY6lG$Sq8nZ#%$BJ1^5i!ovTnFBGigJc)i$osJ+KB z#f7egl&dL!ruCkdY5dP7$;5nZWRz=bM1$kgsiHSvTRFnbG;%}Q0c40PlFoH48QAOk zu`!8kN6>O?Pl)&hwyS@bGBs^~8N=O~h0E;BfsjYFfSpV|hg%_7bxPF0R;9)9LcxFB zLnZ#~zgTfInxKNQ|DxYSy_|hq6jNhN941U~-`^o1v81su$Gf%2Fx}LXGC9VSU5<%` z7za{-2I_s>@OoQgc}nc>=VE>juc4|P56zxsP`?cJs&JdEK*tM&#*RJl$mlp&#m_NhPis=}e-xc%RFe%K#z%t^qM)?I=#YjHDkvL` zj!tQ$CX6m6B*Zabba!_%X^AnA?nY@4kbY2<_kKU_)6Uu1egEsaeivPt8Z+h7v}!(l z#r)4nm_~_{oI!QAAH_S*&tFv1-e1y~ofj`VzBX5$n_MiO;T<+2)y%rCFkBwi&ojRN z*A=W0_2`dc$lGT8;L~F4;qU&Wq2)qEzwMAhBzx)JML)~XVse#-uknhSXL{1K4IcZw zDDs%cZ*0fFp*fn@-GfPo8TTjc!JU1jV>Hx5}#N56<5GVyx#mi zr%Jyu$6(y~PsoqQO9J>PuY(_rIL7${d?|DuoTowx@dsJ^#F7 zxvLWQ^S@W$LnVXGpJq?j*wTJ^Szj9tZfHz~HWSMln0*IMB!+6~poSNMpNlNrazs=F zP2G=TgfbS2lH&0EJpv!aYcEP`JgCa)p>as>V94cmf=}H;=NEv^RfyzMwM({dG5DQ! zMQorx#B=yl!negULia1h0CzL*m?n8?35QxXZ?5=UMP?Aw+t%quV^{(|jOchk_MiuM zU{Ez;H85hFlG2A6bHDsF&uGhGJfK9@HKd5GTFUs(KIxtY>$x+7L0@3C+>;vhvIdi%uw*ih4|~9jO1VI`?-;E^$Nb>aYg!uMDYgtNEG(DR5Ywb0A0#pq5ZTyn|LLRV zpzI>^_;36A>OeuMjKWzDK|+5V&qk}aWP&5&4q`J|cPNJcOQY6*n_;**!cW`LO56Mb zgKqtibkHYy(g3p4;KJ}5>~!Akg!*smv35)HK2O5^>$(A`?*{tgkD{lp#DGVCmpEGm zk9i&}wh{>^7|{|gSQv8fG(^AtxVT()kYHA2@$W<4$~Z1%GbY?$2#CI%!%;rcSl!jV=a7M^qGEyO^LFILT zV-4P*n@=+y^++l;pHFTh68S#mrOf)n+jhAX(z6>>wxDJF6AaMhT|3K|#qKMEm-CdY z{bw9GKQ#KiX6IfCmNO9h9O?wi{ZW%=5nvA!Y--^Ha=iukt$l#tqI={|!{`f5=AAS& zsIE8e=znrk!@cN#Y8qjw#%x+QigXO9TB~5`*s+Ci`bANTFZ&Ge*LBjBJ(HIE4ab-V zL<-IWJVP{6k7Q|A+h4b9mJ0g^hn7npDS9xjfxXkqDI?Im6YVBc{es1EBSG8SSvjM# z+m2AHP>j_h+VT~M&$Lrb$}G#`tG8*iJ>%9F7P#MJyAzs z%+s{eY<5)vKRoZ=MddXF@h3a_i({9)Q9ijl%;BuM#vZu9N>ikKdB($t z6q~D2|Gc{QISX~aL6GkbaQr{i?vc-wF}p{muJ&i{t>kB54!m9hFc^{_9mq;RdV4lr z^W;>x_tTjGF7lZPTa9w#uG&M1X}wPmef-C@9#DZzC8lZH$tB_A!PXD4wJhX8Atpu?%7NKB1>Gp)&hvlxIhoa+!u@C23tz? zz*8~2G63P7O(h@$17Gk1U&6e6et%aU{dP=XF zUX?nq6Wym_dmWA@c$)uHk_Jy`3HN;tH3=pO-GE+v$J}qnFf*{bgo*DKqpvP``g9GtwqvhTD8J_4!U$VD7&k zfaF-1+z8x;`u?16hX;5lhJzF6O5N8WqmB`x4B1c}6RnPc43o#Vb7SWHzL4K2xa^|u zC`Aq1p@}kG?GLo)&nr&IBRQOe(GhVqr`Chg={72No#kf%AXHHMR;w z4RD#!C@CFG@ie)%)I4tky53T;V6q+bc?lQ|xft$dpDCy&&Ou6iC93Hcy#4Afyh$o47S*BR!QRCnDha+kIJSZOqYOhW2* z6w|vj4RgE`unC@c{%zh`O}kfenqhgX`-ni%dD!cb;M;R~w1q72M&8bj-pa7#j zDQ8udCTN4D%$9XB$#eGzX4+18VJn0*JrG};)MBIjcikP01F-*G1IWC{_X1{iJVp1k z00|4Y@oOnZ@avw7vQP-)7~{rbyKhA+F!VVnT8hnNsngAja~kLkL|b>fts z+aPgA114}ryG>D6N4yj>MInB2R+nh#0rJTzWG_AT+kUW(;+d{=LIXe_nQFJ8?nMAP zJ;~}jedJq4=YTu?Oqi8fk$^JWx<;t`UI{v}vPkV~*%)bF3AZQDZ;n4cPjYvaj0VlYo~&93CUS(UsDw<0(*_hX?R&;7S z$f2Vw5pM|2sHX!I6mtu0mLa;_&6!B2CC6J(YcOc2zSI)O5^G~d(Xp^rXW+~+lt+wK z9aFCf#+uPtiZj=hBAs+zuWtTQJsGftg9IqpEzeYGx2eD=9hNI8?J+A)EMFZmLMt!9 zn|w)IPQz@?)b#nUusHh6BLM4Y*z|`=^>%zMZFTixJ$`zCT&wqJQ#bx}iXI7dgB+SY zFn|SpNoLTZYKQaxoi53}yeQ4)jyHiK8P0mPlakKwVw7Cw?ks#Q-Amp%t)SWb8-KTp zqdx5SctQd=0tSzY(vT$?^(C6DbV>h5#6kTJj;dwEO-E}jEY)5a0#}3OKav;;S0RsV z9nwZiJ9i5CWUYDmp1oL;vtw@`F_rY8tz!44h}og@^Xj|(CQSVj>d{LC92AcOepM7> zZ8NO3arE46DqJ8e4r1SPFjZhK9uagaeu;mTKGQLf{S(zPj2|3RepV%T3nE`a-J#Q; zvPS#_7KtNhznd7Dnkw_-fW$-L&##u=4b$SBCYzhC4Lfzz`>`Ho6M|-QP0vw!_m=!E zft<*{Pxn0_-uIv}~IQuS2`(W!-3 z5QC7-)*~dflm`W`-+>j#w@|brl0VN?&V z845O?%W?kvhOK0;Z+lk@a|g3Wz`U+~sIjH?Gg9W_n`VSRv{fmtRFA|a6FrwF7NHl- zWp?jFVVR5agLDXj_)nV{nC9uzCah1%((t>~zy+3?q3>$1_=^IH?F5T$EKJPz?y2W* z8@q^*t??e^Xi}%;KdHkJr-**^tM%ByasiGizEi>fyBsg%p)J`;?qCbecxv02x?mlp zgOL{%PLB-52S)1>snv8dbG~BzFxbf!p&&Hhm6>rb*v01h^xtxh%Z$-|di_WDq0%{- z{3ATLGD~9yWwWn%A%fAJ{f(z9CpYD(&0j))80ZM-y-95l9h)Y)DKj2+YvUSH;eRKT zn|L$A>?#oCWFQkqz<6IloF-8&Eab0*mY^`;@SZ!S&t6^i;(1;TrDH93hPsnf-N0Y? zaB@7~u#zuQc^BqYcf!k(h{-b07u1l0H-(_my3QG@J#x2+d-MHZ*q__ z`QbZjSi*aS8+xSt=2gWUt!YPoQ`M%W=&O?i(#5xu>vaC% zKDC=WT%r2%hZtR48)&(c09U0c{NAb=0CMkV9hvkM6#rt7b|1fll-3ktv8X}K0K;-# zVpM>kkZVBJQqtgAAeNrUWj!p8%Al?3lgOlogPjA!?rvtlYcfwB-WvuR)KqK-a}uKRc8WVKDf004!T%AS>1)mXIVVEX&x;KNGcH( zJ1a$XxKd{G4WVx_d8!*J)bICfRmXzbK{?RvmfU^W@Gv#2L)2d%C;wx$%Ts2^KNcY< z*upjL|5Fcs$Ya|}i^uuLr{DV?v9$rFot|)(XHS|`7L4eY1)__Y!yV)SH#$$!&y`R` z#2p)o#?nzGgO&78X;Dkz>?oEQ=!g?PAW@ka3-Ik>GbJS4+(0|V4J@U#55Qq8(=sCk zip+(PV(~qeKC~f6?wXItjUu#K9|zQ46$uLuw^qV9?ml5~IU93gAblR#(f8ZCh;P3V z$F`w%x@l|*rY@I{Zn;D81EcCI1ia3t%1YWV_on53RSR&cHqdoPa6esbs0&-C9Ma&5yIjZmSTiBNR|7tV|6+qq55zN)G?3}>lgqLHe4w~aeOhn33V z+svXOKlDIZx!*<%EryZ4DyC$Z#)$h_ubF)DR{y&o)6{pb|Frd0KT>CSdP+H1j~8?~ z@HQiGiQay-sPUnzQz5Bo5?I=eRI$7*tMA_M99gF2M0FuBOpNa^DMOh-EJ0edweK!m zlXOuJM)~oli%V?Li(654Q1iSG0+uP@>gQajS@AZldM4L>fnOy~n^&?$%gpZA+D9}l z`jW@)ZALcG)NAOS4UkXiB{^Q<9#qsLE~vafeo)c@z~N7YI;wV$u}nL~%+At*#L&Jw z(V~xnm4Kxz^R(lV`$U;F0n2;+B4-zSE@T}8$Ufgyg?i3Eizmw(L_PT2;F+%tLv;jm zP;_A~6Ak<3NzYW0TpX58V}8hOZ$ZT8_iJZ~;|z&+a*wrFvLzC-vqS4$7dpT1vV+|Z)ZHMPCof7E)nvvc znM%H#B}`@>W$|AUi!a*L)+f9bs7-^6i4C$UJTc09Y{B0UI4yNOY)OUOaU>*kDiNvKy465 zB&FTwSqBV;@7gdB&bVRBqnP;v3#paFFKp2hlzyWAwrnMniE&HM!PytD6YL~g9u1R~ z0t{B@HlEoX=fpA_>Rn6Lvk~XNsT(|u^t~)AITq~b_xb|AV2-S6$3FkW`O-Kn5YF3R*BvI=3UzxdyObd#GN5`a%X;5?;`8ak!nDN?yU zmB6t&8%c52c$M9bf6m-^tNYVQlhXDU^r(e?L+ey<{`^VN$3IJ*R>88}+ff5znVabC zCiV3-WxJR+q3WARM>P_3?*LigcG1tOI{94&JKbIkOqQrf%3MDL1Y`$gCl#fCHdkM> zxt&NhKShN??YOFwVO8E(Ja!^chNn1RC4OA;adZ} z)kjDa%Jz=q=eSol^E+rN$I+Fqv&>)2BmF)I)e?L2R3Nz1QUqXZ5s7mWZLIOPlj(x< z^W~U=?dzxmcqp1$2kAa$a_WirOQW*-BiuUI+a{Yv@*=MK5RdMiHYitHs?Eyoi_lNg zAnXu}6W~E|5kc7hd1YGJ**@$n{4D9dfa1d~n#81)`LZBx z#fjsNrhB8TQfx-1o^(^(b(0{>-ugp)k{ff}I^5L6Y(yx>VYptUy}={oAbnC8077ux z&d%AqBc{Bf)?E1WdFrvc(UBc_2w12h6S}cQwAczat7_<|qPTxRxe2XEn5NA1hpRtx zE6S=Lx^t2(K&MOE7B{vvP>Sz3P4imX)9sNI1|&VfC8d(aXK$tItoiR=r&)G;5^r!8 zHAVBi)b%`4ZebrkO7P(Tlmv6TcfhOmKYuNI45(muot(ab&pGX^Q{k;FHgx^3VjCss zxuzJZ8Uq+xDhz-z@ib+zbgj)r=8Bx`q&TUUB`iD3u`w(C$dhM*^0Lzf#*U&^J2d;d z()I%ThHNStQlpbnHK@J6C8dua^*~SOL3Lz*Pqj5i89GW!jY6N9AVgu49~;|vw3dN2 z;`|E^Wo`Gp)yiHc)pe@Av-lUNDzD`U!F@*+R}>|o?;uyxo0gE*G~HmK9wdI?2Pes9%XAQR4=39`8 z@(IrzoBh3w|Ih&&i>*8I3v|Hk{-U|=3!2!JIp`>))3=BOR-)cURq*01CMd=8=hHwo znkJ;tF4n@FWfhXV_<$x|v=4=b^x)1ah1J#W7k7Gbq;I6DC$#aFiN!(cjH{&l5r?4 zzS`r}h8m`GCmkTuG!*pz>4`Umf2j(eDPK|jLH6+>zs&HM*Cfq84>rP{vr+S_LcXognUV3NdkZ|fmflikAp@9N}d9zGs-3X;SUI;FuLb0z;x zeh%PV+=hnw);sOf`-m*5Lf^?{!thfG{^09WZT^;2%rkH;W{8Pv3ITT~cmu*bm`VV<+;k z32@K8(X|rAyI5yvi<`AFBual!H`oua`ota(!r~3}Lce(Q znY=B6tS(QgmR8|DcVB#~et3$)`_02K0Q+mUA z#xgFM?lhIbRDSfX=QH(~#%*V}%PS)9ubQ?yOQdK+X7w$g60dwVYHw1;)EV%y%h}TW zs;bbkJ1*d}oog*eXOV}%a z4;`^K(+aYLAzpSi)e$h(lWhr*_v|59F}&&0fj|V(Re7U-N8$CjWcYC({a-|!(3c52*tNs1YNGshkTS1x=$4>||m*gRO0-{475YMn2)K=f*He3#m6NWN6AjC5^C4sQ2#3Q`bjj zCLM&Zq*n!j-89-Wnv`Na0F=2fA?mHFtqo05-6*xvz9>INdVx-6g`JIx>CDI#I3LzX zx&v_|^6xXFEAtpKRbPb7e6g+zYee|75~IB%UK#z8&rS+l!k6MC>u`*;B4+PE8(5+a z`3q(rwfw3ts;JVZC;K4TvaG-s`9x&E9VUduuA(ZdiM1YWCEyxgQ>U*r4v z`ipL=m{}~b54ag-)F|G6^Z5t&q>bb~ANL)|9W~|y2=di>T>!??;xLkR& zzIlWtmWFaUHq>Zq}XypjX~u zTHq$~W9aGO$-_)De9f~H!tRCjYdBrP@(YhG)s%Bq1uY52+zlMk=UwIj2Q~pF$O+@P zT&74W`Dt8+_(Zk5C`!HqDxW?gy}qCidQ53i*9|?4n5+Do%c&BaA8Rq+pFu zp%%D$d5PuYVt@y!jd0eAyju6)sZvi+LTpxw1}Aiz?MP;XT)UsK`RjW?|0%BkuATAg zDFuJ)M7F;{0zTurT~^m3CIA0SFscTW3BA+BO`Nw`#5i`NTH?@LB+dSS-LfZz!4m2u zpncEcq`omb66OjrbHfp_q<1j|trHB8Qn+N!<(TMht3UBD^aO-NJIbvfqz8T@bE zN8a17p>&jWqW~YFWn_dM0LygW*=yUjCn>j;hn>{`!-9a%zh*_4eRb5PJzQLtHzeA> z^Lh7K>3wGAexQnRWbOLktYiT#Bw$h z!(98E1$A**masSV+9@KTE@BE7=v4$g>g7Fn`B->%>oE{n4K*UQfXR}kzlXyt{eHAt z_&A$-jCY0woM_p8y;3;U(sb}!%bn@xFaqiM+b0Hobp7cIsYvMRaNC#78cE2`5>VEC zHylS}?fA?Ck>B;=cBb&X?Y`VE0iM|8<1xmX$64BYRk12gL#JQ;(Q0(@(gaYdw%Ppj zti||u)}CHOD{T+pwm{q`SCLs(!pkQ20)!&9MD%?XGU9OJ4W1}GRPL@WkhNY<#wZ{= zDsP{&L4gLct1iLJmTqkd1UL z5AB~iNUgRp;g)J7e`#UM^dZ@7`sdj-PJ`0hIaFxXX$2t-+*gXO@}${+qo%|6v>A{u z8dQI)q3@ei9el)4p(gt}6sql!`ODczT|{8j#yL*`8KD_LhRSx*=MR3)VVFl$({w*3 z>-T*~^WakhuIguZocic2sRK@C^Z26$HIp z`N+6wQ`@gWhlWnHAjY5b@)T#cSk9)#oH43VI_wv21hrSJwj_M%+Jn5C> z^y>4$QEgHZaP>Lqhug_7r(17i6|U%oVmj1g6YEh-XOgZGopT({b}QMYt@s}mKlB1O z1j7d0!}j^1aGj*8Ap>W)5n`4vTLvU?!H#_PwbHMAkkN<|9gxjGinsWY=*7zX2rO%O zcaBv|w{HT+qh1DLD}YpZ@A3ffqy@F-=2a~~vfl`_m%&XOJ4*4_dDyjQ`TXTs)~9Y4 z5+_jWUpib{ zWNfi0t}GaJj|}N7`EUi}y}^iMpHeHSAVEalPS4*Lf-L*kZW2CAVxAb1{#&jn7#bA6 zdW3rv_zq6$s~AG}AE((TPKZ#KENi68G*5EW!wjmp9X<-6(*aPkM=LPl*6(Qd0sh0P z@GrH{e`b}8N|dr@ZW20H+zDarp*RGYlJ=pC%senYVpb-V8=yqkl`(gv7TQtlHG%6A zOun^7#A1K?{2dZr%h1AQt#u0$-(b-#hyf$@RbK#fq$=~OI{vyA@~tR-te)%Wvr#9G zcRR2i%2traD9u@MTli1I?S&R)C8bf8i;Ct1aKSTaGL@%I!Y!<@(c z1C$JD75KA=1)$1cHGr?V=-g{)YsFHK#SF58UKco3+fg7WcD8aF^l1d7)YIz*4QR(!`$@?VA#o__eq4n`q-Qn*Ud2Zh$sS2#}%%%;U zM4{7GtCx*|>2_8DL9&9anCu9Xl)a2I(D(iP9zF!^4hw61cmS`gsg0@<$H%E%DX>(co477ULUM1=-Si@T~l+Uj0ZJ0Ep+GEjSi zO*}U+0lL1^6muL`iF|TmPL8a?#hnfkY7tXb+Bt7|A)K3a50u&|{BK=r>>V|GaAtJ5 zyFnWrMvDQts6h*C!C7(s!AsgoR*Z@Px=2@wdD3_7G$RAfveS+{ zQro~o`fJ`5yI8*b%kRLDv*~+1ge{^X-LxgIzT@-j7m;{IhP~D5LXNMZi}yBZY}Aoi z7a|rfCj4}3A6ttV!o^c9B`~1g59*^O{kB(h2F)kMpO_~$<#?$pK~qEB1(&35UMcsp5R$W82dVI^tcoKMPR z6r(~3y!OmxRgmThv7In^6y$+v6kPzMCQc{L0-N zMN85pgP3UJ1A;ppUeca6m^__ zWi|MNy%C1$xf;vT*TxfdMkLgiY)_B7C5Ml_RhZ?2B&viN?aOt;Up)RL8SE^NCo~hM zFjlu4=q_lHG+A8Ia?!Am6o>Zcv+O|D?*&X(EN*aiG_^Kk;8WMbEl2U8K^|&ShcSJY zO5V`tcTyaLTLS=W$z+D1q4L=?U?lxB%&Wm5a(`03C3agJ#%CEM=Xw0fNlZzqP)0hg z{`zy|U7qKNi@}9n`zyp<9JrM?BLV$K#p?G zh}C!L4pHXw_g;K&0HTf_#~7#;+}`9v*2q@NR~PbE z#49;s9f$}*cAoup+Sa}Wxi8ls+qd_>bpv`i&TWPDIR8*Bmz80M^1WXxef8YG;Pnqd zq&tg6Ql61gMH8RdT{Gf~1VF@;p)}tO?Yg2>^Hh|WL(q{d`U?CxBU0n6P=1)*OT1#2SKzM;_rX+!#&l}Ak-Xi-i z$?k%=Ih^v0LZ1e|&Dv+vBBiw-HeDhsj^AdBtYzJeusvNd|7XXXNPyqQ%!+L2yZ~@t zmx6#7V3+j(ztlqZuKGifK_(t~_s|-2jUDlr!f5aK&&0%WLNSa=_J4--cFu}IIH*@G zza|RhQhF6n`KVZI1`Nr90RL7Rr*X!n&__3q*&_3xubp9m{fw`_=IBo5C)0mLLUwp+ z^r}-We%?-2+JHbK@o0B-&gUgW*?m5h++()>g2)QN0+h(}O^m<;$m&Roqk?^Udo$B? zokQtpWN0n|9Q#^#*z=SSNhc#)rajyBS~9zr{jCUhf47~!nH>yrng1$Y9_%6>v|qrp zxiN1D=$P_0>HZvqBa{Y|iX}Oa)YNkBvkl?UHK}T!<=7#op=e-hX!H zn1P{h$TdDjVzx#cn@c4vDeUQtfVAs!mJ3t^>U|{8eSVR*nM5Q80o!B?ESj`Y{Xe0x zFD9Ox@`a58{Q&>y#Bh>Q602+_koGu!&UVFmCem-M9)eEN_6=l=VjRR5&Nbl+qj z*h=lB4cU3K*o0}X{h4aZ>J4?Wp*tFbF48s#B%D69!4ampdks;sRf#btZ&cTd;X^~-qEjrz12KgtKQ zioL>SsGF1208RV0H>ilnfn`Hc>jifn)Y`@#@@HYJ%fv8nd1VYXUK6=?+U%w}>X87a z$Yv?Xl!oA>w1riXb4mwtEJv?Y*&=zeUtq~RHZNZ9y*ebblFx@w4nATDi+jN~-Q}z( zHXsq_aSeAju&}9j)&-#cV{}`fzd>a(Wu1$h?_T0$%MSA?y}L9o_njf?S6Fp9W9CJ# zJU_i89P=03Ai?5>#?x*~Qk~LjrxBCc`9*m1WeIkWyL5yvN%Nv3q|NwjtvpUEyh9GA!AWg#Kl1cf zDQT034 z4hTHW3yo=0c&s~_`QY1D>Y0VGJXUrXyZgplv}_EN2}ihOZpMj?NKUD5@sOyo*qlf) zjvm1nH%QY>f-ks3c8VaeaB{L{zv9`^9{`7-sOvxX%#cyJAbu=<52qP@LN^VrY;h>a zSB_%_QD*0->hd;=4fdNlJzW=$TDS**3It$ z>qwo6ti&pyq-9x^%0wBcG7+0+t5qVl)zHyyy+}42+ptiWlbdVdz2}VQ2d@!!!_$Ch zY&LcjZ-b7kEkqc7S5nlb@;$gt+da(9r?+3$CBgFKVAzk_Dr}*X7c6JwMR;C86MW(2%0F9=H0auz)*nEyfQvqN>aq#|dJJ&d>7uQNw z4YP3K^)|Qz{YhEM)82+h_(&B2yf6Pk)WyD*a$Td+==)!P`ZnHmNG=0DR$6$*mx#t#vJ!jy#r9bw0C2lA23`H z)s?+r4w=7$qD{!0OXHsLl3z_`hzZr@@c)j5l*FLEBfDjYP*YlsVYvg%Lf=wB*#ulw zFNCO#l__l?-BQWP{PKeF;6Fs$T<9!`^fZwI?pL-nKgQ0h9!aPbQ%T%TPEnn*P}4vZ zOAUMm=yfzVCfkQ{+O-P0O3P}+dhlc=CXJtK5ia52BjzvB{?b(E9E;|`;m^&oeLVBo zhxx93_+9S%dlpw`Xfl1_owf>KObOUjE%#1{BcRtSgtr`}3S@i7LAB&%n8N|q=+q>J ze?1QNNxURj?yrE+FVw12oGO9#`1__E=&9kpKc(lLAL66?%9*w&cm?YWnpBB9???v0 z)Wzk$Q0Y{R3Uvw|^y_tsFejB|8Aj6WO_1--Y^1Q{^GfJH`;i?Ys!*B|ZKuG)W_vK@ zJtVG)iU;UGrgt^%17c-95mNP(8=+?%Gw-W@t)L!g-;)}#_qo1To*1uulY9T~)2mL$ zY{WK1^Jw3F@?%80U6jb@BR2nmNBN%1H1w1c_`9T0@!8@9>EMegYuD1xX$kKzGPYZv zcIYWWHW1<;6L7pz+FH*zDvE%-!(C3S$9 zq5G3$5}mkstdga;yZ(BpdDRzX{R~+gLm4u#XS}_%^SPZZfzES(y(>~04)Y!JpRMEt zLZE+o2@Q2ml%+nSB&ph-iI`UD$BvlRP8CJ~y!ibq&qH;Qkt9#R0P!}1*;bWCT6W+vun1`P zUst$IE4(KNv>J3!rY;_3YRUzqeA7H3iM4}3HqMINL}f%@qr&Fssdc>S8rqs75Q(2e z)yx80S>lhiI11qu2r}@+&EBcUZdcW_Z;nq()v6L3cnrx^c}ns2J?aq(K@+hirEMaH zt%H;#JVbBTsh=O~{>|j$B9a|-AAF{s6EW!B2C%kIRX!;#U$5KbmMGm@#4!qn1dHi2 z1XM4X?WV-rLZ4bC8|u#MZdgd1x%rWm%qDbHMkI7Bc+buh@|KRBJm~jfqyN>Po##W| z;h+(83zFyCm1EkefFF#|B{-@ci3C0(RG8dFPvU3^u6}iyO+T!AvtY&-J-xKd6!QZI$wx}i83UCfS%_jj9hf0HhTk)VI84_${2a&nuCR854K#Vz?*qW6w-%7KY1&T1;5Go8qj% zE&9ZP?Xj~7D@M-BMFXGR%Q;%xn>;f}%-5Nru=fHP|Gj%Xx%p@|-}@6NUcF^BtZt)b zV>U|X4QDmx$-=?F=~rVfw{O;vn2;V`-q5U**(T34;U-$51qGRhc|+f#pT|E2;tz)> z6Rw(ewU>aK$7Pv|MX%??QuntVX0TbDoWt6l9!HCF%-MiyV4TfTW1KA5kR|?05L8F5 zF=QZv<0JHCAqv!1;4U>UO#^IAzO*V}R!Lz&PbS+rzVV zF|)y=E{J?RssFzw0Rs~%01`2MP~}CX(OWq{r-l8l%5AEM%&Br*`Y(bN%HYZy%CPkQ zWvO?>(~pMY6DwJ+<_XSnlF74^itV-EP(&4iJC47j^GbZfv<2yti|u0aSzlUielUT@ z${CPU_pd;mH5}p0rm5CJ6`XkDkCE@-0v*Fz@_s23IFX6dL9#c>PUhcjuXqH0$4taa z5h<2r+Sn6}H(2h}mcAc;m|w4?Fu+{8Mz#e!!r*1opy&W#&34?=DXwDYJ8355{)3)( z`%;P$xw`l5o$xP19+?+Y*}2)vhExf2<3GQs_ubFKX5yR~(EOt&9OM2T`a8`SwSWEK zdti5zT+-sM2WqEAy-B2*X;-(i4l}f;r^XR8cC><4BAP5lU%uL&JT&4TpkO3+h>u2M zoc_WBs;EqtR6grGw&@7?Y$uPhmN<0?8?jXH|Fz4QN5;tYEL`td1h5deon7kaO56Bc zSjTrqCNnc&=8#FYy2@mC1&ScRVM;QYwkp?zQ*^s*MNM$r1DmJ6axpdCqy-U&_j#MW zERPl#Ea>#wIw(xy$W8D);*T63Bk28jS98y@`OUdeSvU9niPoz0;O?$5=E)+ST>>;x z4hHhFw9T+*>SJdMjG{gf?3rvcYS@>BSfHxSO}4UWmJgxIIFCS{?BnGQ(7Q21VpHi~ zhgG;*BV=>u`$N>mmf77eiu>Cq}`(w*I8A71EHW-Tr2 zK&5}si#@0H+4tsJ*FN`S?cqe9&dS^A zotF0}O0GR~!HRF~&zj?^V*R6}lOywITNqv-fHlSiZ?)ryJdW|XhA3kCJK;dXS;1hEbt9)taCmeE{=t<5rnCW~t;81Ml_Qq=`5f_$qQq+kbDK0ToR6nZlpYL(Eu0)aO@32}SeJ$TdX)wyg4mA6P>I=0mQ1AFM#yRcLhI~jL=(X5`wCYB zZAI-m{sFzoq|NR#jCVcE+imlFbcg)lTK8)$d-g@9%c6^p9z<=EB>*0iyV2oDexv63 zKzk9?4PjN>bznTi??gwE4R1=l2SAkHRvF#f%63YibWfjTsrgY~^BPOWNF>bpPp(koK{u_n6u~)<_0bJ3nhElQR#t zHk^)j6Apf+jwsrz*3M0LftY0irc5q3n8y@7{Pjv5(3R&FK*o5l;dSiU>%W|PGwQc3 z915(6Y>{Hhu@VgI)E~2F3e<|%ESb8W49{f(&dvx%=C)ssZM+V1WrjLP8LdLASeBe3 ze*AHcQgr_r&!h=O(hgke7I_C>8+rSld=!&9xD0)~>GvKM#toYmMp(EArYTGFv zu2i?%V;-#T6xK{Xj~QS=T$K?C1Z{(}r>9vAC0nLhe^Xp0f=FFU6m0cERqTk}RTi&a zRWGvP-o7%*>P?7_yAL{!HPO&g5-hl#iA84m=Qx=iveGn@nVc+FSIwUb$$N;b3>qrR z=mPQE=PvPKlU3l#1Zn_9EZQ@u=&x%ak-eiB>!0-4@6EJNYp-*gd>smv#DHt!|787) zAMAiaPpcz4qXH^`Kd!s=ynOdzD7E7WzP8Mof(V7$Zf{)Fk{I`Hy@?zgB{<%LBZ$!7 z6y>4|k3GL`YTbX3>ApOz^v^XC5F-a(jo}z_tfyAce!3_esSDoJST<9P($=W9*2f_X0b@+=%ZpF9PWB!WAB7 zC(GFMe63QR(97vGBM~!x2gL?-aWNXE@0Lr|+h$$9f2cTU8(?kOi_lLY;*}yY&Sl55 zt@MWN2j~r}dT=HJg>ItZzIuU>Awrgt0=KbR43$^H3mKgQ$Wg?&BU{*VveX(LO95SOUj5mD19x3Y+Fb@!MxlUAd2kBMM%O`H) zBcFVv7u?)@-ow{4-yu~C848?@pbltP(@;-FfB(|hnn#h-&T>f^-MgH0rGX-N8O)n; zkg3Th73Z|JJp`<@B8C}VB?dr)0FYY%(<7Eexed6TqZrRx$@{Wu!J#|{&6zyR5)N|5 z`qr2><_RYXGR=si-IMs%t+)3O-llcM(Rmy?una~9d(^Q<9o%QkmjMpYn9k$$rr*0{ zR;d5e-bkfcJfkm`xGjh2Oj99-P-JX$8E%HW{VnEqXgB*!Edlw9vH5V_25MNYVixj# z{DyIW0p!wAm4?=Xtss)&%D{~wRoqTHcc~yQw@8!oi31f4fLA}^O|Ig7ix(eax71zi zqGJ$p$R}*$--?DSwo8c}ytX7A#E#V4(@lh~_doyC=^n;up>}|eHGXCUfV+GBYQ>(N zBU(vt^PmaP1B3O=dC--jcHD(-BaLKfV+EXGV4MR}+TCW}WH9ezg#>}Med_F;*zD{z zVh~259Q*vEnnFs2d~V!EH)E4fljgXZY|)syUE!i-f8tZm)~i}0Njo!OA^A@|25IuE zToSSW)$|D8%-WJRK*(PEY6XrqMxI-bH!6Gb2==ZBq@9kjOR3r%GsJ`smA!k4E+_Kf zYk1&~5=*~$9N=`Jd#b~+8bc=itCB}7F;=aw1T7Ot(kiz)hB?Goxe}#EQrKdflj2k-(e-Z0lG~Sj$eJs!a*7G@|CP9E0ZQb{?o_#5- zpdy*)1ELX00k5sj5C55e>!}=%|2F3Z)8Lmi3rD2)Kr9T zVtLMT#2k}KO}3bmY>DBF@^D;?WO~z9+^X(STbvTz%~vB))%guKqCfxF@=vo!MNttG;^s=6__J2;~S}diAc(^3Oq$Vj6Cm&>0bTNgp@|wmab06HZOAlOxrw zH9G`!^N*0&QRHA?W2s*F>r(#!!d#ayT3cV+A=*?KqaBAC+xqpYNl93nUEKfB^`9DrI9oPfBg^#Wm7TmNCb{ zPBYZ-dE&WSvo`2KZK_>b-%D$#zyi7g@thJzVh`hrj`vTri5BJ`w%bW0zq;7Kt6+B{ zftrNa+PL|Q;ZRuk>4F?Z6%**l4ZrK*`NQ?^es2U z+VsPVsBU6}7AY)XO5dMf@U6W+#L-{<-AwcYzw@foLky2~D+X9pZD`R>)M4wMnH3gxVr?c;n(E3cL33|%BPfG0 zl=+DEG}w){r(|5-$R-RLED_EQNFtn@in#3Ap?Z?tS=*Ea9VHRT5CD?hh#f|H)@9wX zd0EmT=!yg2fO^#0<4;rn)9&9-o#T0{s)7&3i zdeRN?_X4OMNj^MwQ$`SOd*1DWpgeexKY_nTMjnmuVCQpA;kL8-E$ssjl zPd|q4E^g$LM3mjapEygAvCw;ZR4fB)3(zR7nKZGI0B~{jsJAoGl-;#I|JS{LsoH82 z5!m0Tmf6Fe%Z%{gl!Pz8_L0u8xN}EEllcC3NdameL>;o(hW5J@m)!nTayQP>r71s;e?!dBhHR;*@UEXsES&4}FUyaz`i3m?Mt$9IY+H>nq>` z)P)tJyVdp-tbhN|&s&0$w0juvM&;;eieSKwr#YUti|AgrjvKMJ?oL7FpMnQU zs^Q|w9AI@FYd9w>+>asfFift%c;JDWQmoB@!zAP`25U>|7b@IZDfv!!9D-V)*w8s6 zqYN=UsuYur+A1vn(au;)MxX-Tq|*u5zJwaWGEHC1^u0v$-+wBhPdrtL6)>e;dHcet z-Y-FDw<=D`jKivvj6SE}9Kx3{L*5?UHGa6l_K^066PJoULR%UdR8_!L*iJX?(~I z(!h;`1J{bIT6pA;=Q%mXYF{F5Jx@x_=n>9YzH|G`RQp*YEsW%KJW@{5Ns`kccL!>a zI^grtn$a0{n85(`qHWn-_bGd>35C7EV)YkQ>n4OtL5*h1if zPI&E3XjQ&+B(4D*@z1Sh;-vYzjlED~YY&?Zw`m2qsa1w@I&{Wpa+98`O+_W4|JC`` zMq509P7PR!FwNH|w-pz&I&HD9r`j1#agHM2M3aR*N*C5$n>3=Dtz4t=hV`IcVunI7&J@VT&$j>c~P7U9=!CYDag)y`t+sG z%TZgX_Cg$ahnBz{K&Rl0WD}nB?AqnHtbhO4$vAEaJRUfws)L?`J?qFxt6cONwv2NQ znCAkb&rgK}nDJF_ZJx9mD z!~H>_qd&qy4N(~=#{B^_BNqFujP%YZ7%DpCp17hni_@st|I^N7J4PGpO(9+&m;;Pt z4o!H@`&jiZh*EDODBO1yA~x)t<&R3OXKOvd-sCq>OCoNJ@&^F)6%t8t5``eLQ~>O!Ksmh_jVmfcB^nloF*za4MqJm4POZ^&pbS22V`#Gfu$Z zfleEEA2Apt(7>|}N#mN8D}&ts)nh=)bGta_1DdX6VJ1jy4wZ>rM||QCxP#Ao8SRnu<$s+i*DQ zG27mhRhs4>H*^2ibK0HiQMku{T6{iyZ;1dZc*k1vIonM1Rkkgc3YY)Nv>nizM`)udHRvooUOY!&gLK= zT1_|Z_8V6TBR~`?s5$B>8C@h)V0hw-*H7>0afQGlb+bBLH3o84Z#4DUSdfj&`#@8(lA&+&N1)Sm7~DhxbuyJg5>G1u0rNEm~^1RQK2 z(bmA3|Iyd`9FqA%cJ|?LIX!x2l4RKKk|QZo$FZ+EPgMR#p>#cEAu+)qXAGm7g(CY* zM&o~%v9d;RYI?hZLOb-@#e(!ZdB<9kE$zLPTWCz}1Cj4l9Tvj2gs|I*l#?h2Zg9h| zrAA_s8OdU+j&`xZ#wd)ft+xj5fB(|ki21n;$~i0v%}oNyGQ83<2=lj|F~x92UsiWs z#8&o6Jj$6-zi=+cjE-^bnuqMBYt(4sR%o*OJ1z%b{D zEzpc&nsSYrDcPU@)$`lf#k{Vrk|@E$FBm^sq#QASRwSHt=~&5Wzua{=+gG^c+azef zFv8#g{LX2FWy=6bAcLHLI@#%DP4{5ijF}i9bl`RL_oQTUGC>YH=YvC0K&^W{#0-95 z6_*^7{(4hO5J@LF`GzQp*l$Ds*7E=r*C+eKp0#cp6FTQ+Yb&CL`hvoGhYgW3EeM{-6S)w=g?Xvx$Tmt2~=cX$oRI$_U z++1k3uC9Z7{NKC4{{TOo7Hd=}wweFe>+d3-((GJMY}N&~$sDm0jP>3B0M@NL9~9~k z%Nc9cx=y9pvyqXWpIJEvMS@Rws_*C(4vnQ8iBEvE14MNWPyd~Fi$x3 zq037kOG}dg_7`7niW1i3Fq38j6O7{@T79+DSIKeqV%E$C;t*u#uUZnep!Glh(Dti+ zEw1CXxGyI5W0v`{hu8lAtxmdaZWvw*jqa?#M=iDso^iH4IWGO1`7{_WYerqVwyy}fE*!qHp18dqt51?$gi3=%>V zWrKtucm7pc*{<&4eOA?DSr`n$7dbt_J+eE{?3KmPNYYthYg^qv%0(NYb^wsnxIJLlTHsE*PKoO8&W~yNv(Q^xMA++g{6YBs0z$6Z1uIHk?`&Ul$s}?O=-%MhFmwz3EcuqVCMC&d^J4_BsORva z_R)l9@2RH?-d)=}zN0f-NSIgJ4p@II)DF|aziO69LzdelFpao4>(3o(6I%+}pa0VJ zJA3PUm_#jaC!aCiSPb{-K8oryT!z_}>g+JxCKJfIdR`ETx z{P0U6{hog_b20!?x#uIcde)6a+#D|MjZ3{U*HlSw?XFefA2TN0Z5iw7)~MV)!o?Go zFBC`Q9aNuOR!Qtl-pv2g@8{Ga)O5#k87{+ZcFxe_8OA&F-m{LF+IaJJZcCxm^#j`# z&G5w|u{N0umb+$XAh`sQkWNO?=}T#O4bYEFnGL+4Ht87US-&2XwfMS?YQ{*h+Q_gv zq=AnmdCA2~8^>#E;pJ~A4ay109=V~hy+>QxHO0J=$ufWs%FEaA6%=#cLl=_M86rRH z0&qyEa&50sX>(ZgCR96XJ#(m_G23EJ+_U;v1B(0K_L*r&9Nu?0-Z=REVfJv#QTSzlKDPWR+ci6&V-&X-Kl z2Lz!UW~VLY7IxmK7=jN`ReRpuLE6N4ciNEva@onMw*lHhc);}O`PD~8QhMqw)zT=9 ze6k5sF)hYV3di*06N(J&?L)LRt3gBWn-Bg}S=7i|oq8 zM&CBVyl16zJ2!rbv%cjyUu?UH!6&yvPMSXtf} z5I}C69l++BBDg?Uai5f$agu3RB$`67SB*$vynL)Z>J>og^O2HHK^0#T)K)+L(4hpa z6JTT(JO-%bQePYljQvez81kdgSGeRxjRAEGTedn1SK8+|0Y{;!)jnmoHk-JTB25ko zuHo2ss!3{H_J-PW0OtT!w{2W6sOy_6n-*QL^6vzSH$A59@OmCdwfH>p~R=l!Ou#@P2|I_5rLYxL9jN?72=Ym0$D=^M; zS^LU*bv-v5h7b3;p8R5*&$V%sARbBSRo9gny+&m9g^D~fsU?&gk&OQUIzpJ;!2}L5(3+^VZCJd||J3>NB`=OM&1qWO?qTxfN3~@= z5^U-^OA9an4H+SZ2dE~t?d?WBWe1_=xMw9NEB^pmn#HuVEe)93G1s+96tN_)1Pox- zT-Gfu2Z+cV@!o>D0QIO%UzQ-OfB)6P+N?lgGJ1+YLbpyjX1qkx-D;0U!-l|eSPpnT z^(yk9k@tY@S~bsqX!h72GTc^P*zbXX-jH+H6O3>VCEV|G5Knj8>uoP&d1 z3QfD)LA$np|JKSR6X~9w)Vaci$@lu#lMP*&=(~cp211gcjN+7y;NbrN^{Qf;O$)A~ zGT<(Af_oq7N>@8_M?7FuJ14fH()tW5w6Dv+7&z!DHwJ7RXZllBH@Np&pa0j%3b`k_ zrm_}pPizBTFToD&o{E;bf`(87_VgWUIUrK|hg@}{b4t!2o!*3BGzw0BPNK+^cgI6sTSfO+1{hP$P)9bL~CwzSX6=^cO(~3)`(IJBNRzO+=hsx(#k4N5=!}?NR;G201-VONPAH z3niid(a&iDjxuxYO1)T~qqj^~jVE`h=#C#R1f0{ewqKvLaKA(U(xJEJ9eJWeP)|{VUPPNu?>!Zv1hMCh zzg(W8qIPIEHzXc|JPMU0r2AOA-$F>H-IO4MjF3hJSn|Oeiv253Wg9-Ey#}f&&m?tQEm zfB)350*o*jILA&;wMOu%9E=bNKP`EVDrvTQ7io(O8(S)X;Bs-=nj-nhRvU@QsebZI zcU=dfZEUw7jz($fuebM{obi#;gqFA6iCGg}LmW`XW1Gx&7;pwKYA}Sy^0ztTinO^^ zy9qvH|JAY#=tw-MJv}MKxlmZ`Zh-pzYs}_JJM}#qA^8B~AA5tI)Yfcm86Ph>??s^6 zOr3-i#t+}#K;xR9&kYKOB|+fiV1g-f*|aNl4Z}S$ql}*P_~2`T{HRsxeW|ATG~5=~ zKmXRgK#T<*y)i;Yqa$et?;H-5<}Fvq^feEZN8ON~xTTIkB&;&XqyhjPC{4)}wg4?r}*Y<&stxq_1Wxc0d2u((_oA zaD|ZI7Cd7f)hvvcMN%lpt0<{n!0-)hh##COR|li4_GO%^`U7uZ$_5<%<9vlKhga$p1D@}A`ZCb-8J21aMZ!m)-WPmUjo@#ltup|G`*jLO1MyEaYj@5G0W2i}0{I2;N*PQN-_B!)x z+--mbi8&eP@u;EQ8yT=vcFOe>;<-{5=zX(8<;rEZEXRn+KdnI=2*j)Wzb-f!#}!iF zK-D3l!Wc)$HkRSD>FZP3lu1-vI~dUC<>x=0B_`P0ZEAo2(&{lOk**{~Xxx_0&X+D%E(G>mS-H26QJ(?WUmXf4ucp?~$u!74IEXGJHJo?v5wIVXXd z5^Y@#D-us2Hqmw{Gs5y}51#ZiObYp!z{UsVPEko%9>@RGt*>~0%xP6)ETEOn-U+S- z^GlinPb(%!AZOFHbJB6Xy$;nRP!l>cCI~p>_7vo}+`B=~9ZhcMp%RbUC0SIcEWqcS zj^5a(XLB*?aezt9Q%Qb8aj<|8xMPxAvEcp`rrgSeu;eZ`bfL{bDIn^9|JC4ZG-)o` z79?(PO?p>_ycDjXI894xFB{Q ze@eX#tcjbOQ@fn;*XdG~^)i#YxpLoAxwwXFs9}3}l<*{AdBGi615=8oYK_tx`-MHTE!bmqM$mvbh^yasblFrd><6oE%NnHIY zKTz4&|JL?@?O4*@J6%e^%;G$(yFgFZ)hh_66Z9S)&+AI|=hamc7b?3cWwedcuZv=MN@I25fb8Gfzvqx7W2Lcqez2W8BMdgXKBn{p?o6<_o*GwOI?@TBn*Af;t=!e>yGH zhjOfv*xIbRjGkkO4$X)%s(p`Y&(?;GGDJ0*YsPf zJ#R>wSGKs8V-$O3YiDK{#(wGOfBN-jM)A~;#$nVWX<{cPbva-N=Oey7s~EJ-j#VY0 zy`^5mtfcmFYQA@uw5)+f@~58k%iBwvY1SB~eN0RVHunG(^v>_|+LO}vBdvx?&ty)U zV`gnyd>$@1l_Vc~1({Sj&I+glXZd#POm+t(=u!SYNK`W^XG@Zd6 zPAd`ZW3*dHp86LuxL-OpDifXwJ-s=m?_gRp|Iqe(*3@*Hyjil`TTcLA-X2#S7-Q;b zAi45v%vz$wENF6LMJiBtKKQOimWb%{DcwPAntXEFT)DM*KISsYeaC!N4-(kvnw7Y? zwha}XYAE_A!Uw5_{GEPHV>XAPfWT{J#Aww^Tp+p~ppH zWC7fedUKEER+lt)E>XL=q9nJ6?3cQA)Mh!dsx#Q00Kw=9tleiu)0zc)8$%4?a^)K!zpW8XTgbHRL!;i_=|(km1tbJCVR-yTan`oj z2z4=9&@PDw-ZvNss@;;AIp$k9Zs^1=zsYQ&$d zb3IdR?N(<+b2gq@+@v>3WmOJcLBaO*tgDC?&f-nP%?s|sd3`WDW|E6qcN1+DFSL)a z$rRRCis>R`s3ZVSCX#Eo+Q>1tRhMtf$D9vBD3-QmyEIE;<5JR{;yXk?F$Ced<39MO zjc)ep$XJVeTt)+yUJGDgnj=jmchH&LqyN+DqO^wOp^Z>0^1+-fQ@FAFJcb?KPJZs< zGmO_XWu~WhksJM_nB-?Fh%guoezd+zY8G-am==pT+~XtYDLcn)hLW|)_m)=DMG4B} zf#z*Y6(hK-xoti-vlkw0FZWvmf!dB{+6ODEGMJG9yeXE+P|v#sN7ALc5iE%C<0vun z4X5$P<4<00W-Fin)Z2K{EJ*u*dBl50Hkzcc%PihpTZtzCRx4|sf^VTLaVTtDDOSz{ z?#@L+6iR1k1C~}id-mx`MWuEcGDzbn<`RK?ypZG^pRGj|pqd#ZR#n>BAf8XqQgX9l zd+Je^CzzHzf`0MmHA(d7#DUau-TGpx+B7x3hyT^DCDhg>B4#d(cMb`wBU4G`Q!r+T z1BA{w$6Cr!im~4=<0F*=?%m&~TApVz$Rv|-Q`M?$p38j#$cJc>Pm~aQW}4??G){^N z@OhzgD~SVJmPM6tQCkP=Nj4o-#sT9a6-{c2-Rgh;)?pDTR*({{le8RFGZAxwA8Bq0 zIUrUm-B(AWvmD24!92`@a5oSpqFuw z?`AuWG29wsFx+xR0nZ|>Tb;3Jn69G=!>@jLqk+(Y+~>HZ%$ZiZ5=Sm}ugn3*N_L%P zZGUpnTrh}jIAg%hKl;@+Xr?;Gz?RlUmCCw=PMqMHh}^snw`((J8O3JOy0Pge z&15|SFUpB>ejpA&9*VOei z#%;jv;ep@-RMK{6YSv8u(dP~h3lIw&0!1VnrVJbSd-fEjl1-!1wwEFexd~0}JVMzfo4&vI%NMB*@&lvSE&M(27)*R4OBuJ-dunI^W1{`w}oE(-_7^a(Yye zc~=a$ATT(~3}DqcYMDE1fB(|vCI_vR=rAl*o9r!aU*u)*PM!+Orbtt+mGD`x2+By{{Sgc>`0Ps zVY57e*QRPvbGQ;4BeCgOa_e?x&;Qf#yIXUR#Yx?sM{`^D7J1~2y*iF-mUB(CcEQQJqYVwEP0)h-JX)rdL7CJPf^~b$8pm&qD`k1OuU6`0l1z=dO?6Q zj6Dy(s~6^5pxP@l=|2-5!Tg zs6KJP=dO4(s!MaX0|%`sJ3Bo_x72KiuB0&g-qj4&%bm;6b@!z?IJ@*JGPANPhms?g z2Lqt1QBF4UaD6daLTz;ZnA+-(|JTV`13q{e>5laL2RPv5*O<~u{ZB=wqGQ4l&}3&F zXb0uN2OhPY!>GHrF#r!-3UTE@#yI5r)Hd6{K`{nfAawOK&zHI6(3?%ZjC%Ax|Ip23 zZh#ZVtvGrC*R6P|IeOgmoslMTF@eWQQHL1F9@(uW%9WQ=Z`@-?@{fN`f{|3I0~Lej zazW6LvVqe$>BRy5P`sXdj+LvaY1swckN?r82m_8mrTKBoepTeIx@>w*{YIbNxF;vm z6y2RmWE^CIGn~?bkFyrLqB&5N7$b1+O!FB|);Y&gX!en5dX3wc%$Z$y`N2P0uvF~p z%OA>#tE-aqKmXFIBf$Cced(L+{X zfB(~!Nf;`59`w89Y%35+?ma8ZOOWp*B1ITnb>^Uvm|ziw>f29oLVDRDs}Y-}G7zJK z(-mgkM3utHF2dPe%}cevbkD$#SQ!w40**sFwy+-#dHKu-Tq^g>V zMUpwNuEj=9Gn|uDrDe?e8g8seE~HWwvfS_wL&aQ*;bdPeh*D2DImJ{}^hCJnq5sv? zF_{?W<{))uJ*lt(BR)2eM+7m&dF^RtdIGw-F2xy6ymmDjwh$rMOY@F|)=6Jr@*0KX zU|fXTlGv+OrZ}hbFdcyh1RhOVN+=%Vr%`h4bs7kcNjMx6RTPGlF&JVna4R|Aad{vA z*3eusuq}pg;immo&L3P zZ%-g^Tb156EX>Z?Jo;jlB)CkSxyRom^y06bb10R%9xlO=Q$Kqg8oNA}`*z#8aB?tD zBkNA`KdKS^#+ndlCqg7%- z1Jb1=?yfH}i!aPouyWYo=Zbm~xgi5*g4o6>a42AjN(R(X}^(_gco;e^Bl~8iPpO^Bi zN%ZFmvZO#OWR@I)ev~&Y0cc&dy8i$~Hd{8kFxw$JMo(UAG33;2fsRHp#>_C}d-Tug zS9G13Nhb9_|I+JW)ghkF2%@!kuuk$$Nj{|2tGHuESGU{q4WtGg51_6NX3o~S41~Fi zmHBoI9ipq7a)B-yEvPvM9gP-IlR&)5bqI^Y78GqGIU@%Z2#Q#2_pi*3;40_%k8B_3 zRUH+O`L2Xxc9%+sq)3O7NFb5cwj;G+<|8^sb1aH6DciyHq~Ahck^j@|^=M#@0A)^BzA6(J-f97%sYCKg;7)_v%@F{W={>% zitBbynG<^}73H^h_c9KrpcJt?s=a?7TX5OL69;amb|alpJLH z4*2U{YFB>t=VaSxWwni+)y3uBp>s9Dz}pnD#^7kSyB7Bi{hGV&B|CP=++g*`bBgG- zo~BytO{iGe#b#i)F8*Y{J2T`c@68&1p=E7jBBi=O5>#Yu?=pX}!mr7Lf*6tcVyarJq$Q&;ue>jh@6Gk&29^$P& zbgo>NGSKO5Z{)pywrR6Ndt;K4MYn8`x2Qe-pO?H+GYOTXwwa)L*K00Lzy(Xt%`J5! zp6^Z6pnExEog!26&o2Re!K#a=>5FS{7B$^6c}~n21CE#nrcEhc_C+KA*Y&#_!DD3| zo%|S#0={Ie$;Y|%sqUmYedIR@2iokVhc2FCk~6@@de`u)wbZq$O$F+CP+7ElV-b?dK4I&TSQGeu z;>%^ls96<(1^_aodxJz5DjIESK`qvyryY}8i*#~avM&NR?^xqfAvFB0KBh+os zk>*5kAoePH{{Wv#EfyyiYoGtn^$4B~WiIs%K3VN#j4HjpLh+B`BlV~*^jlf2#mmBc zwK;Of1Ovt^j%}l@Lz2hC98j15Y5w1o!^{8ntt2_BJ9p z1jvjEx~>ZXI&taiT+Uq9M`ijVoxR1yg~W?2jM0O+1B~O2gEb}P)c4lnZQF=ALL3km z=}zkR6I!AKGiorZmWVV!-$YPk@>xJ; zz;0AxfB(|!ZH0~QlQ3vRS9a_+vne})&P`=WzH)by zByoe14_fAod1CL-?^n5P%sE&QCP<`@=K;9S(zDV9lLixq0~=Ie{#8(tiquzkCJN0E zV4z8loSuZ#6G;|To0bRei0(zEP>bg@ipoA>jyXR; zRV9sCjF#+5k&(BODkR&~JcYGw*Csh6U>#0PG7F!xO)~Q9oGByvQg54ILhYrH*MX84 zq$;6g85>)i=hB~U6-=!02Hd#A93FesN?HbtR1S+=*M?CsfIc*fjoN?Hm z_0G9lZJviUv@;~OxQHJuiA;>CewAh$<%t{5QVXuoLtq2=*2%5H<@*_6Z{8AjC?xF} z=Nwh3Bzv1jc_Kp0+q}XsaZsA)2`gw(oeT;TVe(uxMH($c4A~H$03{>;SYSvM^c4aQX zaU_w2d@xWz`cuuoVq2m0B83~-`ijoOqH;<3gL76K{Go^Qq@A6CwXy%!q^JwA0672= z$4ZV@;3?xL9P!O(CY$s-?8^@nA|x=g7u%NxsST162q2C#jw(}fgKN0f;yN^Z1}q8U zrBVp{rzLtCCne2wxLdBISYe=3HiCF7SnNJySCbQJru??F-Ypcw&$J)dX7c74$B;k<>L%9kIIX+t3!Tbwqx6j z^*A}Dj(nV8hUi5rK7!Pc5KlNf@JY`!xLv@u?BMhqVy^ObvI#T)(C1lK%fgbUIqOH3 zSumsw^f|{gH+8A#JGkmb_+nQWKDqBhx{y7NFh9bgnzHx06trk$u_<6WfJx)MKp>S% z5J(4s#a`mOuq3V(a~aCFUVjRfJG5ZIm4)H2(N06!=^ zZ6x#`S{KD}CNROVnZ`$My=aNZCnFd=I_8PH*&>{`KmXG59Xi)x8^Jlpeh1-QCA>Hp z$SO`i#d)=5_P*o2M$YDpN(mscDe3_f^v~L+9Zzva5z6sDO7Sv2a(22N>bIy>L#qu|I@=L30xir@}Pf0t1 zV;=oZ1wVp81Y~k?LcC<;g}9*Y&&)~h^rgusBRw)n6%XG`OIA5Y%YfZ!#AE}HuQb&8 z6N~64vH#S{EzcmFccjQYGq=#5mE&_Y8^`2#>X3tx^fe+mEy)05fr@duZ9Ru($C2uI z%^)kihVBP*PB&FK-(3dokbq=l@()UKZ48aw;|nL$ZV0J9O9xd z9N=Ro)}FD{YEH-h*2GZJuqPxMoH!t33y*s8dDDgZo}1TE!110l(=^tQaxf1xJGfYj z3LIzL4n;gaTxS^RO)IPU3{dzTIHr<19A~denew~EiEVTL*Ut{w1F@&85JnVry~PWFew164q`D7Qi2=dupJURRqxiYNJx^mpQdhYzQ8sb7a>KEwg<_+O z6W=uuowp6q|IntOAH9G_A6g2GpOoNtuOpgM(DZKg9guOm1CD6O2P>Y0b?Zcv<#q*o zSPF$+00*zuqA~7u7|l#$bfqosIF;Jb)!ET~&QGOGw+t|3BxkRtX{Tu@j_ITS(XO%v z7zFdymtiap(o`OBJ6DvV)Kq-`0I!kgrbbocIP^Fm^{Ew9#B;&=RJlG*TXic-XhS3+ zlcs7ng=0bGlat5eM>Vty-Dpf4#(_4S-&&bLk$Rucn$prq^7qhNYJdOIYnEIusN__u zARR_Q7{)8jy1G3NB4r_i1D>NC(}JKUa0dYM)|0YsHwSUAX$!Du`T^;T8mT3@GH*kJ zjAZgLM5QF|f-d?2StBcwah?t;a83apxg=Dn-*vFxL;usXt;20BGtf7sSxdPEzuCTR zxz5`1+E%x7&}&k-+++u!JRWM)WE8^y6!2P;YALZ1+z%pS9`$9<1x`V#>`wODz?|T7 zRM)zb+o;g-0B@Q=GussAf-U1|!t^;j)WNqPzhnQ@xFp=?JFqwzJ*h&zcCXz7j0|8` zn48r|^gT&cx3EBPag&izJP#C~H%{P=nX0yoS5~k_e)8_mJY{*J<>bl*8QQ$$^*{cq zhZNnx@2H7<_Ob1Fz%8B#Clu)#Hn)yl{{Vlm>sJ-csv1ZC)xQc%(eD5l#uWVgpTd=! zIR}D=j@9QrSl-8=)t-apAG=ezagK0vNC}T>rZ$d2%@TI^Lg|u9;(LZAm5Y3@x1pt! zY@7)rI2g~;oK>|FA)YYuD~`HHV2^R5?W?vFxCQ6A8t;IZ6B8Gz^7mT2AvFuz41m4V1P@0!iM zbn$^(jbI1}5hN+Wa_Es}W1 z1Fsb%?Qd|**4|+euGaZ@Cb*>)zeBdf5U>)B;A7bJt4dA0p|SC;+}q>E6Iov)HeJG1MWX}ey+da{)9f#rl4X6Gbkpq4w8h@@eHu_e2q z>~bnJRw^%3|Iy!V_VIn0qA_mgdX91JQ`)T3Ndm}81B`*%xDNZ8zizX$1&NY4%5525 z8S6RkUj2%z1Wq~ukJ5)0^)s{FwAp+|F@96!^s6x2rNj>zSd>Jem7H^t(-kWG*9(>I zH0JXeyx=BNkUF3KwOyJwg{`k7nlT)ae8hv-q0i-47k!6yvH#NVw0qlhXL+|3a$|&q z$Rr-Qtq&EgwxJ6w){NJMa-kRG9B@JYmBQ1vGTiHJo-3DKyGITo}VB^xcsUv1! zsvT8$!4=gRu3dlO!Kb<#8tw!*+_}yTPj95X&K5YpZ09)5H>O&a#P{dYu7_C@ph2w{r3v?iZ>(o~YwfxShHM%QlqR`#CJG6s&on1GGPcs4`TS`oNbCW$yo_K0 zvS^|iIP6bat2>i-b01gm+DttAJCsTG?r3E{D-ThgooWvcUtRcg&26ZmFiJsEjh6J> z5A>v;Mpf>Q|J3%o_-*YS45)7+l;$*1k`xS&Znbh7*(0)7vD0TV%ER|^rp$d!b1OSD zS9@5g5R&fwto1lcEYWUgF_r7d&w8eO87;lpeLC>Kg9Iys$Q=ejrmuF8?v~@^)$SwI zhMB5NERf|^NE<5Lagu)SN3W$^J{Z$(m&<#0bSt?oH+3X?QfaWiDS?0BJGjC7HT*9S z7}+xR_ceiXH7^g%zA-(kvX9Y`#Jn+#6>d*nPAf#?C21Nsscdyt7nT~;vZj}KwxquKt=ceOIph#eA5m3q z>~nn@&v_^t_eNt}tc*GNyAEm`c?{Ecvp@gV_ia<~D&ZlH z?#}gCx0J6RKzfcVndz!GjqF&}+W!FeBGLzCDg<&V87teT<4$Qb>qtM+?pVnzUo30R z+#aBcp2-gS4x420!yTK==Ek|)$PNa0{{SYUy^;&I`zu8%YDF27U>Ic2CjY5Tg;hRiHwc0|kR^Ge7&T3ogTy$su*Xm`s zytKC@!Ls1EX1jo#o_P6xTG7({U9M_2?PBr6bsTG!W*%IG0zLW1@->IL<7RcZ-Iw&s z>kF+xp+{>;rJHL&{XiUKpU0(JlHMfN;HRBlI1R-cw{H$Q^tE{ubHfV%mf#o zyg%~Ih6((8(~{L&VY{sl|IyzOU85b*%NUV!hFk;d^{A2-f;WFPLZHb6{qAd?GP<)n z?;92`Zl`Hsm@<>xMmB&d541#rd0sayj44sb9ja7QwTZTciDn;V4$6aafwW@)0qInl z6?i_-A!E1iWK}h2==WpZ;*kQ%t|QoZ2f04<$*D~26ns@orPD^e;3Dx zv?2(S!l;470O@d)C^1sHk?tOiC?O$^oS@X`W^{*wz(z=SBQ+Z79~RH|`46^pK4-i4 zzT>5r*vCS(r-i)*p~V9h#Zm?cSBqf_c}+mBs*5GJBWP)d-JWH}9(u2AsST0SRhg@D zu^;#)E|b*IUzA-WeK4s@zkO<>HC>xtdYOiR=@0+LvV=M12vfPkRlu5;K-mouoo7?v zZ@s-C?y>Ls(HttE5%YwU$u6a-+~0`jJ?6(bQCF!wVJMCe^;MX>G{Bg=Yh!8 z5Yx;j>0@H%Kmsf|BakEs4S-c0*^0j-U1Z?^lSr2w{{6wj^=rD?e)+RyfiJ|*z^*f8 z0H?6;z3wUwFqO*aMuRYt7)L1as2)w}8)Ep3Pmp*f&hWYa=8ZlIH7c?X zSedyCttXps(%UB1gz)X7JNxWQS6ASuqe+o@r z%=}6hBTlDi+{4&|Uqo|L0a}~t>j??RCT7*!xo&&rR!AvEg_B6=5P3PGb>rX|a!(Pa z;UQ2Q%Mzm3<05{;dyjwnGrHjY(D2KBsbLx2#s(+SyDne61_ma6#I8WPqz4t^0=g!c z7EK6puOimtt;r_eR?d?#!IYt9d~4Pd&cJB1Y5!qIL4*rwH?F_YmMm|u#S3A*P!WP7 z1WotMc1?~Mm_zI!&X=VF-5iV3hp@@qzG#~}slwzqT~xO?JD#HBrRfBmkEJF0-nkw2~=+<59Lq`|GNwvI;ub%bw|yc>knz{4Qal zs@2B{-u3@i)Hr5+ET(j?O9KzZb*ufP^oQM&5nv6j1Gsjm`cfy#NYHtxu2C zOPTOIt+Xc|4BoV?Tc}LU?Ia6=?&~sm8|?04IQN~c1l(lNt_^PRn!L`5X3 zUxkwqOc|ye)IR1=jV~_H%rIyU3}7*M!VD4)Ce8HC73?C)X|%s5W8A}?)h74$QMMXm z9TTL<=x1jjvz8!y3`8e0O2t_?V5=h+e5Cyd^D+fsM#=2VonK-Kb>&*Pmn}9KH>F8ZlB5R) zA*2$~9hY|MfNLuD1SE~meBNR+D4V^>V*K$RwC{q!Ruqv+VuajmmcA%vbv*u+WYBLi z%#w}fH$pzXi4&TOBG6)1PW+w+hzXYx83ML`bkIgg z64#LoEQzt|2Bp1W4``d@E66i`Nh~=b`}%D5=y9Lw0F%nkQWTO9eaZZ|&zdo{Gf`DM ztu1W3+zm)fPqs4TLAa2Y1wRUDo_x$Qo=$~J?D(##tL9ImhFM>IrWzYh=qiU~q0rvK zpp96^%vy{u-~3iH=-#!qs0-IKJ|{?`(%7`H zu!^b6j+_lVf|Dord|s#i77gxlNkU~))Gd-?(JEI}71gC& zEQwDIMt{!SH#NDPu7B@CE0SRzbb^^`M)VrkpW9D-{RwViDqso?K$i0b zZA|Y~v`v~VDL;IDCQ95_yZDRNN*7-T{42*GPX%?+E4hK!xFxx;ee*)LAqWwNqOUeW zea>zCldtop^N)nna9qW(t|7Lnhk87RBo0{NOtNX!NtjA|lVdHVz ziq*bcm%F>N`HzoPd@gBM3aZ`%r-r#Ddr>&o)iwcke4z*D6R#00cM_uln< zI``WZY^F+{J`pmo-O-~PD%<6?UDVfuFb zz~5xU-sC7y<0GLPTAvjdOWK?I+bFnk%&ijm(&0*2i%MD_{dI^LS8~mw-7xG#8d6(r z1@wyIMa}5-)`F=@XEW=K+`Z?XztWkjnv~wioB-D6T&a(cyvnO2QxNR2!I<=zelj<)fNL;ItcEd}RTP#l@b{#h&`h zcP-|{{1BuA)%924+Zq|5UK&3fVYm6++nRuD>-Q<=E>0{`-SFV~t@5hApzwsCz=1HD z5EOE8V&zctpV^6e0+&ZIn=Hv74kN*pmZD);9!rAOAab5&xArBK*!}nD=M3_f-=~t} z6($xc!BG=@c|T1@h{)n%PV`DauZwH4QuEbMx>VbTcw3p3q&=fcjkIZhuFTO5nchAh zWHr^rh$bfn!BYv4uPzUGzO=W-j5#+qM=JzL{T%skaz#t1PBvwk>CGV1O=LpR`o-AX z*DfD!%*42}Vqfh?0qUN+jGHuHEF#9yGtnd+p2u7J-i?=xcP!cA1Pa4r(R9BZcU@@9Z7b%iP^XE+J_4$1B`GVy>hdxQ_1LL5)&P)_c7sc99me z3lpI6;0N`RFpW~Zbxkz2+I1~7XZiR`rLeSM%#ZVO|!V{1uHTdc&Q@xvN36Z ze%pTrJ{|D>BixHR&H=tG=d&asx{6s|s$m#hVq(&xtp)Qn6@OkyF9o2QSp(mNU#Duw zb<_ruMUlPzYiMph@#CR+zR8Q~h?#L>m`*h`LA>-$so^Tt@+w8Ho%~0Fy%N>0ZuJ4! z`V3gXtZULsaEm#|Hf)$s#53&uPGwf6AcOtYQ~x=PzZQVxjHd5Lc}H%mqdyN^URT!b z=~=#r*i!p5_`1lqlz&B0yeKmSHD18Jcx`65wW>LB$4GwwF{0|&Vk~(JvPm>ibC5-P z@EmAif^(no_`L;k(H^TC*nRfs0zf;CN*j;^q+_0-lI$YqHxsRcXC>pkyHHftYFFa$ znjS#c9uXa5;_nmT?Y2i~p=b9A>2Da^{*FHPIoUIla;mYOymHV|AsZiL;>uG9LdVjn z9wHWRH0LuZoQT_QL9(;Dfkt5}%@U5!FeV;d1Ww^c?{#<_r3e2)vs&w07o^86Kg zFEE@lnDOF5z@}Ee_^+|#N%L|WIsN>babIL>ZWY~Q>I!246f z{AS4Z_5slLwbReCoiE5o<70j2wS@mnsW#-Ig>JYB0+Zw=4zj^tX57A43YFeYEVemM z&Wj|G7?Mh}m!BYKBm+?P?|EHxgE+KRplH!Bo#z@W53-Vu$aNM8!JDx2gwp@VwT&)~ z^@fte4;Z`M{Rz7m8t0OWF!rjn70Jp_jk@<7b6@0K6PMNQK7dOvN{>yZR|{>!5YBFU zHBJ-_&&$l~J5$)61TQuI${aHi2`=EHD?qUYHqman-OT4U+1*aow}@1UbobFw#lU>K zABCF0xd+VyXbMW;gb@~V4elok$@i-g@3BcRfE?>lYRZ!p3kCpRf{ce^y!91 zO)6Ry{OnIBvtSS`ScmI%H)WGZ`s_Sw#nyeYg!*@$?sQPVSP^#N=D=M%$!;{LYH$;A zEkXCtbrV3yPC4QdEh5RF+2tE~Y1v#7!Kd>KUcPK*V= z*KADZ<2s-*TXu+lM}Uks?$3$ce$CVMaNbj(a$?|vI&wUO1U!!(CO%Z@OuVg&8Itv?ncF<-xAI(mYyd3$|FyUwY6GGW7qtq7ux3fKALUGge ze1=Sf5vxVUiwWsOA#FR^9$vc0#o};V9ik(!mSXzhC@BoigP!K&3A8o>QX)G8sWQw0 z8HA88$`W4Bspk+*$-?{&^mDM?5ZP)SJslB?I}AL#qWo%j2M&G6oCN*V2V<}Q?ra;A zzSbm}To{AoU6;LzArjJpaZMgeS9&Uf)^><3YPsuOm{^2yqe$Pof1zp^56*O;K6!5Y z9@VEjqSKD-sr+aM@2pxi8M$A2zNu!c=`G`?0tGIa&(0!+gGS$eD?=P0===VEYs#X)jF@QFg8xiBD;X` zb9`$v?lkJZB=uU|EDCa2KZ&g&+3J6#`EH&HLn}yBw*I3zX{K(7%ym`i=*;+ub(}XW zTzaP}wi|>5U>~`~u30U-i{l_J``rI1`I*?MB1==o(Lu$~7Un~42O#eC%}6Bs0hz3m z+}?Kr>4RL?pDVC_)8i1RR$PWXQN&c@#Si(ZZ+7xgT^>x_g<#bD+l;9lE_{kQ9Jh)M z8jzq#EtR+WF;1Ht8t(E8`fmBz<(TWczgw8%ULYMgAU!Cv^N!1&(ihtAf_U6TrCJpeR({@wPHYbE>PVff{y&?;3nVkI>HP1eV!iGYa>m`HPahHB!20Y z*yJ7tQ(pUcdn@jIUZoXVH=eDS+o3rO7EQrFB}XfkeKND-*IpJuiVDum@*O1|STAwXrifC+eNB<}l}S&+VE!r5U%rxQ8?Ehx@FEHl34 zDkov@)_~@o2sJB^G>dJ-N13s=#liOx%3L8*v%QS=X-hqq#TKIIAQtOPpR!^u$}7UQ z(7;_Sj*n@06dGF~hRBuZ{qCi5ADOF;Xzt_j{Qtdckn1k~cCMnHO28UqVn0>LoOSn4 zij`gkRYoVSo~NEzpxNG@xve_yqwX8(*wsWYG-ndvWiN zs$9QzSrsC;T%s6dRBH*8#*DwN{p{EmZg1_NC0=HC{T|08pSIPjAvWPr|3X0llrl~T z=ju0ABTa0TBP+qs`Ra8{y9ZkHoeOLGis(rUJx$vrTodPmHnLO;govuq`r$}vFBC(X zY41Em7(3al&NOD)_V0`QqcVE1U$V2=Fxps&e2YdrR$+; z+>Z6aHV62?<>EsM_Fwfw2_vusq}iF7PO1qRgGAJgb~lp&i7{HHX_}tlqP~g;=*BgP z*AsY8-Rwwm8F8tKmH_=Th1=~libJmVBBoaKIR-r%0ud|*cd zq_O?HTL}kDTKwhdVhH9NMV}LRudfG?Jf7LbV5V;>CX%_8K!am-bm+RKe0F=G;GSx# zSi6Z2njc-O5wa<2yRD0=%&O{*tS0lvdPLpAdft3z%I=!!TOWAi7f3EPlsZI^_%EST zJdGL|;PpPAYNj3*HZus=b8tS=D^-8{kl#F#L>0{6_lZ-(0ogaO?({}j`)!VTM}YO5 zKCq1^&Xt(ZXsbx?q)B}vC;V>VVcqB0ImhjmGiLLW$@Fzqx_+x&S?LN#Q?gvw)ZYSS zd240%TTn;tfg$rDY#FKJUDi`*_Xyd*Hwu0Jef66Xgm<2hH03|tpq4xd%=kjSdD5k5 z;~P_$DJA(;X&ah%_JC70Ej$%41yRmdoV7^-7urMb(IZZ*A;D%_`F8vH<8GcOGua5# zvD;XH>+WI0t_s90K;H9_z(dqHD{hUp<*i-ETS@NaVs8XaZdAU>qC=eFOPSq&h}RR` zl~rf$54Y0rb2Uv4DxMxs2#5MLU%ioJQiu>o8Ex~a|Ne9$;ap0bF7v%8Qp0=o=8v1I zX2*GFSKRA$as_EpIxSOY4I?0Ke0l#a*>-D&5Y_O#o0S2Z-BAC+!N@TF<14R*UcQtc z1oPPxr%9S~%%7f@mRk+`@6b^wkK4+SV@WS77E5D+NT*y<5QR@Q-hqiZ$^CBnvdPKN zP=FOv!&f6E8gRvEJ&}M#R5#AG@U4RvfpD1Ed ztoOSGQ5}RD;k6SVKlr+q=us^k%J=8*-nm4fpq4~{*V2C_oLcDm1UtS}!p}o|?B}`X ztId8UvNb~X9Zh^v-@jNyMe{8oxw{9v(r{G)pT!E-2LwbkD~%(xTZ|=R?0z!fQ|0yo6j1v% zb4gaB@kvW)b&C^9^6=fFdF!u@sxh~aa~&46a{o7>vn8eQ!uEe)WgjoW#2n<(y^Lou zqo;amMvB_-p^{+8l!Qi~8S%$@$>LJ6tgyAG7yMxrON|)TLSq$?mJSW0E|abHCKl*G zU%mA4efcqC$830+7T5S?fD?E3<#oS-7uT`#q1%eZ{3^et%O?%Q02R}KzjO}~+h~r5 zdkk(n-r4hW9dtjowF)1``F*ap96(4JdKk@GPH1~(U~xt;on{4$hW%_w(8$)DT7={= z^7R3FW>9h#XBf|5)N<#jB*x#_IHl9tI%J02-}ESB8Ck}7gH>d0a@jYVff)CmEe<+8 zS=>x1v5R>8+-WXqN?|hNty6GmUHk?l7B%NNHgS*~u3a zPp|L4M}XaE{gE{{8_kvKK??+2cCFju<|UeDT5VM>g$Q}&3tKlD4*hl=Q;KpqB9D_I zJFlu;lvSi)Q!dSqhS#*^Z<3Y&yhtCRZ(l)5rkZIuL6+@e9KV$xs$wW*C$#(?nVHha zPQX1sQ4fsqD0N7`vO7?(OyNoys%&6l!is5VSO_}mxatpwz9(2eBCSRk79`$#Zyz9U z9v)D;#pdecQPK|-B#uuoNI8O2*+gjRD2(&u$}PE?)V7;bSk(IJDXb|1tNn70#!tH9 z5AC}Ijw@mv6%Fr-%maKUrtJ@LGxa^b3oQCpM+LEkOoDxZe2)%IuB<8iq>IDh6ubTP zB+M|E5xEQ_c$V2{(_f6wRb`wvy0dzr*>e;IR_(SkIY%|bThE9A)a7%Sn`;cy@bo`0O z%LWfeQyaVPVG@=k*LSVb+;A>`&bX!Y0rjg^`oEL)FC_)cgfzK6H$UOt&47{5XugGAPw{ zl`Vl-X*w^BfIG?LmNmi%Q_vVR_}N#5oKU99BBw3+DBsAO_hZXPV~QZqnJazp13RWe zggIQY>GGjV;q7#P;wBOM{hUtp^oj7(XdbS>s%(k6-NxQw*$dF6%uab$;9$zjXxu65 zTmH(*v(%gn=9NJjwvRs*Vli-+(D0DQo2U-bcz-%nsvwkeQuzLknlTFdbo{8t-A_T& z0Jqu{XAA^UupB0dlBoG?LsJaO1%k{SDz#4=dj{{{e*qCWaoCFhRs$8DW9Dp{stZj;>P)QPk`1gIIEqCBgYU@m*BI0I zE7jZCt)Kh%tkb~40Lf_5Jw{qa7VR7lH@%XR=o%IoPFsW%`7<5pFc5f)7NvLNyHrxs zV?3pnQ*C)(+>N^zk&;M?0a6cFcXHp5@V<@PF5n2DiWZC^WVxGM$%j?7qQV&DwjIYT zn%vIqZ1e@+z*%>4=ZI2Cc_OS}dTF`(<7>LzNBmMw5D2>QXyFP!nd+hbZC?l^*KqSv zBYJY7Ryd(kEC3x_b*sP;IOM@YMr5^&ixpR2Rk#NRY20O%0sn zTKk{+9i85S%o%_{|ATDqGu9iw!Tjjzg0A~Sr&P|B)!ux!}IXP5x_z0InR`2 zP9i$**KUCNucNaAYDA&vyT4db+H)_K;-diN{bzrBYd4FJsjotW|HC<(C9KcE6fF%{ zg-Whmn?`@@ca+U0X`~Ez?-|khCey)crNeh1DFWmR!XIz;To&n1c@m6j|FC*tJLS>E zv^>Gi|Jx)R0I!b;8c??$kC~;ZoLC;i5+LlGxn>N&F(KzK{~XlV&FfrnKbp`Nl`0I= zFEKPoV&aZ5NB-qa{jwxVA9p)j_OgQ_D&hzZA%mR-VP$HVe9tB9#?9g%Qz-VUqauUk zm>DZm2YR+<+X^?Q|pj`8i@`VCQ0dt<^8M0Ag#_b0dijiy7 z94fWD^Ne(S`jwrvMm8Q6wD;m7?NCCH1PXuX5W!6at}yYU%i00__nBSU5f~xh%#CLJvDql(c9Z?NIzf>F}|<&TVMG)In|g=y$-zCo--0LjTmvhzE&Nc z{|skI&US(%>mV+R5+sqo62%6JncaN#>Kh(ERFB9YGlme#NKllGF|8^DBk6g#CilKe z95>ufQ0OVMcdxBEpXkE9e_GfLLq|g{YM!8vxTh~>Ym~O6T>k?oey+1y9u?Arc}JPm zR==n{JmnDEpMK}JmothdrgV_JQ=_Fg_H64XH(el?d@A*K4aAbt)G+t6@Vnmt410>a z>p8Be2Kbg_w?_}{>JI*!$zn|3v8*3RaR_qs`iTD4IY-9}9vvtbmOLKh2aR-dUD7cu zYhFxDhu(nq@z8~Yedc=MPT_r>=Q{drN~kl` zxL!!*pFY6VIiF6_0*l$U4)JHaEQ#Up-N%80YUG7})-B6GjA7UHh8yizA{8rji85DBa()Vn;Cu`p-tIBoTI@aPp`0pWp z&yvV%5KPwB*chd7w}=MoCge4EE-ODOwKl5{e%C##2`gV0rDbF62RL z>x|_XFMjE-Ziq1&F%l-=S~jg-+l^kr%)-b9;w6k|B>!a7v4q_hkm`8!7@A?&LlI&q z6elo}m7plvsU%zjd$13h55pBZ3YN$FznCX%)+Xgq7%lzu$$)FPE;{n@>#`KJyF~#f z`lT5@B!om~|Ev{z#Dxr*-{;=W9t&g~$1r&tL*z>eG6}hqdmnT(-%;fOD+ixWYU3PR z*yQRW1V4q!q$y$#k7TDh+W;m3h(481vY_mDE1u`HBB`^5eu*;m-wy2JYcoZ|M<^tz zlVI!gvO@A#M_g&-25oDi#h0VboviJ-f!AFx7u=8VlSiJIn!Xl2jo4-ehPJ?GAFfBd*ol+^DcH9=s52`z!W4|#^)_sHMo%RPh>)zX4OAf8qTq{b z$!6@vgcSb(b$mi!s6oM;U2IoqY~nVeV|a4`==R}*ny3Vi3+$LiE{r-^o6$!9$0OUU zU{>JQ(P7@Kww_iRPNLfKI_>%zSIkmR6BlHXO9+&rX!ez$J2hr!^Q83Qnq{si1>T@_f6L?B&2gudjRl`Y`@|ma8RJiiBsj7CxAB-_jDSonO|fSBqn* zh0{Mj9wRLWJP2jiPlbR~W1PkgxX@2Q*sJg5jky@S$ZlW$Zd)o9|DZKZcFu-UdDI_n z1&diwtkuu_RH=vGO4&pme!RXM4!HO=^y5se6Z~d26&j9w3$r_L)k? zJTj{(Nfc5xMY9m;y>KyB_G?bA`e=SBON*T2^nM{W#^dzUN$rusbBp6rTYoM1EvVj~ z!2OHSO2<$o^;GF>W7?yhX;DO~sZuM3P@5c}7ulBe{A z_qzj_m%ps59i>dgm*Xl#0|7(D)K#4Lji;?c!;Bq`XWuY#leGBhKW5m7(&R{qb9J}_ z(>oii%+_yXo+n0LBjqYnTJeKh@%t}mdQtb-|1KrzQGNBqHe7ljEGHx;fC^e>%aA-6 zIEXD)$EwM7WFh8C2&{77pJsMgD;1XhcjF|@mqCUHW0L6cbWb~5_JGgU*3#hT$dqj8 zbk||blzKliG|0tgD?)q&^Fx;D_qSWPbXe9u3IpUb9dh&!bhp|Um2Mvpe!>ubk`YM?t*oUVsgixhB zJ20z|xTWiciq#)6xj8#_xxr=oIRfu2Q-oxq^x{WNkh&_e|6%8#Knx`J_GV3h*KPYA3E`B$)Ox@P(KLOkwN+(~o!->hXYxP8<%KcJ4zOW6ShuNoiVP7a?Qq?S=m zc9;2GC>ReC42$)QN#`*P*@~c^cxF&tSlwl5spL*oCBpRMG zLM6MuzflZXpOL}mYNo!DXWUNI&raiVs;h|7^YGu5fu$XJQTGCBcmu8oiYQMs=$;9#?)V3g5oWj6ks6|J8r^BP~B-FzF;nG-0 zuA5%69fh5C$duRN?5ZgRV-OtBbTLAOu)9aJj!DB2-oF{W=l5N%<4!AxxLfQF;PQ@N z9Lx^%G{T-8ZKO}fkn?Z4A)?fN7ed&frya6-KFwv?07Z8^owI*Fyu&=+M(g}tw|+Os zPvV0|bKpT8vvOnY`nBx2;Y|E+wmoZ~f%G}-W2R))_Egc-D!mQeR4ehaJ@Hl5?-L?0~*;> zyq%06dkp{6FdvJ(FK+0lL#P%9QvF#s^BYgxo~Ciqup`VcH~+v`!vCwTR6ElZg3G*l zo1mLB<6cwI&3j+kvmyr_pkJ|TruN#1-mNGlN_yU8ox(@=yOinVTQSz^`Z2hlK8~yJpA}ZxrF4@y#w2m z&q5Z~ygogO!Y(6B(S{~e7KA1x(;rzk(vH9JF|qM=vqw_N(BjxSZo)@(WIW-x{xZD>hDzku~&D z#TCK(ZuW<$UH(G9-1WOg?U0m5QbZkM53!;8lL1CIqZ;0AjN%(hm#pc4Ac60VL~8Hg zQ#(^K)BP;&x5);|xv0LV4iTE=0F@5(M{bhN7TOXJRZ5Ris@{oNSyX!T^X3*LD!J^> zvseTwVUS^ME#)3$?h6wjKygWGI7O0>ZfZhOkRCF42}(gr`F!9p&g_V6ml2=I>4dly zgi8s%v6@CTW*&w}*=msu+mK&eR-|I}WtOSdx=#*?#nYxNRj2(N6)DF))~pb%BlNT0 zDI%ATIfP}lroTAeyj=Ved7_8&W>n}uQM5}Wne_FQd#X#1v9$tLkR1^3yPpg)-QWsC zp1-M7MARceEvWxm<}Vt}QvEo{21${ZwC9mJdZZzxv?x7DIkkJDytS4_?sJ^03m9Tb%o}V#W}CE1TR-jD|H>=S_x04NX^S`VKE2?Njj0r zA&jLPd-h`x9i*hQ$?X0B2uUIp?>6l@mi@B$GF%E+$Oh{b=bG^BMz-6*c;7mCzoD(bSd(kcH>xeNksBA_6EgcGjOUcw?S z#>~W#@@J;E)8Vx^u+PAq6j9Q!(zY6IJ`Ljr*e^=`Mqd|$JAK*bIvG|+B)cjH*pQd1 z(nRZ*B?YMV`GEQd;I?0vaxTwq+ID-vKx2RWt`yKSlr($}X92b1B$%U@10&+k*7)7p zcGvW%y&?mU^v5oBSbgTiFdukXbIm@i#1zT@)-Pp1KC zICxRx&RhNz<2QHFTT;?h(|R9l1A(hfHdd|oyx-WFaozfm^uM4QA@9KJ06AheO765j z^|8)O12UyYLX^wdR6|K2MqkS=*r2-}<^=iJ+d1DQ#+*2=J8#vqCFiygt#*$)aSVRPAq<+e)-7idDfcuY zMN1j0rfgyzq0yve1+2$E$o<&8MEUMitMM?FZ?p$xlj={w+VPp{MHVO5^q0z8IrdCr z0xrE27Uf(?9VwZfV2YJ7fMjYclH9ukDLGBig@dv$NL2QY-G;m^a5Aj2B+pW9 znVy;qPqMOh^Ei`j(4xfq8I*hYpF-4&s``Gquj#_MLE$ogTo)7mFGU|bNu{fAisV*0 zBp>p}*HvvLx{(b(WuiVSMx-|FV1zQ3KS)ZY^nE}6Z-C>uooqZuuQlZ}F0_xa%)iy8JjzpeQaahWYo*%` zvy6D;7OXudNK5y32)9z?aCSrRMzCFMRWd&~Ns`i624~y=jNK$o0Dw=I9=FRjHpV z+|C>q=8<17=f(# z-_U{zW%ZA|@by!r?Cz#nZjuC>U&Uvu(i;Q$wNWP8;AW3Zj&sT&3ER-XM*=kBMtQj3 zwMsv-QRpaC1iWb5dS_qv%OppP;7_Z{Vs zO~!)aK!e-tkssgDj*t+ZnpX49fZE!ws_Sm4y|4~Rt`B61pLB~t?0U~a%j_t}E0n2S zyXA`ex2*P?KaI}rMh7ZV(x~{z<4ryE$Z+gg)E)CTimZh#X7r2EsOhh=IzC0kD@EI$m~evy z?2qitB&*=Egvn(%U2&zjNMlyU#|J;+woq$;mGgN2|Fu2|%9uyg?ky=RWP^9_5O7Lq z9{-h38(dr5|M#df#wqRPL%d>2v%Xxtd=oak{m@^J_t=S=o+3E6BG`Oi?IteDl3ytJYH>om>QXx(D;SPnCtS7~#Y>w)EB z3U}^j#;4%oxow=L)4mH1!509XFHbO%nvU+YuxSl^$&7&-xMP+5F$sjg7u!GNJB5CsfbBv?!xMab%{7 zuS;f4Y&Az$xVrW4h?xk=uSb*%IMOD+xe!d#WxINP98cskeu2}ow@>=?baKrZ9=E6z zJ$)xdzbD|%v}I9|Pn=-f*3niwbqks-G=Fa&mPe2hbzoL$hBc~-4*UVN)IKxy``v17 zbFN-jqH?C7xzSLBGWuDm!U*DN>cb0;UjrTQQqSDEQ~#WWZ)?*?5FNH5`cq0GN+~VA z?N*-)SlBL>Qare;YCIj!BjdKT)H*85FgBSq4iKNXEVo6|?f97>a1Z5j7|R%TnjP0)GCJ-xuy_KN$FgpfJ6 z%2{ZX!Da^Ln%?R)on@&?|$dZwTt_Cxc(Ztyx;XrGa&iRtgzS#`s=@n`Nl6(H3jVq#;n?kFy;Bo=9UX1cu)&rnr~lj2~^>5ydD?PtcZ6bm^&?pN4E2= zn2)$yzQ+4=vBdl{Xl5|&dLB}Cns|3ed+NXV;)(#X1XJ=r8xMqRf=#^yXc&L+p-c9205``G_?`A@DmfoD$*&^=`s1wk9hcEn7yp<=p3(@1@t%#Jrmg#( zC|O{xIghVjJfUQH`f$f5^1ftXn)Vqvj^th2R&Fw?V>)%!t1-{Ph>*q8f?9h>WDv5A z&ousMl|$+VW4oOkvMutI3udPzeo+m8;1$^;&~98sCwA>4hbD{24AvZe!LLx8RjJcxiD!i|GbdGPaYcnrv#i|5K zS$&LU`XeLZDm&-yviq27NQ0F`CLeBn=b|8IItQC`4Nk34)eF;NV)j-M+jZsdfO>hi zD*y$*luxaFx;G*cH%miKFVx5MC#JPA5kMbrjku=jYPX#9PFyi#5stx8iA&@Zl`Mi< zt>OYWwDKy)oqm|$yh}Hq#ws1!o|tiE?XSYXZaAuY?e{MwrxA#=jdIm6G9Kv|;Yd@C ztmNA4*!0_Cxf`bAgWXTjU2ZDryJqjl39`pkHZ!rJ@h%LZawN4NdMwp>iSU+PK88)= zWc(=`fTijA!@K+pS4Owhalo*AK@dJTD%Uz9gVkY+mKYwW?s2~t?)|1oz#}^fH(QAQ zeeqDuD9PFrv?((J1R^B*SLF5*EHb{q%aD<@bljC*gCn~f;FhvU2>`=Z*Wt(2AWnm+_uudNw~?c@&q$dMaZp@-MePv(09k zYmdDD|G9MPd{z~*wa_gs!I)xWE58ehirR;ce9$rt0T14NL?pE1$nMeb z7p{I{dB?R>oz|O)txx~Z(;K0X<8SXAWNrN_H!P)}=h~+NyqcD^ncp2y_HwrCxH;~m zo`=$s>EwHHu@n^?mFiE{wVmXwJ1go}QeiQcR^5y_CyJQdt2>O40pkau_okKgV`z3* z^n9xTKt&oP8;~w25c`(c3vvvv3A^0MWa9U=!=WuRUz9(CyJN<~@av zJBJ+hrbU429k2=Jm%O8Xpo=gxCPyqr#5q-A)|G?YpR^E&hvig3z^0cX=CBYmZjdP% z3OLU^(~$hY0&&13@lB<;Ht%Eq(%yA>E=oijAO=0a6=XIel}O5g^04n*4^($~kVS7C zaG4%ZSd48vwIYEWW?o9;J?K}uXdhB|Ol+m!Brx2pGIL39F4rhAgU20cl6=<=g{dTl z<7{d2#)-7!oRLh^u0@=QI8`qXDy1?Q`_jGidy%RC)9WuMp6X_nM-i4Aj?sWkXDzh5 zzD68?!{s?2QCtb?&efrML}MIrfS?SLQ;&Lm%udoIP#-av2F3}_N3A%doiWvdCoFLQjo0hzF%lS2EcGv)QX0hy@9pRvm9{S#R(Fymm7HK+*B5;F}e{x zSsRi`E%ay@Cd$8jgE&;Ql$zp=i|?IMx9C}0TSob<DR$`>&Ob+{oyx zyg_Gi92VN0z6@CM%J2_7^IDfzDr_!n7wq0ltXeY~;0*Exd;Mw2S=|}5)`ap~HK*8K z>Eu|9Dy(7v3}b=s){7k=M|tK$b0$C5Vn90d7^S>@Lu3Ee_9<7(kIkAm;gMT@)L)uI zQNtqN&o#!c3T_f0KsZZ#>VYm~aOF^?uiU~`-vK5eq*M&=uvX&F`(-g|O=$I_&^@ZoX0QPh06!vPw^GI5;Xlh(3L zw(M6jMJv57T?R;})1Pu=FcJagVETId`&I2W`SrOT-sb9fE&w}FF3{=5f6rR3Yp*bR ztC})tP+87yR{rMIWZH#MhSI~K zBTc5gwUxw!5y!U*NA<3X=%%OS&TL-p)=&&@1iUam-sk-DP2C|%9IoZG(rnU2 zYj54WN)eNJWo7IcbNGYSl1b&$;+ku#n{_PtNXfv)dUNeWV`t1~d+5ld)gri*THMDy zjlp1HkMg@SgiHeP{E^e866L+v`CY7k|Izge{{Rc=x|NG9 zv^M%Z`zdu9;z7U%Kc}^Eie=NTnRQD`+j9p$Xo3<~&{h$hm76-_C1#F;N%8vH&S07( zynu9Ko?GM86T#=V_*X~ay?0C1?w-mxaJw4e1;z;sP8f678LFIDF6211sea}N?51YE zLe}cIh^WpFT;j9r(BI?iI(wZyM<>r|f;N3X`u_lhX4SpsB<_lnT3$_kc<{|Eix^PB z7v=u|be{hJT8{4E+S)414ZvC0e(@V2O&8{6>0|%W^_^ncL3I?nZ3;cG3S*4?-sIOY zrRfi4tS#y^2^u(d5-%j@sQ$IaMIlHZ(=}I0xm#;X zi%%(JntbsyWU1{`yvci63}ExfV3i|{;5$mT6yWy;srhXaFn26-v=uoZck4q*v8ISv zt+x}98BfZ@^PJMdJH%f55u70i!0snKbLqu9CazOT*GK=;^fgH!wwg#C*vL>kV+VLW zYMc?o_fk552^$LM8&5bj%F=4*UE0{l)HH;5lg$Hscu+ycJ?kc2J(1+tM5viNN$=}d z88qznE;71SB~4Q9P$8Q6nN@g6RJU$9t;>HD+Fe-r8oOM)@GjUE7{<@U_36bP%}!fv z5{rt^j>;Qb-#NVXWl!PVl6%xwJJ4;1afMEB+~E3Da{lq$SF%6<)Z9?75=|QImH1`G z32te(G3}B+Fc{bX22M9-xop$3JBz~J-q*;Q`qiE(Q2o<`_4mbQfh3}LhX&RWfs@Gg zqB3b*S9fAtD1&U*mhI)YM!`IG$Kz1l{h05P09$um5_*7Qr zDAs7%h8X;mKQ=-H~8a&|$bkN?(lTQMGCRST2Q z@&z(Ql1;8RZ5=W@)*j<}o`w68+Q#I0%mG;31Dtj1(x8)3m`;+vmcl-mQH*CIi#L0V zZHZlBxXHF7lbxrN^{SUKJS3@M!On9+S6sFisPsh zR0NPZGPiH734BnpT<;2Sc<1X{X|g89)x>FUxnQ_iQIs5xIP|KEwT=nk^PE<2n{n56 z9a~5L(4=Eg#sMdxs6=eRm40Q$b5RF<8R!v^RzPwAJq10#c!N0%dyb~1^G00EF7Kfv zs>&8MB!Tk~DzdieK-%8a<93|7k9Iv&1voeaVxsbwZqc0dJpQ27M#>ix*#FVRaOlVm z3ZA$$;#BOwCp~>CQ*J89qit>ng;@R1AataC>-;|;=}TLy5q(KjBPt{c+lO9wsGIJc zp?KthNw(gjdkmB2jP$`70Ml?BFHz4lMoqnkssGZ#+7%OmPH~S>PI&gY83R6sqB2d` z^d%(7Bt*z%AZ`bzLTVs)#sMvbU_sr~_o|zfyvKKKN484_T#WIztpQ_YD zHaePW%ImZ^%8+;9epO9#`~AvoTIc`N=RsYAXdj8|OS~%rNZX8h^Ik-nn{isR&_bLY zgRXjgY1z&OFbT(8Qj@lf66!S=5O8u;vC@?KbSIvbGv|^}9>a?jIT+)PPg-*15OLS0 zDE54-N3f*N|JBIJatn6rihrhh6JA8DpE754p3I1PoQ^trQuOB^cxNM}9O+8k5Zlti zZ6~gMGwV+JaZtPb#)M*cz}!jZh3H50t9NV9ajPv4|JKRf#wn+9T%2_L>&c4uJlW_W z;NSy~s5I6aumbm}<+@Hi2OU`q(5oB~pHONkAUlU=T#gS>Qr{|NN2SP+%M0)c1mv2v z1=iwIkVic$RW+;Z5{ho<|JTY{0}>d04r%2701q7Gb?aVaJkI+!sNe$NXQ!nuHvxcf zdiz#!sOGNLq`mGcWpjcF&M8k)d!A`Do4tmIGLS&y2i}{Fx#y0D6?T1k4Ilr|q*tK_ zDc_o-< zp!Tb@p5bS7fB({^n9n&lH1_r9gUxu8=8|b{dIPs-p#=27rBwj&$j>#bZygA;OnJa> zm!1ggNXdW;V<*y_qZzJO{c1bdaKi%F&ujzUl0;S7`-s889`q#B{EAnx|I>*l|PU58VoC98KzctS7 zNx?W7ATcMcD-Sa*$4r2o>GI3u3`CMw$OVY|xD0wzUKJArjN~7f0nk-6t#mb@jUY(^ z$ckIvwIFn9IO&c?d932Ou7j4x|JKtA6?@?0wK7BkMv+c(-^GK#uP({OHPG)us(Gw8 za!JpnC^_AndKLBhQ~3kfP{nPsvEZ@6$)+lxjD=!I2PfK;b+{4963P}ZI0GB7DhIHR zCfqk%~qA0=z0xUx2md76fbUezSRt@ zPhRz!lgkqMFVMDl{sG0g6=0wf;OH22c=IJ?+NIjWarn4;j(&2 z?`qqQd4!FYbLda4I6m+)KIaE3$rUc`*jusZ1AY|sz!?W46ynlb;9!A{p1G%Ir#Dd| z8-R{OCg5|(^rA4$!UE0+sp*GdS zZA&sfZzV`o70PTRbjalWGf-Q^3+-lX0g^NKzZz4tRm8Q}|I+F%ipqSHjnw3o0F#l9 z^;gW62?BXrPB2|gbM>wpsWf)29gPhN{UW6Cy|I<(FcKAF5^LTQ5iKP1nl(7sqknTQQ_4-#gHO!Xs6n*hDWP-CX^4Rp?{*_doysvVV zq;D^Y4eg{h*H!`{JqISM>URsMYD~k)o6C?c(8p;V^IVNJc650fI*zGyW{%zn zjl4vt8`I@J;Qp0hgjKeKRX@CSAhdrX0iFP%+1UwbjJQMFO(pf4d2l00fB)C_hmzM&)h%|l z#`ps^&A3o;{6PMcy4{DL3Ak3BSwVNo_$z#6=}X zj1b`V>5jF71UkouCrgBm_8}QP6`D60&Isw(^{nGGmn%9i%(Xl1D^$I^jtQjFL>Jcz z8QG51Be%awmj2Q`Rx>>IswK93I9xc9GnO3x0Q&f?IjpRVTx`s*7)Nn(ESkz^*iihj z<+Jpx{U2Anu(rF5TgxPp;1eP+5uSjbPQQ&rnzBWtnm_;0_FJuKEVQdQ^*d=A<&-pS zv@$X5bDvJtvu7mos=U`R%4ASpBv4TS>F-?5F=;y**`p6v&|=i@++4#L)1x0VtZEM8 zIr`TntN3E>#@;FH8E)(&7+E+C8605Ki%IH@BP}e-+GmV)yD7KaUx`%$hPS#oW<%Ep z>({+@_B#HZ;vG0z+RF{S;Rp8&b^vqEJ!<5XpEB4}hc3i%>2llLi0mHa8e}5k2Vu9T z-Y5E0aU{0TU1_q(3kVMMUN?dN0PFoL8|z@`fB(_+Ys9?!Iv+E9F)15Zk&<~MJ@M^Y zjj6`^b-T1NLZmEC2*Ut6f$5(0%KmXF{?e(bVx=E&W zI~W!balrakw5?-vB+^57Zl%|9qU4cT``qOuEK(s~2(xcWc7AsK!D;rA2KoD{Cu4-*L?Pd)OxsdSd)G47( zNorD-wq#cj&1oQckd44Mn;6IW>sM?wy|&WYO^1$BF)@$#iU*|)DWuRfbbtTT=~b=d zwwPPt%y`=?HqsB$soqNWc#i;X;BEEkT+UkEowvCpGh8evw=#mT@~FokdejkI8J(l^ zS{UWs!CZrnT7;LxhihDtIgB=vFPY|`UNO(*RS{yl5(V1QalqpP+O9oV)y94A3kdwhg_XR(Gg9p))QU>V*DY$A;_CDH^I=DsE=q*B|@=8^z!-I2yI)|~y+kttc&LY`3#<&p{NdKyP7G-#_CB%D7u!1V@)uD95B z*3AFal2{G9kPpj`T;_=#nN%JW@C{(nx{>I+^c)<-jDP~~pSm$s?jRuHnM)op1vHZ( zw|xgd&t&T~d>kGzkkt7uqq$=(#7c)e;O9Q{)#BZS*5z@GvD!8s4m*lqiFceF{{VLa zl%-@_*#Fk3=wo4=Wx38crC{-dkaNiGSz0c~pqqO$^%@90CVXM=DZlxO5|y zHH=_mKAkGNKqcn@kb53I=yGvFa{?DF6~2X9WKMcdaJnxG@*ag^vBC6TunI zJ?g#Nc~hv3iBr!f7^_X+nJohMmCbvv?7?MhZJC4Y9%k--ZsxL~kT7gHWn-V4j8<@O zm){L8+5gbR4po@r|$XdrdXMs|rqsOWljs*Ue%MTGgen z_F=pd`*XV)z^3d4c?9GVMk*xvNw-FS|I_7xbmIeq)EbbmVT0Ubj@9J2sLJd5-1M#0 z4+jbv0Sk^Z=}`y4EXR*w%_^2o?kf& zjAxXd!A?kg5$Fh^vNQ7>{VJW*oI&h=|JBD+*N}b1DwB<)1djRZ zUL@)`zEjY*K|hF=>P)kX}d?=^sJhTmBr*UXe4L1rAGGr z5{-kN;-RTZS6|n1ljT4E*5`b=V}7|CiDA#?DroLV`@@X!k5fj(DdqJ4Od5k-}#JbJczbH*yB)U{7Rp>e>-&U*7tOab*A1KN#O zx|V}`Xaois=ko7J0o%K9`T;}UKi5!4|In_jl^N?uNj{jxc@9gF9qQs_oM3a$PSlQa zKxGU7>r*zQ)%II@gVB{9(M*NPQ?HbPaG-oriB7^U|LkliV|41GnZmJYtiybYR_x26-63=OZ0yHY}%g9-E{8)3Fm4{v*>ql`_MdsVwQj{Oln6b4_* zH3^4oWQ7E7>z->hE3R&(Ei^y>)R0THKqQ`eju`xyU0J$0CI#s!W-87AIJ@W1Rk!)j$-uBm>C6!4)xfx)B`ldC$3+kP`h*2(wpTOQHu~APCDYIPwk1mRxpn(3;XA3UV2oJNg)C_oQ05NfGXQkT8-*S zZ!ednG|JSn0uL~k_SMHvf{Ah|g7BGfxWLZcf zZc`(@QFJn~1-?*52R&4n6KQOsm+B!>rzyKUs&LnCDUp+V(G3O(zB%a-WuW?9qPA}*ByRgjM`5tGFw zyUwoI4CI13^GQC1JxlL-9nGPMaGp>Equ?C+3Z$2Juty>zNUFf2U@+|YW}TaAWPlpCY}p}>WSkPjG-~m_2yF57#S&M)K}i47>MkSlWp6y0A{;jdAk_Bq zG;rk`_YTEC<9V+-F?ZKvv989hpDn(ZXLxShTgfT|0dzSyJncQR-ln@_&G3j~S^U~r#-_U5vZ{?686X>%!Lk18ZVgai6itGy9B zgv}MxNA`64pqUsRze=$bvBL_5ZG`Q7KV0^zzu;55KmXJ8xloICor`&gAww@yp0t{E zo$9RW`(;#pu}TQQ`Wobmz1i1(;bSuWu5FJZvnwk)Cp>k*J!*RhzSF4LvdS+~9mUf; zDFdnXqVIB8Ez9Zpq>?M6G^#;lJBB-dlT)#gv{|8_?AL12Hby}lo;d>}B9zxKwmDmi zT?Or>Xj4(PyJAUIQ6yN;Z^QMf`bDGONi1>PhICwjR3l(@>-DDJF2;$wJD>m5^@}NI zhfTWDmdfHcOcr*FJe+Vz_3cHpNnyPv)6!XIBj+3yVcZ|^u1;g6rpdJPbAKeEFJ)sM z6hkf!FnP~@l`OYfq4Moy(xZ{(xM>mDfK8zAIrgTVw-a55>VY*g9i~>uBK+#?5FtIi z`Tli#MNhG>*{`RynqYAgpcyIeLhL5&h%at4akknQiaeEf3xLD>zx{fldEwW%b)QYU z{oB6cuOTcCt_NSGH!fG8C*1$l_f01H@@wgBE>m(ugAa`4`ukPugtm>OR+V9Ej;AS3jLgXtJN5L)-zw|n#r2m%#CiD_7=`ELFWdp)>lGJl0)H3 zF*C+kwYrjgwPr#X_s)OLYgIJmf$<4{CvH{}-zabAQn{o#NnBa1AiK0!RbrAO$r2AR zFzzdl)%+H=DzaJ38+&b7x6+uHd$j4<5K_J#p%gr!DG;~`J5>G;*;ol?}tBzE?*GLj>A z;~u%CYccc*THe%Ht>lRl@7obh5Vv8UrB~N2{QH|_iDmmkou_Nz3U&GYdFk&*DoM5% zZb$#u_qMjN^VZPfTZD+Z#UR|Ee<96e=nxBw8H>j-x${q%9(KlYkO0SQezk-5QZ-Gq z$e`9OMxM4Bg2^7~4)G$K1px03w`+DT%vt_KI6xc*hy+IYvq7sV&JltDO95-Fm?l0ET*&lRkxw(k*2EozVd z(Dhr}AGg^V?FlI>HpAxR?mXuen|Y|kaJJS+4(L~9%g&pXIN^aGQ^j)0E8X-ulfH!U z+Cge#mMPNaMGiE0e8!&7%4p<$tt3tIl6e?CdR9&4mCm2@l}RD% z!NaNa_pBp6R-$)B`>N%=FU1#Ya|E|h3y9zth%g6e$4pj*q_%hF?^Kskw@IJoHu8_0 z^Vm|HZLEBS@Vk=eujguBTC#bd86rksmAI;Rip_f}JYnPvdH1B6PFD--sT5MXFq_L! z9l600V5$82)@{a~WS4qvNf-Bksr$tHQoD?-wkxUs(nh|HDFRHUIYHN_%$vIUikVK)X$?JYE#=&|*QJu(L{Vg_ z9AE%=q-l|_9j%qo3T4c#o;&kY<82o~M)uVI)4w#^o!ctWk5A#GyjxJQtax`SH)EU{ z=TVn7tna<7ky6&x{?YO~#@ILW)!bROWKS&tRv6@hd(vr1``rZYv@EUkSB4m6M1~~py=t$Wx;d6I%^J4{A9VIK zLtpF68e81|)O`0se=XdCNATkV0->JhOxt4|eR-@`!kOQzc0w22r0?W<^{1HMZ|_IU zK-<+K;f*D&ig}GlT-rbQ(5X!`4{ywyl$h;D`IOm#aJ%!MQ zNzs{b8@|~?DsnnxRB@8W4A$(P5HNEAvhe7P?n9JitZ9@4#aZ3K5A&7 z9=nAq0H>!tE5(ajwt6YwL@wRKB#fS-hKxBr+>8n*%;dSc04&rZ8!E??t$fq2r%Jc10_Sc~* z=u$R=&Ozx&yf#?z?cSxrQfZ=u$m_@+qMkq_Jb>LRNWDGe9WHOCsCQg5)^*5C$k0qxBu(0Imi#!ncilR{os&r^_l(BCz=R-`d@62*s4T2RLr$j4eIb))PZbtP6MK~c3= zCnRLhRb=EA&q6y@+e{JHDzR?&8pIPcDQrEZPt33VP$A2|Sb%}DX?BXIzco}FoF7^b?E-&6n7wh2|k zaxsM%090!nk+TqXsm3~WuRSYCv(ViW%`4q1?gs&JoMNJ2u9@6J9y8vu(WWN0M39jZ z?(+#bA%;6s-P>m+aI2gSq|-|Gx(BNfLo#mb`1Gkz`LS+h&Nv*AS8J;vYTF(y z997RXcgxaOgz+K@M9fB)6A z%3zNm?i;#*YE-)q5IH-8bCu+Z^IGP7r+-pqh~5FUcRJ+Zc_4b#SxAKK^KwDx2NY`- z(C$jo88UN_gOi+KVwKh+%OWd>3&+pFrO5PaVP8@}f&)xfJwckPR^kERF+bcWm@E zSLV8Vj`}i7SjO_M8;q8~%_JUNQp$`m8*&@39<-I@#kJ4>(Cjr`O=pHB7ct8mNFz<7 z7+*@KYjD=6<>lIq!N&{jn)CTx&glFklE{I~a@;OecLf~gtR%4cWRxo-0kyG=3{fcD zPfdzS+%qR^Fe%*#n` zEoE~PIF0u!1Jr&ssFyHY*m;6M=lQ#Qv-h$5y3wQc8_@sK^ygWbO}*G@1aSw9^*wWr zDY}XxAr{k_;@Arj(l1f(*0`3sojya})uph4_w8#8R_}yWZHMyxYCT3*)2A0U7b0~l zhlx<{!RimMTBNlL^ex(dXGdpsa#A%SAf%E82tBh+x3-%~5xg%J>0Gs!^4EG{5v5wPowrC@6l4X`K zcWi`hbSJI>&VT)NdaDi&&rs7mTc~O7T31a%CSJR+>*ziHwa{C5rFCnO6{X}*Gsl;T zae{}~=ltxg%BBE}j57c|f30uZc&uMNyi0Wzw6hbvW{c%tMF%|nd)Cg< zj)5p7?v2?5HhmS zbEiM=gq~nK&!@I(v|25#+-B!VA7hyaB?&DVAo25=JJw${Q;q*lH0HBP2m{BBA+$!%Xc)_RbWfYODW`FnYG+ z1SexxRpEaV+i8pwP=g6N75hRi@W+g2(?8O=X8H8o_I$vAwF8TR`a6zRP7YT4K0U@>( z3g5-s7(7s4LY=}T)t~yv;UMBjpOy`dap_#-`b4Oktz62i3p%jIDCV=&agqPf+_lxF zx3K=raH|xLza<9X!``R6xYFl>A@=!}c#7p62``Sk{dlf)r0mh!(;um5aa^gtw27d% zV}RiBPCD^eOJ^i!n^c_`V}3n5)F$~-Z(`QO+CHf!lc>RQ0wkgrCuF@d?OG3c1oy3S z(#r#q*$vJcw?Cy*wcnZ{-uDt{+E~FLIF$*;?wB;$qIQv5G$@0(_4cXejnYF+T{HjD z(mH*;!n&8*8Mq{5=Ch~J?rd|lo62SSTK9r`^A6SR>_88Mt8&hg*tTB82Z zw#6087?B4P(sBJ-60>tPoCGf)vS^K($Es5QpmB( zx+ej5ZNc@Z=C_cCo)8!V%LCuuxzpCqMtA7T5+{&F^YL(mG6gusDuw0DmlJvNu1tqH z9jU=2lVvT@5=@r&3J|$&{8o+Kv4Y`amSkpGjGOOF(t4fkdAd(;n#_hd0ta+4mk>0I)4Nb>! zVYhEl+||XMwFyG65~_?S8ORkeZN6u*cGBV?+qnZ^nu4 zoYhGdRA5!G$FAd?(oH31K4y>q)XNtViI)TB9EKw}HD+sxWMLx`HxrzUW}&M+PeJTQ z8#Bwp1tjt_#afQiOPPhbDw!DLc6iMxSqj|lVD4L}C&zlXg;gj{aex*z}5&3L|GS$+d?xNhB;;g z4i0Jf*%4$6*f{kyE>=Y+Wns&^80qbre&k_>ILB}~qE7O95hm5FC}mu_uyA@2-lJcg zNO8#Y{VBVq+7+GjKmXU~h!U<52@RfpooXWvH>hF(z{cDfmvv=x(YtJ7PRsYBVnHLC zaJo|E`BFFmk0ys6SzIiysVctgAL2bZ>rG@PMZr$IgWi^ww{S^Zic4TrDx7@TIHpKs zh$K|Ip>qb0IExA9N0%r9CCfZeB;|YbSJ?XQ6(A9z!#9Cp-#f zOM0I{S7^Ci0&8&@kIJgN_w}HRA>V?e^G}k>(tCs2>|Ztfw;PT@0DQdSmB{rzVIIEy z=(VP~L1^?p|Iz0+bR2y}C`KfZ0rw}Rc&aHYGredy6>M|JrvN}a;Cs;Jz4soG+z98O zZ&6KnhE78e4@#&-LQd>yrKwy-(KBrghrhgk|I)z7KR04} zW}Ur32Y_+gn(`BNO`eXTqhtWwf;#)uyK=Yyesnb5n}6`)w{Q!$1E;kqJcZ*Prkb+% z?{O^#vWGwYZnVPPhy)&hRJ-!ob={3EG(Z2-#Lic5QS_#qD`O;JbDHtgR4$gSo|IkH z#o!UnbLmgruyS$rp{D)llWS-=$QW$z)|-$>OyJZgw+pA{4Q@F`8({=v9Fx|hRbs4q z`g78>sVQ!OwS7B#Q*)K!?)ud1;A3wh|<;HmcwsZBbAuUrrQB+bL=z5Et&}(vUvx#CQ5reJ`ja zkN?)9HxO`0BL}5CU=mM%ZuR3flSw1Ft86%s*xEqj81GF77(DWM_N^M_R|Tfxp84nx zZYbH6=O?`ri?4NL}FJ^Hs`-J;(Pwn zPMV&D^|;mZp(;L5q-_Tu)~&?+g*f&#qN=%h?XcaqCRPV3c;mH7g9^Y5?dzJWCa%bD zp!^>!e7rHiV0uz82tS{_Q<9CWhOB@8&`<_R1B3chjM12m70Dc6p0(wq=Vo^iAUVK3 z^x@6`&N=T>Q9Y2>k5N|)0`wWDGqx56mV9TN0qaTX zl3Emh|IvI>Cg$952+k@+%McJQ-1p+V$9vtK?(L8B7pF`fg!iK%)qwpdN%MIVdl1JG z;kR|qrAxO84>T!Q+ueSQ17{5rf!%R7%{o=oe@I(zwhB9mpNc zERDJ{LUWK0Jw5Bqjjr41cQtW7&!4(7K_KCd2YO>d%D@KBdwNo)?YPvJQOWZ;jj}e3 z^`}b%!~t-n4?&)P8lA1Ebt6(Uu#l=ocpWNa2P6VWQJy+tw2RePZKQwy)4e>!aIMMX z9QLPgSIXsrfE%W3&5WbVqC3*Iq>z;*{&1x72Hq+uWCY_lIpvgOic)rV5b?kvg8~O6 zp&8FLADHQfA2N}gftsamUS-s4T?F$QN5^cAae-ED5fWx)+)F4wG0DXz9ds7Q|J1(B z;Z=_dgZPZp>1uA{a~D{~;(KDe#`M2axi*MQFJ_yYei#9{Pc++@BDj_}Rl!sUFvptK zGU|fv;s{}I5oiSCv0 zf=^8i8+etp_fmEiUZJy^%3aVY1To_z0s4v*Z7Zj^(P2v%yzZe$;GR$6Nfp{DW0xup z2xHoX*@M?Z|JLXo(|N&D+yT(i#~9&4;E|FAa6#KfcHP)RDU5yQ z^ya2r`iUYy7BUIvcO!K(V#wg0Ksm(!PaxgcCdWcg$OU?}3P zE32_LW`FxgmV9$?wvpib#%~aRjL%&CrquAe!^<&7wP{qZQyuY+`8G z`NWdM^~FMxTtJS`$`Al7dKzu=-Zln*i& zHMClMYNAi#VmWTv_Nz_u+z0^LW8{!Bc&|OJZgyJ4%^X* zi~B25w`6lE^BV_oUcee4`wi5`Wv7=0{mSTabK8oZR~yRVZm0jz^l~SjW93|!nNMtT zI(`*C%XroN>$uWDKxJc%!#%5xE$VePH&#ZL6`CY(v+e~zP7hA?G&gaXZJ#XTX4xa) zXP%zDC|g;Goj-amBTHdCQ*f~u_wA`I{>+y$&W_Qwzs(};I0LxB;AGI9)`EI!MzafN zQ!U(gE4;UrfB$T^!2bXm=Po=gJ<`c@rm?t<7plpLv!28J@mwi5y*4_dD?LTAztyxhnjAI6a;OI0 z=}9Z=R_#1N4f6ep>?~6TBuLp(JqNxkTdDez*0(y_?K00-D`j;QbBK``e7HIGZlfcL z=cn+bNpn0F62Ou;-5smskO1c`+tc-^w35&xElenGH9avWhVs{Hvkb>D&z5t+2eyA& z>%1GRt@ygLoslM1%15^wliSv{x_b&*tDpbV_e<-0M45N#_i_wjUM43E>5S99&1rB_ z7|UCb7_u{CJ^FXWV&vA$(O;>K*7InyxPh8!Z$42egs@(HhrMf9>G#pzZkl-Khsgnm z8703OPEOV-wYiWm~{&mV~ULU`;-S(KEc8Cm13xdqP zq*2YuYn2IKLe`zDYT7Ou*7Y?Ajtr0-^5 zqCIa!hf=iIk;aUtcL)Y}^{z_e!ne(2msZNRL4XfwBJ6Y?#Qy-3)}f~cnly~`)X3BC zbsZZ~h}#nzsKY}$Wm$WIYqs##v83vf%3`*P*HJ)x#3KafsZ-o%tz43*uW`;TE0^zW zudYa7kVpaA@JQb)FXc_Y4W(GE&7>hAh!&dMFarmzO_O>NbgYm6)%ER9NYnL;Snq7K z)VN&!{w5_|eR1#f>)N^L{55x^O!|eKa9>$$+_0GrV#vS;*B-s=p6)KkL}jI!gC3!& zX^$Miu5FRXkRf6tRdZ1pv1E@WY_ zkW`HHIqGmLChNl5wvO^?_L9YQ2m!=I!njteU5?IVbJC=?WJuPt+B%Gr8v+T> zHKz}X^(4f8c(_Qw8-OIJ>Tz93MtVJg#^*$rntlD{ovw`(orObF4I9OWv=T}QlF}{R zAs{UvHEEG<*oYCL0)m30M#GqNcefxCqdP~(sDbn=qI~!J7q+{*=Q+`bG;iedyH>pfpNb`&lO419flP=< zHliI|eLI^XLEHB~2TZA50+Tha%G0yx%){hoclbYhGTUpk1^`3OK~+UxEX|WV`zQ^nuKkluF zTa`+)iq~E9|ILUXd8zURN)JO<{OKfix>5y{bJMb1C2;jj)5zZFY;xbLS~3l5eUbs0 zJoo=hNg2qn_(%~znTNr^m`X+oA*Gp#@*Xxnfk7sbo7qlf%T$4&qt#V9>VyX}y~2uo z%0Y{j(U9P8cat-yAKDcbHn7OMd??N@smZ-v;nJy~A8HP;S~?-}XrC8ZBYxry+DeSc2#>>RY6KB3MoPjZxj_ z*qw6_F>E+uDV5abs0GgJXrzD8s@JrpqAm0}cj$b^zmUad(?(>#bwH-iK)}NQ00|Xy zhP>YgCB<8rTtB8%FjQ*{&>$i3udoU#9)T(PiJ`c)@u?FOTO|Vo3QQ>C4!Hvd+{$Xb zbG@|?!_essl!nlB=BLq}C@kkiZdD?+9y_aoRZhhC$HgS$&vJrW_@%ga?1MMr0lVqe;7*ikTrYj`Lx!(-VV9+D0P?3ZWkSTr#Ge7nQ~3Os zH`gzsH8nMz+aKrjFTx`36>w`2SA~9;gSMr3gx-cF-Au1o6E1NX4=#oLQpqXh527bP zSXK&D}7ydK3GI?$Lv@IB4+wY|HnMF%^2Cenw)OPk3wA?1P1mt$}R!1uYymTy(@3 z@DPC5q{jst(F`&^U*86>ruo04U4U)34+Q@MnaVTuvV=ST7aQ~l0&btV0H>)9Gx1@G zTWEMrG&oIVw`9t-1$3)=>uUby_=X{G3>V;Ykbn-u?Bi)xlo*j7e-aOI$q}DQV=j2& zZhB;^n2k~g`Y*kjPETg9@gP`{kkLnlfq?*DPbs6HvXDy0J$3Vv6-7a5lVj{7cbWht zbV;t5RI(3fVk9m_%WhA!s@=Ah?9N>axdGeROo>P_!{9gwKywrGaL6)LGk1pK;&zWE z-sQlr3z#XCpD=GR=60$RtlRoC?^`CQ*al)_z*z04p}8$f5kObvM5|%H(%aED9C0yg zbA3U8kW+}p;w$dSFl%Tl`r0UDR|Io>z3;<62$^rb%sa5?`g90LDDs6g ze^)t>LC~5|H0;>+`hcW0n0@uQ%Ixf(y^Hb1;||#3K@sn37j1>$2LuC}3@o)(b|bnQ zXK;Uz6d!(3Ei`24a$+S_<2l z5dEciORM19o;o7TR?=!>m?M`-DwYjNX5@8c=Y_qSZ1@x@Lr}x8BsaZKpBIIv_Do>@ zF!LN}nvid-iKCMmgY-i(GctU8$iooNOQ+t*T0DZajgS zrNFgc@ISLmL24mr$tZkhOeX1;h8|Zla3H~x;OUlaK;&FpXukiHw`53z(Yx5Kn70=J zBr_5^UT3fdNx^vhcrrN>K%~Uq3-vjdshb$unTvnV96s|;p6Ge%M7VJ5C1zk{-28CC z%Ig&gdl^&JmmYq<#apM(X5P zlM-GnTNS`7Y`Kz+bM^7z0ei$-;ZMv!EPsEpefQs?I>x^yiHR9!Wb43ykMDxhOn)Mh zL(xjiG|}MJ>#pjsyS58D@t}~uEP>YvI*C2D^-jOOJ(w{{Spd$*3rT3v{)F5yO#Suf z>oX@KGvqNAWE->I7JCd^Gvr7U^E6EeSlU9)6e&)Tp;Ryf%%wK}!Z}eU=6MSk!u3)P zN!}Mr&E#WdQAR&mE2RK6rLmFYH6y@wc-3kh1JwlJg0I@WvIHw9%Ka;TTQo$LDc{Xb zyKu*Cjac{4exaA;{^lR}YbEG&Dm~ipA8q$;!EC%L8qo_yjKCLr|6&h+&x|qzSrL=h zMuVB0S^v6uQrGNdzkvo>4l_O7uSYffSC@n(!0~X<(jlxW5FAfkweLSa)?_Hx9HOgC z3^yQF_(!YjSgLoed^dN1Ym_Bnv8rV3mnxc!;~xP}tXS$#4bnV&2|rd!!J79m$KCcT zB!nVkq4e@bVbsr6LKCZ{hQxU89RN}Uc4)d~Nio&C&wz$e&TVI<4P zAj8AyeCy^lwfiLT$@eT@Ic^IXeKqGB|MD>04wZO;Fa3;joJ(q1Ph0EV{Bfm3J1Iv? zC!m=ysqOH#N6YN-7gkOtCq%+OCeWYu`Dl!G4VVk)3q2l<{hU1!xm#hWD+wS_$UKgU zv0frwyHT@8{a2hFDLa3+ji6%;DOm(bGhzQ|-xy7t(@2ZFtixQ2;x}%|VwJI(KJVJ1 z<=G>Tvr66Q&U8GE9lywq_A)OAGXJ>&$0fe?_qE428%V-GNg4J5VU>$@l-R3U0od1Z z(VT7OF6=p-8npwJ5kt$swcR4Eo@$u+5G_}BZ}6Mp38drbc6 z-!rQja1%+~^ke7=+miHpSX{E?&;yM()BXA0f%A_({zBLxL@;D$qHBc`R=>#u5>?oK z28LpN#dedeJRxQc%_!@Vmi8KR_LiluZO=s_A_m+l%a<(;iZccfHKISYzICq&u&&q?G|0>jiS&5*B$OOpj$7e00uOWN~Z&@9z1?ekaA z14V;U7Bx5xmv3hN>5a4%{+#UFX;JxeOk@ItDa-PONE*{OIKgf23>Di}6~_AQ*$xl% zRmKFUJgKIR|Fd4QQFp#y?fE<_&Eo$%WM#6!13wcJ|q4)7ZO%M90H?hx={?cRmb?GGFvZhXref}a!a$D;49%ZA50oP@D zS2o|dn$$G6iSt?=ALD+-c27%SX&(TAFpuO?sM2`93r3}m&b5$8*C@^&dM zK{7BgZyLzdz`T-nJW!p)zek}KpFcG+x^4$ne2OV;4H!NT`!`-CKW7*)`=noY!!i4r zp*uLXs4Z|?pIHos!pa`T?^yqYfuD5dmG~tJKmKd^sO9F=LepBilo4><&Rj3^c^*}L zs7vGms}G4IPgrgKD>G6M2=XuNVM;yI5p(3={k?sD1NICliaFNsb*i!F4M^0782 zpUT*I2Q|2;vbX%@apS#k2dWp|_HHCHs2}TQ=iLVBIWj;8XLXI``c4Femxjy=qpUWz z5||vW$35or|MT|9REYNas6~OV(H?ZAcpAea>{KONkTUtzUhpJ{-GC8e)o(r`uP`|5 zH~#yWzu@5kkKU8U&R!zRB*x{z@6T4Z!r$vB8I;FdRF-FI8AcOOH3QF*^%2cDrJA=SXhXey}g4Dx1kdW~S z7IS|I{il0&b{O22Qn>R`$xWgLVI+b?sOv=L)qZ(1tk~K2Bk0R0i%GRls8ZwC*zC4= z6JSA|!xgt^c``c^6MRg{z3nG=Rwq)m2!3M9Kg|g!8Zx`1l?R1pH)mb9q?Xp2ZN~Yis^cuU%1d zw_nCoEW4YQho92h-f-;Ka$ZA7mmLv3_E6R0aK_t{p#ArzS^pftd!|{+rwgCR?rrdr-$&UU-gCTC3A|W2C#)6bW zC^zm7tvBz=M#_}zG2l3>rE79a<-4g$w^J*kl&qXTt=rRSZspNcs*N}W!H3*NZwnmqQa^5?3gLioWTDCi+_i@J@s)+|7_iI7#CP(*r71gIE#kL z<;we_E0r5Q6p5xr49#9(N$~#a<3qqr3BBpN$Jm0$K z*UnPQBoBQJ^d0K2rQZwWQJCJP>iqd^M~WDHpc(9%EhZLl4uF1qJF77+rv9!X6k_6+ zG`nxd2@2BjM{Yt6*aa6bPZ+&9G$tC3?ZF~ zdAO6Opfkyd=D7sOZHh~ZdDEdY(Fq86Yc?5I)8FoBTLo|F7hCz4{NM2wOy)y}41FJ! z&JB4vkl&l_7HlV4-6HOCmBKyMMzaQDf19{V6~J&I@kY6|=MMwBi%y>EB#5I5GTo%X z!88)#i}HbE6Ty?);rg_)Je(8_ttUA;Ki|H9k`HQ);SJo&j?0MxF+r8HEwqO-ZX*g8 zfjyWWM@%S94c|;-7-}{=5Y>6rRC^FC??q)N^P?%)!S;-=<@|mT$+iQ;Ar z;ZRxG*Qzw@OiVGhYV?AnJJI9DFW&kOhw4JRK4qP?*Y}KrjA#GydxtUzwSP1Oetl!a z`oZh3z6p39T+#u)%E^9$W(J0Vw|ehG-fbc6I{4Jxy^8ZP9&#bQ2%gP%YKhT5?2Q;y zJTyt&O3kD+M4qlGx?se7m%c12nYGtTGscgzZNmeIE9Ku)KxWS4_;)zd#Gbsy02NG{ zY%px4Om?eb&o}{#Uf`M4WWX^@X+$MqfVDa}$Sbg?)Tbe8_2b9SS678T+QgjLq&{nT zOPgleqn~_X3DDro_xNO@W1AGA{G7Vz=^Pa@dZzVYqk^9Lc7ll2j*wyj@z(yE zJXI*E)AoA_nFCFQNgjv%w?fQO5nmRYsN=*n))!eYG398>mP`8#7*Dfr=1Wifu3#|4 z%aYc=_HL%gz;|F~T7Mm?taF+Kd9Rsw+<-qOGhV4U_|(TY0+l@7iN*vDVmYyF&V>wQ zf!!eEICNDWz1emyJ;z)m!}y0_H@!aKAU|91F9Jy+7HlMoDWD~ew>Xn@l?WC+7bvSk zr*THTqG2iHyM9(r_7nZ+C$EYJGc6XP`n{d?2E2m3 zn8|fJocYC-^`J`OV*g_jA4((b6PykO>E)3d zQ&NmefG2r+eUr}zikUq}vjU?bu*=0+5EdNiUg6RJ-5LzB|39@ zF*mGt^U03$#6XT%ZYCz5P$RStaGVENQ*2b+OYD|!`(q>)zC2%S49@qdxp2fh^f4&+ zFSYg!C(_#2ZXPWOdep9L1QnC%({Z>DgCxbt}o0D=)+)Z>X7{j4~Y^O1?VP^AQ zkc(<5BMS~7Rgw=+9arp=lNAX-e&WH0XJ#TADiVY=rSRC8u4p1fNPHIYW$-H>rHStn zNc^a{zkMRh!4nh1y3(&gCfjo}>siiwH#yy$Sl~#{xkXHzq&12d4)11Z#Dy z;tI{FnjBQzpS!+qPP(KKM0`<5Uwoo`@FO)-{BEjkWNN(2)P_QX@TJ3p`+4k_hkxBi z;0@FEoolv)sx`o+HE>DPNIrC>q?<*Ta-)1FppLc;9;;AdqfM`n6(zfgqF)K`-4hWfI{aLYcm+BibAZB=DoL#uNi|t(h-vaN03B-#%@5QBc&&gva#T zuOC3XjVGvd9g=b88t1p3hkD`Mu1^GQ<8XkL+J&2ny;uH4k6GjxkZI8{8wjVZA8)B- zuXGBFrcWYN!&}h;di^eEqLft2wO+Ay^kza=D6Rm|)_$dZ{Ad(|1Gg;Y3G1J(ON#?e zbDhv_W!?n*m~&XlBnDMz(ioI<-+;JE-nfyZYbb%<5RNh*euTQz0mJxj78;8%GTO+< zrB@W4C127p^&&j7cm{5s2ZL@SNFDPTUPhCok3gP76E3#ir0-*LNt*?J*Qo-O1ukb! z>B9aF@r(putCW9Kq-8F#SDBjjA9rlFwP1X-0;iiKtbwH8djU-+Aaf*6Rknc zOg1n3YNkq@j8CS@R|n_^QWtwnp)m$JV|=UYnTy|mLkNj8S&|vAaJ!lp8viivGSTy` z--r#H0pUb0j==E|%NnL_)6%NQRs?{^H;xP#+f+(MbYu?(=U$1gEV}M8*xMFf zCw@y~IE;xoP*$4qu4%t_2YUD8PtdU___~ce9=J8PG>MLUnB;DDV6^5k5({;Q>6|L0 zN*S9qxS=^6coUo??WbCiNxFlFsU`Jp;i2LlFMglV$xkz|NMNQ0@bgh zpr`r4UoT$_#_K1CZAZh!HQAg}qPf!Msw*RNJ5#TCtA(Xn&ow!M6h*)NNb2hTIaer^ zMwUir%&3|}2qq@DEVlgNPn+xT0+Qk`v?dBPgVw_T$dS zapt}7fj|03)@$ZpKI-*LGWvAowK7ht<=tSQHY&a_&SoLrW_V;YjVIqYLs6T{+zs5z zxkVPOnpH#pZE&-cXF`9ssU!*0_$eT+NLl{+6Osx*4Lz~Uu$xvN)Z!G!Fde*ow z(DQroo7S&F)5RON8I--4K+3tH0rY#B&OFOa<C?3_<=~y52krrC&$Z;r>@uu1eN=TLRL)R07t%y)PZj zygZXpYHzp3ZAf^g+Hf3wr*4yd4;GI*@O|0jL-n64%w_7nLhQ~y2{?6KN=A&ZCGunh zwD^V-QWol7xm4OGXiSj(=uT{jNX*pP$BbP~MwdPa<0QKpIo5{DF_s?QZJk$x{CV2bG-{)^aj%{8wuhRtkBWONWCG7>Qry z?_S>u$V?kXTj4ri{+HX*5VLA6x|y*+lZ7MLZe=)-bNI*1ztVA1wq)QP5=%E zz`3NSD02b2y5s6k@AubeY?67?y{CB?@*{EowNXE;Ek-xhT%pP|Om=*_k-K5%!7|v% zJ=4GPs2BIx(Bk8NV6z@CRO5)-d*;siZ10BBf(|W>&0nXSAD+u7>u=`jB5X7gUCYIR zr;u-!(ecMrMpS@-P=w@pESTPdDSiA!b7_<1;X%=d3$u?y=~kfa+dM$J(ntT_5@9uQ*SUvYBMXPVAx4_ z(aJTkpe|qO4ZD^KSLl~=XREIEAJ)_m*Ta{XZzvVQGd#7I5l;vdo zgiegs2MFE_9*ct|LUd1B`UpO1>)Em~a`rt4WUgY*>M=ur*Uk$qr%YW~96?{O?T6!)4KIZmtcRM3neC+N z#CS2q37YhMuC6d2g;A*@%b{rfZag&Ghgi2ui(`|f)__gH<$-|ur-=odO8=ET7SrW? z2_HyG9Xxp7pt14-(U_iECpSnXvpD}2gKtYAH>>UW7B+k@he9gOjRt9HZ{Y?|fBXw~ z<}#%A60%!C)ZuP_rxy+aHvPd-8_VubnW&H4GY#KihD%pZeC0x1aSoso%n%7^JJE>i zup{eCZ&a5s_o7R)IT)*dGs?^aQ(E?B2g&tAhx$dhDdp}hz56*$m*!0-LF z;^Q4CQD3(x_N9^k7;*6A+JAd09GG}@VXDNNZ>sep)bC*FTRL38%Tp>hp;{R2M z$-=W^j}x^^p4ZlEm}(J|fz~tGml3)-moM)oM-ZZn8mD48f@(ypDO%TrRti~2YJ|Fh z@aIDIxvMrut(L*THx~9U-eym|JneO=-;DvYqsfpz=?S}ub0lqaLw|1g43rE=SohC| ze1&|)SC!#eguGChB#~FW>^^+$IJD-gH+eUOM%a*EGV@C$*Lc*BEOayL8L;nux1Vf^ z8_ZVAYoYJKhU*b_S=Fsed-%R;e0@&cl{fN{@GXnRS~Bdq>;y#RC*u_il#5x@hMHS% z1ujF6WeDt73&6?3o5jSHRN99#f|)?XxK|AKMubWf2|qP>%-2uJa%(ast##qSCttHT zm_Op-*|TpbU@;P#ny*QsqIff{HEzoIe2@5|Qpnjo&Ju$NF-s#pVd=O(F2&}!^)3W& zDo?0i%C=xDU-@ok=S3`&rI~0CtF)1uWsvFiZ?r6y^9~ey|H`>w_VU<(!(;qa>+OF1 zj{#Sv1UdYNFC&(u+*@hbz0kLh5Bi482>M*owp7@YnK{@)(C}eVm zkLe@v2&F}1XW=d#FnG*jSrqr-;`b<2Gx5mscNo76jP4uFAgK-PC%#Kutul%6K<%$|-`NhdNlK!{mMR9Z#jg(Y#rL_KJy**?Cq1x$-nsr*; z=g>>HLjE&FVlo40v$FtM>IVoL2jGiEQjWzhcRM0o?m*V#8aHsTsJ{%BGqa|uLW{tz zJk!F=ciQfS2k`wdI4pJejkD+JYfD@`J?Pg=Me_$qO7+=_&lgWczsbw)y$J=nPp0N>Nvq)3c0jv{7?H*%Bai2LtATtCy$3uubf!+kV!2*%SVh#1gM z5kYcasBl;3;5x-zRpf)O`}w9DB!$3FpQF@jZQt|@v#0&%>Sel$G#Y2ay zm*CpF$st>*GZ4r(Nk=3YSU;W^?lmdWRXyc=2o1#P)04@LGnW}by$8WsR@;9mSuV~I zayLx(n=W?kYRYo%Ky*qCIZz)>ol#YA05xC=EgqB+qjhW=X^5pF5D2 z@$uInCSH2QGrr+|Jo@pHJ-5xu2k3;!yV;jmeyz(xja3j?;8nGpp2X6dDS6|w$KIzm z!A4mA4_`u_jHg)FMWItT7?>$-8fl^%s47Uk)$}ow^#{^TpIim=c_3SHjmoHsd#Hj} zdHFP}Thk67?&bnckQ6z7eOd)Lv;rcCxG<=8r@6g!^@tz0BocffQ)ra)Za6_hWnX`^ zJ-YL`RwXylM94=`VMveZVDo^yb zUbhU7Y)>(R16j6joY;z}KGmCy7g_y(M`#ef^B-MTE$d~wIhuI0XODH2?TlcS%^Zvi9FzD-teUiz`=}z^_6#%x z1L}jQbRK0tPUXlcqt;;bxi5MMV6A=TuAkVur+4H?<>Cv#DP#bimu-84MkZ+d$-82B znCaO1QKS0ah4bBHinnc+~N+79T(L#0IMWz__*Pi`QJ>EL`>so({U? znEPfsVr>b+^~jzW_(}bp^u~$<>4j3m9)3-hg_69M)eiI^v)OdB;NwK8X(Q;A6>b2J zcte#`^xA;)p=EI=mq7Ejqyxah-E$OU&y`)kviX%iRB#e!is@D&2+8{BKGrNYfjj5@ zcZFV=-X-4QUr`4N-}uP#1L=oF`|d0Dj@0-qZZ^4pc_?O2M!Jf!w{6X5u zp6`q5QTHPw*Mnh0jAVO*ZxJW4nC%Cf%VMr2Ov12NuO0lYmY1BV)aqX}kn*275Zo3% zqAwl4Zhy6x_L#uRnKxGjB7;3`nEoNnsty zVKqjVeYGTCn2N!D`rs5#*}}A;B9vL}=@88xlrH=4H^5*0?U|2BKI-diNR?NCwDXQp zJ9wWzlgx)mi0yxKCZV%k-=-pEJ~w^r_sxm?>i=V3<&66KaBi}yNR>6@Y0SHGU2-JY zRqh?4zIjl*<#fJUUtrv|A>fUXa=j*FLsHdoq=}CR-`RdF^MqrZB*K=7WG%o19#iS{ z_%(N9`s0*OXXPN3S9qMP!HLd}C`QE4*>j&R=TQS)k`(M8>z{cqNq2rEbg#n{{1_yL zrRiXsQ*`In_czjVl(wfWsIF>(<+)SGpmAX5jo!HzSIP}aF3CLcKVRH>kGohn^$1>; z{C|4_F>^4v>AHH+=(d_6piY3KH+C)Cd#{T<@Mxzoafwv|2kk~+d?UbxjAqaniAv9> zDcSj{tD29}-XS5&l1dpqNS)=LH zv(?EL!k?BuuhJx;6^y-errK(OD%IsmIYkvjAOlB0=sz>Y=hx`cXZEQ#2fTT%R9Zfa zR1Q?~NmejT)g!Tgo0cIVUmXK;5;IJ=A1K5r9x%F>o1MHzmy+r}mwoBavu}b*eVprK z=KZFzCq$;%+(ezh10laX+Zs8RFMOzdO<%xA4>c8&;fXV#`JMgAz+E9=LV?6Cj^x{L zVlsAD`AwzqjKmsF2E2MY5-@=*oV$mlh>)0_dTa@(xtH!69|}jAud+EtsVJXqH=lzp zK@`CzO9o#!+hz#Tj2jF|n&mh{OzS7k$2ZK>SKM3cUoTA0c(EEcrFiNLR-S2OT_qk2 zC=XQ(6morf<_mDAr3DzcQpC?6ttRIOxd@z<(+X8k9j|J0Aiw_PH=js22>mO5TlTZ; zStW;(-=s|rhYvBwd`!VYc9ll6;hvNTV{>a83cT1EhS65~E1-Vq!jbTlJiK)UCBAP; zetd(N1)j#qt3TFm*W)p=2-z1^8YZ@EH`kRR8II;0af)GFT)K4IkOf%bSR2h|l7`c_ zAc&{moR}v<6RL*7yHyHr;PPu|eIvXkoyYmFpgC{e(>6fYxPs4>3YU+|E4OJ-JjECMGe z&C;Mb%}E^bdeevYi;BX>?8yzl>E&wJ9Y_6B+QEGXIoSAYdit#KnPPL;{`zIN^W>nXDO197W z=#%L^K263r-|=dRLdK%cPEKy+lK+}M)Zd=f65T&-U9!v_07_$Ol-A1C1Lk1;-cl;z zJnw+MdJ`-iGK7}CsLH>o8JwCKOj4}w^i$n{OlE+-B8yU4k5bkjvC8zB_`gmO`OAtn z_ZcC;S2}&^K1hB!^WKA`;(+0yG}9@dibUFt7f59U&*k9)>Q6u-%k8IC(mWx4a?`b? zwsdDN8fPSrwK!-~Wx(P#bhc%@Q=*EEZD+{EZCtFl(xlGwmv7 z{#(2#`~%+`f^}xQ1CcOy`kEq)t|kiV%11lv7>~DDl7Z#0EC1MAzbdJ+vFyjjbKZv~ zH-EtDyHQa;S1fhjhHe1L;hEe=1B!pdUsm!(X$&i1E21@_fl{JIRb4K+z)^)Z{S z$vLi?E6R~noku(ser(Ol+(`-segui%Z$5TBip!a`=AYYTmRj~nv`=rylTN_(oT$%M z?+Ve5jB}2bJkRmMymuMA11Y7{2iw9$Oqku^=AEXXGZ~N4bgs~X)rd(_8sVvSMrMf z1X`d3lPkyj52u>6>rvcF2C>$mUF_(Czg3Z8yC9adTb*-n**2Fh$2}X|VzuDnZG7Lo zW|(@dG0FXP*q9^78Uy!Tm~mwtgYL`|)=)sSxfsLl=32#4UqJ(zST35W`lPaHYv9p& zi3R6!J|V9qz-6x|j)S=kbQ)2#K(c`X)5G zQr9{7v+nJee$mY6mj2iAMy17`dL$e!+Mc-yD57A~^bC|UMwRzvl>z4sn6BNWyy%56 zC90}$OvdV}ctyM+tJ!O{rRTEDQ-Ji)9e5*F3ckp}2kx%-OFriF%C~PNnxDHm1PgU) zU2XGy^>N&K+HSo4njZSz37-v>A{M*S7Jb;V$6)RNHWiAaEPTes!4fpCxVO_@PguC1 zwXy4&&k~-OQA1+mqZlZ0nWP;=sswoHhR;kKYd~8{7bH#aw>s+y-B87HsiSK}QgVAf zQzH$G?_s97!bn`;fNXw-x|!Zr*5R5o&R`Zj0_oKypFsMe?ITjmtMml?>2L2{bKnV( z0TtwKQc9TzX*=uP)BvwkV&Z>s{6vk5uSx}h!gfwplnc{IdMiJ_^Cu{TF(0mB$?YR<- z0MkEmAcudXWMG;x@X|hLxiRg$WX84P3q~U;o=ZM#wabZIlKpX!N3(2&j^RH7Hetlu z1kOSBu^@5bR-z02Lmezpc; z58aiIuPMo#`Er%Zf&3Nx*Ci$Ck}308^3RT^S9mxr>HokHd7?h*F165r12D2oS zr16yA#CWf?rH-TsP?+={GZV_=BU!Zf4Y^m=R4{jQ{cn?+i}W#^f=u~~ z1y|1kkO2|$4kQMDNGXf%Gt$jGD#X|eL;5LSPMhDWeL5zJnR|c4xuot|LuySzhNt+s z@?{V){LxZ!#p<`YpACt?-fI|6``*U)o3Z|ZrWH(bQ^4y|et8C(-IXmDp>{9$9Hk+k zt-9yl@?*VAbo?btBlkuUJPgH)44wI*cmKJ<|D&8p;S>GVlDP8Z*KNS&FbF1$=e$R3 zJXe6vq3}AE7rpYqf+c*CMConVyadyYQT*QHdqGTpWlEoKG1gJq2sq`}QNFH_LB%<>4hJ1paeMf8&bY#vaBLv|7)r6iT6511>Lc zYHpiN9|sVj2C}6@Vg??kVK403B7v#CvWAW@9Xprrs1UpME%-FZu>nYuOVf{g7s`~- zkLhbF7>6`^`rjkQYZ=FHe54jJz_6WY{3Q7NalIg}XMOv|Wa!p6-eUT70UYqD&e*aJ z=##bf*pV{5PA|+m4mgb18)!1_VM<3!smuWq7s##Px?HQUEs=dwP+Ve}qckTEzSxin>i28XE{8W<(9|B=P_@%l?{A!z+}qw6ikHr}mO zKK2_>kV-!`5=S8`Atr#=OVz2hM;KK5pFai%Zl$)Yi)cVTx{f}1l|Kl-1BpBS<>SwE zvxEt9^+a=lofil2k(r*e?cy4fgQ{401LI7dA)Kfghevk1bs7Utvqe4a^t1Fl+ zl_?}kvmFeeLQl6$8wH$4@Sm>1{|ZRrxWJfveu^Mwfng)W#mt30=cSP9l*rO6yk_!o zqTVWX=f+IyBx$UDU2diQa`;O0zD=-CN{_G=?D>Re3)+n z9=a4Z_)WyK#XB7pRFplJg9AW4%Pi{aVi-}(;}cJ;L2hj@iON=s1bU2%LjN9^U)G3sJr&R7ic*eaX^7sGt5G7`1q ze%|BIB-Z*z9-W5gqumEaEoOHNUaePcG$Vc0+=L`Z-88vPlZP4KcA0WQGAHWQ#XT`Y za3v@E)hxGp8sKkbdM%kA>sg(=GOOWsTUXZosflw8O3eA1`Z}iqCcWS{cgP$w*h{2u zf=x2yB$A?{$Ls|5lmvTdHH+}1@8JK)#e3C@{Og*aHI!>f1{uVU3D%B1Fkljf5&1m- zo$fV8$l_i?UX!9o&cziPX@56^`x#SHa4NN^vfr#QU2W%xSdgRU+~1{;L;iA)(HT5E z5L>xLtEBO@Y^Tc7k6TQd^MwE1Y;a5%%2MdVe;OLg18Z@uwGj2fPp~pz^fB4unYo!w zv1f~3^B(-8B7^2ZxwLmiLTAtO-O{MkcA_U~KP9f0C~am)B=iPf6RAMF#hgb}8uIOL zU6)I&mw^QRMX5nX$$&zhSXFn~R@selqw+<_A_AY~^hbkUJ19=n%4`1R{&&^BzSImz zPOs2xP3AgNG^n?VLJTt3I%?T_{VTp9y+A6Fn4G! zjv4=Twbb9W{U63;N+ETvPx1loY!+dcZX%XyDBV-MFrFs}y5E`^YNuqYS&W%EX{@o%ZLXpzEynrbJ1`OGbj zo|TTfNk557gD7Tqjvs#|Vk6sP9hR)lai5pVdx@~*L}t3NRi+D>(>xQ!kQU6^?3B}LVTaCxl)@eao7TYo|Un0 zi7ec~-^NoHi&8~n{s*S*-xP1H)KB;3_O=`GWdcd>rs*tE;k6^yN6+RHQjo1xYvy3v5O5tNa~{EzySUqlV!(NWHtK(({mY2 zX|)>HI%_U|PT)wp1x=;S)0-&^#NyNMsE|EdOUYEqPR@)w5cqvmeRXx3@%I>f*QYP+ z?tLHL6;U24(-YE2$+(-iE~%_~3fJ>~1hhh?}EFamcL?nn%!VRezTZ zj~A|(Y|lU!TdM?J4GQPBX3&Qh`e-R?xP$qMy6V#2>3KdSd=HtJRo^cG>d#pedCZz( z?74FkfM~YhCNXC)_Qv#Sky?o$!om!6KRJ2^E_$Hryp~hQAMa=6cEij#9zs~)Nn18{ z5JOKYJ06@1snB(Qm}w$9M)8EudQmY_V*16|!8mY%PDrpi5M3E|8yqHix{XhcTC*sG zY;1pzKDN{L5H`Q|jQ;OK0RDMZ$iAQ31*XchVed9uiNt$pg*35v=Nf=7QB>z2B)KNq z^f~>KLnc^J$9w(de5Rbyu*lZ?O5iswL-|yxP2kUIXzRsu;5Iv z785#xU?5exj4g4!8LcW+?8~>RPACWL)LgF>HU9%AW9(tVh0nekmChb}Ev{a9H?qAG zf9do4K<29am$k-q(s0puc4E0F1nprPnU}9EB}vopqQ<%^V@KP^nkV)7*cfE4LUs5( z;_Kq7R-%oW33I!)WJ=?%waPyLn28f2lNzfGCTrSxKD6=WCJASLo%;1EMXC^v7yUFH z8M76jk9BKLEOaTgCqXza(Qk3JGMvN|T$9}=#$!Xg{H*lJA2?DQ!yVQndk%i=9#2il zw>&v@%4n+aCMEElUn{gsacHhN^J*@OB)<oph zdb8>(@8fZ*v}$O`D0Mab)%fE-Z6#y-3Djz3dp}*{_@!^-v7Wbo+n9!(eVpcq8a?q` z{$t^9!gnAMTZf30TR!tjhD-~%!%j?1FKqN74Y#Z2SeerEe8y6=;-n7tZgvJi>bVoS z`%dF_{n53adeF|pK9kR^Fci6OQ58b*!L>lI0K2!5n8w`xTBAk`LzC3TcLcdoE*A_E z@7eUeAQBDGqqP&Flz(>EUJ*}apD;c>tYwO6S6jytJf!?!;qX}8GC>+dI!37*sHw%Hn-!G~FzI z!L^pNfc!B6g8~;W2rsF_ey&kR)k1uRt3<0^Lc5yvd%(@K=E8JyMU~RC|elL ztYz4;nqzX-$}7ODXOOk>nJ|IvcG9;G{YmYx7F2sI;$b-|h<;Gv&$Poi(RiB60Y&g! zjK%T&(HQ#mT7^g50~YW>eDWN;xWGdl=AIMaelan=&@Szm4&$^-FM#%JC?zIfq11t+74%KYr6v=>sOBRJ7!W{$i={B@tLr%Ye*aPcc4A%y zH_+Vv0HhPysNoKeE{bSvB4kPoU9H6@nkq?3KBXwYuk%bWeQlv~_hB84dyMUgk!)3B zzH`}e6uQ4eURNr)6Qn;%HU%}yFil&GauEZG8rj0JlMkZSg?@l!wUc_U!ipMBMm9z7 z_?+47wo_ckNMFk30yY^>m7SX&pVs~)LHSox0P3@>Y;F&9@!gk@2wr)E)t>dZ^&2*QDt{BpPv2zxi)s1sL#7w z$g65@gOr5}>Nc8tI>>eW4>jDuZ5SZ?-lcz?MsyqMK!UPR4^@ zrb0$ZWzlObvy0TFR!w50ICRNYa#XJ~)9ES#3JrEKUO@4g{J-Vu#x&tm6$j%-rd^~x zqg95$x4Y@D5HK6^Sq)B~Ocaj1+UzJu$$B$8_J>^yZ4_!298e(G9a}aqPop33`r+<2 zi%5u5N{WuN!d50tYkpN`Q~_5r8T8dTDhl~s+HeZh%vf&$0444Frjj6}6#Dat8cU~{b9ZL;sdelwo#Ca5YOO^;pBX)ZS8mim% zx~5ygC$Bt4UC#F0QKjpjGNkpCAZHTe(U1~ z&OW{kl<7Cnu?olFy0O)?1z7>l|HLgPuGC_tPL{Sed}Im*q`lzM|D))tAL0BTI8)mg zW|+>y$5DpF@@RCJI7k|v& zHh%NUE-O5OSA^l{s9!_#t8&~JG1!<>Tu*T?QiD>ersvayCf+cmId7lyM?QU$*W9KD z9o2G9?6F?(c%@@ECfpJ(dtZM2`}rUEnS3Hwx*GKjQPQNO$GQNFM7njtkBh&U%seDm zz#Do00ylWhbctLg`#mZidy7tQxBGm|mL6Nj4$mQSNsbG&5h2T_SKpDF#Z1xF8QhE* z480<6JIIA_ru994`L&j05Lw~8F7}Nh~;XeXVXWRU&h1#tJ?AX{?1Nh%L|anaL><%O%2CwsNA*)3R9|Boa*xB4 zY%YLUo@5(S8MF5S4Di!Jya;pkZIK6kLRx~$!^|4llj+I^SWz2yd{P3Z8G1(`Uq}C! zky5yh$dwltxn1d??lIOgS{%y4JZlOZc!Rd<2E7$(#B5OnZAxG~bYtVB0KfYC;m4c8 z#?4sYAxhcmDeZ+KkT#UJJ^f51%`hBkoSn%G5v-!;P-e}Zy}j8i?{Z^lecLq5aOs90 z7p4`NoAb8<NqKo{Cqsaih)(qSmu=Iy$SDspZ*fKZROfE&=SgUv&T8V zReDs068*eNNZk5U6~-yEZORfBbl3IQmHO=Gl_?3cZdA?2a%&pB74DJVb^eJrfQb7- zWcfE?&n5K6iW%X=gx~>QUG+b+H;e~OtDmxod%p#ehRTF$>_(L$Ed`u4jY0tsJWkec z5OKa2Qa_}Y6YoQG0 zec#5qlXOd7dDX8deYtdfJOrT_QebH&d!=!umfP|~N9`V`(I!b0s9tT&l1LoF$&^>L^nvI5F953_EBkF>1HZHYSGB zYdbMSn?J&}WQ49Y#%G&ymEWT;L%56^z9C%oIsYrR9a{dU%)DB*+Grt4y5!3VUef2y z2a}Ll#f>_aS2u~(iei7@wxpg>J|eTK{XgwekEY?AEso}fy-q%S(&2?qszQdBrQKnr zAG9zPP>L!ti3usyE)+Fok+xg!61jbYEmCsJe5uhqG#Wwt!B5}WW!NrUg# z4#zy*ANstB>5EB_;7IM6s@js*>9^VrJjS2Lmes5na^;zLr4TLcE#mt6rVewJPJ7QU zKPo+pA<*m#Ia{i>TI{V@3Ut_4jT$g6w2|!@Dx+C85=&`e9@!!je@?@x^6T z-=sbH)~xxC`dUAwq7Ozv78I+T#pPG z+LenAbd_Eemd?I4D~TCC4P+-GY7?_{HG>E*Sy-q;zME!=YZ<8tA#X91s;f2^78ySr zIwN%u|GindcDiLGRPUjpD%pR9pS=3h%<6?xrrs7&7r1fm(7+nVXl3rp-9ubTk(k;$k!D z>FyIM6Zg7`C6*Z3@+EGOLiP(0`Z0x903zOJ zpUrPWt&zR-0O&30?V1HO&g`K$LVx1ZXe)1CxXbX$F|3WLEa(O~K+B&l{ZaaT>&>%7 zjT9pkP9u}E(Ncb@&ZoTtqw_4aghFo^ooI7SeeDPhp$QR!$6PE&3t}}kQ>KfA#0R4* zG<2;;n#PIwHGZmbA~xzrMr2wCpeZYJqhO(;42Kttv3}fFP&1!{ihVNRKSwRxlD9Qe z*g@*bLC>z*s@jZ@U#x3qQ!#Nn@cn7)Tp61l$bjo-btubdP-EO37;e z24?;6PtM_Sz&K~Bang-hrHotMU7!6Y96DOITdDVDe8M_XsMMU;=J@+LTeIcTpF>&+ z!!|0=J=6XRbSmA*qXvp0&C-@R238E{-j3Cu4*uXuHQ1Ltu4`9sl=}imP`#=={UL2T zS*ccmj%lECJaoOwA#j;-^NywGYAaJ)uoXGI$04h>H1Q`YYNt_`#6mFD68JeQF=DA#xg}HlHXm(mRi>)BF3aTK#@yB$9*&bZ?)7=gpUim_j92I7>HphGM$gDVo z1^e{AC`oRcRW4Lb5yJ&8`^>jXjF+Z|?t$?mUiz z4D}Idd0(4NNiKKtH0+dzWqnQzQ1O26dhCF;Fy$b( z@uX7&;R6Skc)SgC<0sGP2Jal`khPu$Locq%xK*xR1$6*Vbg7rF$KYdWLof9q{1De+ z|K>seDt{H6o#aVI?!G_WGQLDJg__yZf^$Of2pyquQ}Ojq8Rq;0Q=(vr<}K@eZQ7+y zgxanwH}(%=H3+I>(C*RK1x!j*^dxc>6fZ$rWXXQL(doF7Hd%^K!dp1&9vT!y#s4O& z@zGm3o?-B#>Gisrl$ou=*E4k*w3>F62w8+p#TLK&fe75wP22`19r~T|s|uq)SAff@ z)Tea=9ylRM35kbc@aNM6j5%*YO=U?V&yWnhWm+*$&7ryH$W;H8XLZIw7@G6$GI~Ed zBy1!2W>z(`One~w`$r0;KNLo1$W!NOZ9X@n^d(&@ziRH*o)>C0W%n~R+2;P~`<&A<3a(rc{YlE=gO-^Rf|akBbN` zQ|H+vYy&FA#D&|RI*$WRfjbilzI_clYvpzjzn2;eQi zInYs1A}7To9V~gF`(a~sRG*Svpc~qKv02o^*Dbd}#wF`)uI$3q#wJLF|A1^nzFI3e zmgbuq#JAsa#^SRh;E9~KK_|cDfx*4JRk#z_tkNAMy>P*UsJK(?a@wJPX?jU05{H=a zdcYK&iG*OcVnH`^(jK2WI8;q;4+AA};*w4vi!EicB1-<+vXS;SuUhhcDET^40If2=0fqd0j{&_ot6D@t;MgpD4FK z3yynB{7DD*KyBLHuBjD+2QF=baXaxQQ{)|J`M*ut;ct8(mG~3kUgFoLZgr1t=In8M zFh*+0FdMU9ChYmRJ4{t~p!2}`5GH!_tf>cLY?wwAHHkBq25IlFds;pKOCG))2c{~7 zQ!6ObbPJ_82i#!Yrh8_9Gw0f0uss3&+p_NNidToqD0EnEd~eRw7(c%f>;PrjKEgTN$(prHbwZnN&4+z-Xo5C&t$k%M!9){3s8X$f z&v|KB;j#<$bmRYdo#HW+9<5>Oq!;NVL*C@DtH|&)BpaHH60ZOK=)AmTgXDgCtu5g5 zv2VyNE-|yGMLB-GCX0US7f^)y9d8t8+TuFoiZAdU#}O#h`Omvw5_}Zb%Bc9RQ!15+ zwfwvl>1GkF@LP%}+F?uZ;c{XSn>5EWNjxHYE66hgbTy3gCZZ2RTqzv6&Pgj+n1u7>+p6!Sxum8My+Npn-3~fc| zcm>_+#1d&t^4#%pOO~6OFXY<_Y#p+;y|8o zUf&a|*>Bl8Sp%5KPeP+TqfdHKMAvgK|9dUE=>BH+t(Aq=aV3K?9%+{l{9hAw^H*>d z(8;=YVg2B8x`YA;o?(WTFlJR2UIEiQMK<_})$$+4Bj4=$ zorf+xr`C2eM$@*aNB45da?k%(r!Mgfs=HnoduI%`0^%Uf60mmyQH-`%`pTzwt!nYn zd|?R7Zx2d>-FZzKn?C7>y&_#hJzdj*s(1@&HbLezq^_xk?=| z_H^Ha!rr8HOJO5s&C)@%?pM67cJWKUJ4l| z?Cg%1c>$t^slAVE(or0crqj4=Bi*Y%F95~iXBKhXc(_qOm&(;0N#?z;skdpl> zlY+Z1%G29poeP-0cn<`B<}RVPvnfXi>lz5@W&t6Of73<~PAqxH+(R6ASl$5KG9UK+ z6CeSE0Ryck7lZ?ykFVuqmtQ}(;cj+@O>uVn>_EB8qegs`8hD7N&Lb?U~SuLM&ugCaaAan+B-?{pENIalE}HIy#i^H2ZO-JfcW8lt**? z!P)DxGL#48Rv5{+K^S^z1RJb|mU9Ta`DA|>XY!A)v$g4#O+`~Q|8Dfm@xc1Y$GW{W z_rK?4e8=w_DQd7L70`Ho{3n(M)oF7_t0?m&O@;?1V+9#FMzFgClklTz^Ni>Z7UD0b zGjfS!ozmM`RTa|uv#kd4D;eqq1V7kz1No0it=EDRdmP^+-wQDRk^Q4C78<~Y0y@3U z37x8rUT#Dg^?;W;FtmEIPwbsr43wMrA?rMKwhd1sexSq#Hj#c7j9GOlE7|Uf_Lg2P z6p_7lW$4TV%Qzp?v znLaJ0A+wxb+Ht!vfnV`F$8Go6i1Rg-pnlAl?;F#`+mQweahYw)<5iXbgqxXFl`qD9 zBczJG1d=o*tx>k|9%^%8?92hks~V@%J6ZUFSp<4!Y!DZD5)>4arI>``IkA&BtiiJQ>2ncL5lTuCrqI3I z6~m+LTYSC}uR}rF>*A7eCKC>RKlyvqx1)O5#gXPmY=xw>m|ratdPItF-si<0iLYP< z%t-uwX2~Ip+=ZP{1aE>`n_ivZiZ~Ou1VTJngR3Umh;kU~RM+s-TSy3i{WWjmj*L1;!py4mW9+#K9hs za*Zd_e;LzX|NX^sJfL=2^>pQ8j&hqGj%o{|9awV`Dz@xw$dz-cTs@Rc>w^TmZ&NHSr)J{vuyMuCa*ICkyP(>EKA)oSuNcYFt*a*^yLA5D6KWnbkuxRcX`w!0 zuWYtHu}p)GJhDF_h<%-n>v35ioB1q|^Mf1xItizH^tdr;ThD|@ynm_N5>Q?`?kwgr zbwTyGq&wv?jOp**@xBK=QTWtU?YJkaa>rBN2g|}+}7R)o9sS$vF_jF{C`lowLxLo=1o%yJ)`i^Nyij@v%#gY8{px2b0y2a z=smJ6ygwdMl*D5m*G4M!tRIAgTyVOk6)Rj1F<{T~TwGFy27FL>B~P`h&1$4UID}*j zQ>5M{D(aIO)6UfGfR$~ke}L4AEW=CwW{%cwiwAqO|A--LLTBvQJ&yEFT!pNRa_8N^ zVbb?hb+A}zzkB|GFP~LD*`yX+mPh7EBzPiVQmi;-%euefg6-Y6`iMs-gBl3&*Y+zu zDMS4d9Q-C*nub8>EA0d>9=oL69MfHt!w{G~_uqN7|MWUdB}GKO8~AFlS~aXnk>2bS zT%K_JWJyWDn`#hrb#zgDoyem&Sj}-feo24)q?^Qg(PttE@B{2i7_5}Bz;#^R4X1!X zjhUV^O}i~x_5xY%Uiu8uwxc(%i@&tDfA|!Vrx?ihh$ssxFCYkJ*e+KTD|%)Tt{*13 z2Tb~su4jtY%-xc``*^s4{QJV>x~(`XJv-EbU=kQO@m^pdAKcR(5X8ayQ(?iQmVVOx z9+q6;@gGFzdZ{@#+xoZCU?lue8OO*Bw^>3AFp$j5@c~H^*VezT*LFW${DYUo z|Hy>G4*o3us+Py3X;QJEUbP9>kHXo&O;O*rfsd}m>1|m>e0W_q)G8_K+`B!D_e0F0 ztyma>^K_8*L~XwsF_>pJ&MWytSlsb`CgGRWS9%srnZ{+u~MeQTtR zrEIVszbk5H62f|}}9ceb^Gr7fhiyDjuI_+s3`4o?x4hD@0k;a>G zT~TI>#&7vs7fRV^a%(63KOg{_GO$ zFm1B2y1WPy*HCoX(y0dr3ir{jlos;Cxz>6Y`EBSZQuq4EUjr;T+;i+=QQtHSP$|23 z)hO!Zpwn(>UWrM27;W6Zr_li@awB*ixmkF8DgCnC#8o$Q?rB`UB=GorY)%=Sz%=QC z{L02o+N#fA?p+N?(oy4wdFR)L8Y*W*Y@0r#Qc~q&a7cTN@P56l^oaGA*Bkb-Mi9K2 zgXjLX^un0lS?+H3x5M(YUP_{De;3I-M1~Qt4&I)9+tc$=*J>9;!&{4E`f$8#dA{Go+iA5ZC#bV%iL(mEr9#Uu0s1z~@ z40&3{a>i!HzH3u43-!;tY@#T7watsq5{>ban;TfmVl?4&E;RQ?RD0(mT6bG7Dw(w0 zojGP>UI#a4Y61@N(3|tNH=FzNx`7kPqA(GeZ~1G_3-DzBx}=xn@10q(qLyB(CPK$D z@$+2hnhU!!GuD_oo0}=f59sS?!2_zbWg1zizBBVjtnK=3^B%vUjs8Gh?M=-yLmgQS zgf5^mqAnK1g5}Bg`e+L+OL0L4VKycL9r^3ZltO%U`z9qHl#(O%!ck)V93!jnkB1Wu z+yX~KuI588u3xBt37fb7&`&l!DR0h8%%A|?pK38r5p~caYEV=PDY&}$8Pb*T&}*G@ zMn2}|FHe8xJe{aki^{SeDloZIL+a+~T>|g9Lr}7`OADP*%QGGsUCylpg*Q@=M08uW ztb?=>r2X63bC8gUe6W1~!I4q5BYD&G{p@AJgJ4lj zF6HVcthuc=GMbXS)MiAd-Qcj~g!RiKS>NL(&aeykWEmr@bKJ__W!5||#?M0gY0;B) z4Nt8z739b+2F*gKb-Y{?(ruM};^^{^+#2@0YIEtRuFc5aEQt9}O>pD+%AB0F4zt0G z7(bI|y*1wfHBb|R;oD=`7uq|QODiWWuxIdZzQ=KO#-vtgwuI&8Ss|S})>3S=*>np}c!UaX;-BZA5U{gb=tslnRW$WsATzB>U*yKG+=$(>?#rK?w9yYBm4#lMB z-$PuB5dVr&Usgz2%E}MJr9XBwchp;{cY%h653&mUDo6atfQb$gg9)6|qTNRWt^`cZ z;=^5wfTTPAN}a!wqceSXikxO>@+_}f-;QHzxTWReKMWR#Ka$_f)0s+9w^JP#)w?#u zSX8|y<0IVhI*2RzI_^sx8!3P$@Gc|K^$dc-TkN|G_+6TliC=J}8%6JmubGlHFz>9@ z7iog|9bb0{4R=x*OGh&O4Kn!rh0V?_q*H>7hh3L8bql`rGmOAAaO~Xxn!klb5O|8K zwruw7?x&7CnyB0APHkhg!a`63k4~~Y%HBDay>jB$u}_y78xbx^{IO>R7k816e%8P+ z-L>C?$LCG+Jk}q3wk-C=N0f7`d5CJ$?lgKMSzpJXp;eY}@cmU*oHdy5>%(4><2x6$ zeOsI}?P~U9tR`?QCX7*vSMG>zKWM#q1?@)KoLV}y>IGd_iJOg5D72y+k zkF#GstuD9*q~_M@qN+1X9E}#Pjh+k-Hd)MQ`MeD&JV#V1@{A&F+c+pOobclop4EqW zW|>LT$Mz%>2ny6w_wxXxiBm zehQY3Oyyyt81hd00Ge`l5;9tfPfECw9wK|XX{}{miM`%c=!46Tx`N~*Ku14wf@PBg zwJwX{x1o+#!3H}fTS+Qt0H&g{4}V+tp?89?A`Q7^U54#;jN6(H!s;}PA>b;umhVT5 z!~0c6NRc5cYU^Hx+}R;xyX3nWpO*N!3H5s-@R zi>jlOBVa(?kh8O+hxR{d#uAF8&I}2|{VN?!Rd#y&X~o4_5O* zbIK$qOI=bMw}Rort0MR4p=6@f$D{%MrifWUy-@wpqM@M=zs-$6s6`&0a<2%=KQ>Dk zP&xi24V`DY5O#&g(u2SQg3yU4Af9X744k02;TF(>TuCPR%bO{D@SjFdlJR49Kdt+}lI8rmxnKFy&`a3InQ4dI_ z7zckNkIx+i;5Xkdq$c9CgU_)u)}52ehY#!(hh-QjR5em_4qEZz?LhjVV`Nb5VMb4@ zJJ}h|ql0lZcqcrvR9U)GFzAp5o1}{uAJ1U)?z8-F_{wF;cyP_nrp5c|?dBwPe2ffA z|L(`dC&c18XJ-?KYwiAEnLDxmP>pv!mHt}s3NwnZl)~R*z}*G69h0KXMLfwRCOp>~ z+kehIDWVcEyz{l3e?6#fC-1FAiEf%tS2XbM^u#A;`8-R<`PDjfUQ7EU5Xf3um*Nr0 z<@=qeD6rUUpyF;>>uEVPcK&PkD}_t$$Oaj@n6w^ON!s`g%4{bw#Sw-w=oK!v==}O# zc8}^2#zpkKcq8n5tRP90n{V5hX)!ETur~h=_U=DP97oW3*#jxmb{st=12(byD*rU_ z$XtSp%4S(n&#sNKl_6!A!I8>Y+WLGYt@+da>>zha&R|^lFO#93!Jt1Be(Zh)y8|YW z{cd-fo?~td4&w^5#;$X}l~oJ*H-=<1Qe!JrV?f-bdGz8*lwmlqk9X`$sH&KxD>HRm zbV3Ly+jIE|!y5)77d%4Ak&{%LB@c5G&cE5b&_~HCY^O@=HL*jUb}O8Sa{*_Gj( z2zgoJY+-~4io)VJOEw?!3yAVQn`iJ7quz`cmLRKk+AiUER}D<$lx^i!pI(*Stqw9> zY?UHppBGJi_`8!lAZ2KO+sY`b&3Sws^^MQ%hoB@;OAr!ZKN_(!o*i6moGOa=cBRhs zQjtTwOwk{&t5CDryMQ;xEk$6Z_CQY+$}Yr3G%p)UcNUV9(zc|-M$isnVCt&iW`oFg z5aIuIVZml^E0^UbSvBg=z{?7#>^V(jn5(T)?H$6`t~h8`9u9JaXML+}Jd)%p?=D(P zsb6HHy`O1*oU<@&BG)B!q|p;W5+5PdQ!D;5Ydoi;m`7uTN{zq>p|~GPNALVL?ZefZ zpb%blSd51X2e=0xvn9s4L3uP0Iw_W-qjN>S)_cjrSdhAt+vTiLZ<9U|5^P-JFqHxW z4(cg$!JznDUD{G=7UnedNd-aI321|K9<-dn9*#X&xa@xs9~j@0Oxc2Cq$IF+7wNA4 zI*ixx>)YcU&SFy%`OhFPZ71{>4$fEJ@d_-+ngGhVr0CIO5GFitM?=WNRI9NDDBYhv zORdwRArD}gt^4F5aX4vsa9%4Hw8}WD)EV=YS@6@#gN0ioIVF7iov}&wCXLUlU7DXE zZ|zUx4uJ`o7l^G5_mOH~LV>38v(JnctgXP^zh0&P-=)-Mj#zh$Xz(cO4p)<=wtCd^h%9H_4&t%pRidrv!%ihPC0W`Tz3;%7BS`Em!}=T?XKQ7M@e;Ufcj1|x31y4O7z zY5MN~N5k#(b7=u_^xBm<-6xHRHTZVUx5XK2-SOFda!lb)NV{w_IL)thx90c|n=)Y( zptjsnkyoveO`G{kT2b;bzy_exV-v^j(Mv7qYJh)_T-}OE8Ejo$2D14k$#78vY%EIy z;XOXUoqad>xNSoF6`MLqHU6(JMCC-3MZRkV!Mpu&zu40t$;Jrw4i9e{;{;>2EVUeJ zsxvbN0WVT1BX!A+MC%ds7j2Sd5Uo;&f(LY|H0Qz4CXSh1Ik;kLH3Gm+^Ly$>5fZAQ zBF?0xRrVe+Oa6?VkomkSJG<5d8VR3PKf;onW!~tYPa}k8rzIC%xbk-!CB*{2MX{xQ z3Ddz7WG>MQesrEWbVf*dT2LSIwF4eyEp&;hy5f5|%1f@{h@8Ddag%=dWjH89=RG4u zI}B`YPaPGuk!B$H{~Ml=3UP7v6GKe!`hR>-7L9@4Wc|Ww9niyymaWZ~MftZo!!pU^ zg}?2}-&|zYTRPfXuS%|{1CBk4%MZI(d`qsnBz4&_SLA&@BN%jb!?kzz7Ar!vX9=3; zVxqk_YprS8(gWkKr_rh)sY_1oE~G)akA$~8X}vu!90o>Jr_U>|I$G49ZYnE;Me`4f znee7{HCaY>YBxsE!+GIC+ffsh6vOz0#%<2lOp0f$282TUJbgYYzmi$+aa0`%>WKxQ zd)n5Obsqg+OsLmgE#Ga6=BrTF2L-<3b;$okdye0PcZe+mwcNkqA7=u<;i|0*96$}c1s0?GM z)U;-%d74LQTEbCk{jVD9%}oE887(R?hl8K8Rt9oQ`j5K`IWfhR&75BgWKV6!eu>_3>`87lv&Q58tL*;mp zK<=Auv~@wr8!8yZqrL0gCijkA4SztUy?L-be*}*(C>ISC4N<$n+!%uoFQj8br<=@D z`yQk{43IpSKd*YX(ovmR`J$DLm2Qw_0`zi_W}m?O<|TG zfpQ7@e$?yZ;n>ifeEFgZh1yC#EkO<;4&!erbW~MVNy3jjz<^t<+!k(L$P(`p5p3ks ze0VAD@2c27(D(&r@>@>Dj?iAFg5m0-a-1{sZ#F|fo?g8AX`p5bfEh|rGs_fdg`oh% z)wHBf@$~+!$x)m2Fpe6_%J{^f{d@b&(MIG*V{o~5m5O<0SHM5xh|FZ4eN7YD$LbVnItr4! z6wkSup!0Ina}-nU%N@&vI3l=kBCCI?MJCHx<-Q_?>9?9H(X`7$Tp5$AHzwcHHr3wm zLT2Bn33fwBC9jSwb>2)nHPOcb{SoJt+z#^|oLMs_IiEl5bxtUp|FXaRX4V)K{#+yMWgD2vpx@x%c?AiUmKnY5lJUvjI#XW7=ewAskO8<+B>vjY5#-RU;j#vz3J1@HX|%dl=u1g3mTt@`HYD%oV1Zaui+ef{ zm7i`pwP5_+L4<=-BNg&QO+|C#T+;(pD1VV5zE$re!9- z<;nK}H^wHZjsc~8Dc68v&}-fGl}8&{|p} z#MP1@OvpKov1OZ_Ce{0y2;SKSNw&GR1?8L^5-n|BmpFe5dbG69PknbzDS=AJT-EV)QyQSm3yFO*xlp=TcU74@O&A zaGt@XHZ!cKY4LYZO{C~;h1Gr)fluS0W>O(kwenR4(Vr8B z-UQ5uNpIlNidrVgNxN~13otAWlIZ0I8+UIrDerMcI?BNR!JfIfjIsZI|wjC zR`D0Tecpm(*b8}`G+M(b@RCbvVPWUT#@VEiWjGEFsqC(>z+L$g&fs?!1N0VG$>jaq zkh+ALY+{fJ_+9cvzXQgZm+{DnJu%}Y?Y>H2zliM@5_#OLl9$1Hrmtpf^!s8uedQwZ zZACgCm^N#3JiMA>N>r?XNVii?+6H{JMv_GM!2ed1iSgF-^8yC1ZS?z=u>edn_xt`8 zm0$6gNWJUAtPovg53TzA0aW+J-B$yNkYh5@*_L*$R&Ur5wzf7X>eCoaIuC9)QCk_U zcgHf6bwpucBT!Z~=v6|#@J8~`gmaTCZ{q(KF0CbMR_t|ke2lTpo+354g-`W5RD*){ zm2ZcLQ;;cB4FA&jM2@X%tT2cWZNuhjI`7g((1e`;&2^^a&}KepD@MO{CuQP8V+pL# z0#OwDn#bx}+UNKPL2=|MPj~1EiMWo66tjjvg!BJz9Y5LQ?N~pt#6=}Ni}#kw`ePpy z)G%ehZZpiv0u;#AiLrdK6XerX2;6M?h{cTV-Q(bQU2qA9970IW*|Tb8s-Cff@k+s8 zQ`C#(4e+0E_YiT#&lS*+Pzn(-_nRz#ZqXh@1Ds9|HaCZRgPOks%+?v?tu4`97L2o! zhI6zJL?ar3hTH_}=&|@Zt_#Y~f-v_HqX<=Y zs`n%Nyj|aa16>U>-B0N8I`Com5IQfG(?Cp^>*y9t?VM^*as%n@yyFV-ISG%Dt>AF= z{vp^LftA=&Q_-c})2}j#2*JmXwq5ILH_F&yO=M5DFxpOi`|=%N97PQcvh4gRw#QGm za+ExH!~5pWK=z4;h|OwStE|wzi-NSzxV4V6^xIZ(bl6C6Ig#uW76M608E=?xWjw~! z>}3d%=juWC@MbY%12PwB(CAkCtqL6vjJOWTw)OMW2u;BO0BeG---mr;NyqN2SF0RX z7((~GT&_ckyn`drXu6ZX(iV!^wFNOT1SWAb4eTqI-yD08$)ewA1j%Uut!OYGXA2IfI6^OLadKZbH@_+i39xQ5amHy)x&-7f~nUiFmL+2C?LsFA6gO>Y7Qo}9xSSdj64 z{ZAFhgL8{vwIX?WuVK`b?o4@6A`yzrg3MyioOxp{F4@w_yYD(-EazWMRtpKf;6vgg z4R(eaMRoT3hS}X;MW|ucsT{z#9z=L;Vo=YtLI&OY>E`&3pM~McljBHKmdb4oCGxk_ ze2z!g#OTvex+KaML~Womjp7`OqO9X17elQi;i26Iav~)tYN-JB&~j8dm8t3d zFz@55pcIwc*rDrB)v`A)$ME4^xEB?THBo?}ywx;xm1Z9-I?tEym@6za;Tk^rs-@(U z%Iip&4{EL0?{on`y=60{QsO^v%#zs&nDiF9f9V=($77+@C4MO{&4?Vm^tVw74l+9BT@8ZYB#paO{HH?0 z)zT!j7g1kK*0o4@)O(yoWjSgj)^*&0=;!c}(AboiwWO^hK=H50%x9RSD_LLl)O|r)H}Ui{TT} zY@BC$4X?2j)l)W??r+64dJTP;S@-!=+4ANI6p%rI-#ydBC&YV}CXLAmiem{@a4_Yq2e z9D;tA-^81xtM+pX$KLXxC{;JX)TtnJI(-nlc~+=nQfs*NUBgn7eeUxXpv3(Pg9wcA zK)JG=wKVj8>U^2@UAlP0v8^dH>jyO!F9Ksrzh*LF;o%3l-kp^}^Xwk{IlRy;Kg`OT z3@ZrRd z(&jq1q(82B$qJd5 z%a+zIf!niuChkLQ)}8KvRwesq&x~&8BesE;pingsubj697xm|4@4QAf_lA+jn&d#; zQ#3f7p)Wk*u^^a;F)?V@Hz%O)ee2ec=XVb2@Jre|ULAXm4_lgTCBht+fC7dd`KEmp zLE84uydtY6+~bQbLF%}t0NT+!gQod%0I(Y$Y_?s$&S?aL&ku6s?fa63AJdX9QVAE| z<}TKJ()=E=-D9$UY8f0Km@M}}Wzg$GSEYwXKxqVEqqsc4Z=P$E*?;NDcdO={L2}D% zvDx&4Xx+SWeetj@94F-V+vtCfx8Gpf;4z~nnM_|c-F06jI+@F)kBW*EeUO}Nqbhg1 zc^QM@6`d?y`@&J5ud;Y3@_*&Eyt0I&b-km4XDvYL-%oabNOSI!-klZ)qA8@ITc@F4 z33qegc1H}wLsNnnLz$jMMJP>Mf=q^GpyKb=WL!sUa1;E z^Q+P|2o6RpufX+Xp>>saV62PPze777=p) zGTCK-+Cf2KBsJ5T(iDiHPg@r~@-yGkv*=$ed>5nVe@ugEO^U_#ilhz@NSGqcEci}@ zGgdqM@TvH7!;DnKHOFMez}0^AmaYkgdM4!9dX*Un^7M(L^BYMgwa@zX4yY7)o-qFu zRF{z*UDWco(=45a;(}-hE_OKazgeKrwXb#N*nOil`eD?V$D%oHpfY2HF-FeB3Ibed zr|Tm&*Sx3H&}SiArW=mAu4Pki_2+7i(vG!+Up?I!rA^9>WNWK1id~xN8khbncPYIr zHfAsYD3Cu`KV3opI+weHu3DZhPI6SY_svL`)n55rV8ou3Ij9^cb$SsdoOx06mt-y@ z77oVsPYbjOEq_T@6Kb1R|?7@$uk50DZ@=zUbuUc0144596$yj<$V8%dl zSz|8i0Y5*f>+-|r(oA`5p5(0`L}uWUo5@x|AHDQY>jh2-5lW0WJM~MO#>Cul`u;wr z!k_Om#R%|4C13NDdG`B{?QWFkEVss!nJtvh>+49K!^G!3FTZ}6=2dOju?-lsGR9-K zvI6>g_PxJ8Uym!!ubH~G1_-{DvwvvN88MMcFO$^cTxo9YMs2=A)ub(@b6VQ%wwF%( zFkZIrgNn^tl;VPAFwTrKg=99hAL5u%*xifmFF!whJ+L=LnA+k)Uyi1vyWKnv&j=ia z%49C66Vdh?eG%_jFy9I!4|Lp0!B1WnGj#zKr0JMFJtIR$G4jba0^*KW2kAJ&Agc~E zw=vdj-fft!L4={^VU349WtQ~a$S=+qabwgrFA^h~8~7L(6c#Ak?@FCpr4rSv^aj+N zR_sBxTCN7C&^!e+fr0wZ7RvDbE$3ofnqzqhBFbA=nv(kTO=TM+2VwcRoGp9XW*y)T zUgZvA?i?H;vv8{y4y)&7BH}`#o!dmFUCa6YN77liHT}MAcnH!R0uq7@5D=t8LPBCR zqf;72NhA3s1O!KoP+&~DyHk-G-OUJzOe(oj)U#tdG7nV&J#2sbuz{d zVykR0CxHKzIfXzhc8?}x>$n}>z1&UBmS%6UF6>p}Bl10uN`~3YG!dSxfN$j*)9`yI z-Qdt;A5>Xlxj^4|xE>%d2w-PnWyO{0)ktc$>qB}^&8?qQuf6s7B#;RYCKvu|GtY< zZM#2`L6MYXf~HhY5wwriWdGn%V$Z8n6NS8)As`bkaRSqZ#8}wObP}%s{EQ*gBObrD zRB6JGymI*Plx`9I%OIDC-LFxy@0jt=OJ#jf*;^o3dE5D)fh#7*$;(wzWF@fLR~~je zQIjtHJCXR?dN5J2T^sOC$!yJ^@$(QW@xQ<~7e6{-1eyg{=T=i`lig%Rxx`uyE?(?D z#z!yj%<7_WJ+eE>jL*wk?G5NJzthLq{g~v^QrN*ZZxb(Fm4YEhq}WAi_-Q9VzmPBp zu%vTL&Ht7%hIB}uO&!IvmBL3A+kX&utbNc0z|wKjt9bUZKu_tv*a)aDWMqmVH+@h0 z$kYL>D)L9~GtP-5U#~6oLDfRR`z| zYuPjEt1-OHPw6_;Z!Qr9Ie~7G3&l0Hd;9YM3dVSq^y*%mHrraT#I8}3+o@4)5KXuH zA@YZa!3Z%9#!O$kBQQ|B+(&xP54D@)Tf2jSyGYPLz{`6z@rBP+kZJSm8Qa|mUzQS! z4*o;GyXmiJ!%w4czn&sO&S$$nnpZW4Jg)kazjc17(JwD{0K2_{$t$%?D*=y@)R3Qv z_J7)?d65wkqL4uu{|6rk6?L~j9yk=NN!b#as^^wn#XCCs+Va!g%-#+aJ0tg$+)j&S z3eIq$eSf37T()`hvf_Jl8~gIqe_pOHLQ$8WWP2ig)l|0p<$q2?|KhIDA)cepPe#t| zTS4Lzdx3Cx4!0zrrkEX)3qPUs>n#p&u?@VaV{0R^W>0Y*u;K|m2t8LGHrin(Ovk>? zJhfd%Aj#`lQ2@#4Oj0c*5N*-cX!3Z~N;~ifwjVW(dz7b=lh~|z4s4C4xT0clvnknX z8q)G&HY$l&sHI!TL-C<_axEGpC7^iuZ3;e*nOiJ0g=c)Qb9={*f5D5i&p#)3^qSf+ zc5z}^xdUm}pwYf>5gKyawNDcdp8wqF6e^$V=CUlwRJ7ii+>T^E>J~YJA?&ygv-lSd$-^KdZzx?1iFYO00V-shT@plVT!yY*JthlAOI)`7*PfSsSammd0@6t4DUkr7v7+8PpNWgdl zZ$Z^v@6d7%;?(#Kk$#5KXgv|)eS{j`FgpKco|0LQXpeP=zGm!{w?X4>aWrwuYZYB5 z;izCny?(otE^*tVr&=XCx|PM!Znu^ULkt+qha{Kf7kpp10Z29tC~x2AAX&qCCVGi~|{MKrhL`O4_aoPH`%Fa}H1cY)aOz*K#y_o(#! zmVE}b4RyL=*MQ@@F;_2)ol4t2lk(SASbyTVRYzv_nv0LAj|raNc}Tzu3%g;=z_IOf z!_VVEj8j9xx+If)eJ;HA!mA-kd9DzNVA5UPI@Z{^XIZRth9lVi7}2P-RKH2SA(&+R zDA0+WX}gLV;eb<$=rsf+2Ed3pEjdsz2LjP!-&~y5`>-_hC8;MWy&mT|#>*->)RYGjFdsZJhcZ1~SS90c5`wg7UAL0ZRsoDox?D$ucJOV_!2opd zD)^!PhONNf*3K81Dg%=XmN|ehx-MlPu0*&nq~a6vd#=a>%d0=SJ`9`#*qr6#HecoC zw;aIQGi~;Rzkx=y40AH3ZES9}a>=v)bF@_z5hW7<{i1Z&)0lV8>O0j6idEtBekj-U zPu?v65kit}KV$;E+8LK;Hu5iBo!I@7&O2J|J&IWnkTEL@1g0|w0mR4ldH0LqKZPmI zgPaFa(@D)uZ-gq5=EkWJ1~aIx7q%x` z$NY-)QWlHRv}p5rWq7xE>g5raE646}!kMRqNLgz;Gzq|aP)EC$8Qb~RNNG}J_IsAF`>T){&C#QossoGejyM@@hdt+! zYr^{wXRHh(r6HHB-`9&G8y%7mH}hOw%Tn7-o@p(b$v1!bpKPr7Ce{wV8Kd?UP;e>M zuLZt5ODBRQaoZ|!t6KrQZS^%t3QR(5u><**-=d%j&hqgsKJK2nSx0u8W?Cf>)@jSm zfvrpkV?Nr=DX!{%f$90KEkl;ZFuuEs4AG4NOAm8k=d;z#;yS)}AV-i0dc1$O25<=; zIbWYi;_%PQc=AWwp`3xGJZ)$8Npl>dBr*!cbET~&x8eumwM<#yfU7?hviTi=A|nH( z)wQgMlGb2-@D1is=irjryB18>$S;hkIkieqFf2HE)%9;`{$KBh`r_FtPuq}Wfe&AP zywAw1aZIw0%p_D(up`Q6I!xI!WE{O<<;IM(^z_WtGQ6xDFLSHf^FiggD{q=2AS68o zSi-#!Y)iMv4s27UD*52Z(sC-mjb|=n7la?q^v^%%B&n=QaC7CHOXzVjP3(0tpdXlZF`xHZlhTALhtN@=<9rNm9kojEhCRq^Os;>k{ONJPt&drs?#dgcC% zn~5IG_iKKtgCBXl0=mT~NP#pCySTf*q*@xus*D~#r}|R*pJtLngMQ{>sZ-VAb5X&j zl5uaw^M@PSQOGm(@~i!gF0BbJm7m5)(+JzxzGK?HubOGo>}aAED?~2z9pvngE^VQk ztqNAdm2n%;ZrG?{NE!3lC?d?r;?=un&0P+pav_;HkpyB?+2KCM#hD*Zu(d!y=!!zX z`o~F0`BxuSEtoGgkfM%^mc5c&>{c7od?wh8a@0XQj;9!rkwC<#ww~I{u4SKYC+_HU z!`~^u91KyLwE_>RnAcVOXErUsu&*!!i1d-qU;e)XD_DqbNf~EGQL~vd z;vT-^?PbYWkargV{k+I?c$qU&)HCg+w=xzxY;SHU|Ao$C(ZyzAwBLvHu~J@Bv#sL} z+*B-Q&lIyCasaa;JFl?((Abt|L(xd9;B+Z>dsS&#{oz!8;jC$}Ov7N7@iu=8$M$ny zb>@l3FT|VVZ4Hkh&xL#2YF|ArrBBV;*agwEl3T%~O3f7Vy>4BWz=#dP&wfd7TR2PC<^210J{e zB31bjaQO4Tvhx<2>YkP1pT>QtUWl?virknow6rYQJy3@utEVU>;ADDlsK9K!rysEfuyK zu}iwKqV%2Z3A-_}j7hbOU+zGad8PjjwoM^wmsSbG=u%MF=lsXF)qiG zv&(guqQ7N2ql?~N_;d)yey|~^J^U8(@EG$Y2z4p+U?#XQeHI4{_)f5ljL>yU^p$&{ z2mj5jb72e0`nkdLXCIl4*f<%j;Z8ndEwk?8_Alq!Lf65*P*d#y&ow%>5t^ig<=9e|%o#Pjd%h3o!p zVG{^Gqm_(>YK#msJhY5w-l^j$E!t~TZm_nqy*A~J8q{GMV+csaM^X%-PCet@-%Vlb zt$fvqWa8`XGJS7oVDY6M}ko(^;V(-@UXt)_=}^X^`pc%XFShh;@E=!gq(+8GjD^Pr?)S{lWB0 zj;bo5S3bLsW~=1*tCj9svCcw$Itl)}o0-az%r-q`d+V6!pVD&0dF^+-Xwa0x_gxn6 zO)Hfc`G09;Qo}WwoL%=q7C*q&@-wdldI~Y0a`V}y7-%i?Q@Nr|5=J(&I8K{*zr3}a zVtyy}p{aoFfHAe5fITEy)<_WQ;kjuDNFvGFFNQA%)zS%-m0hRe`lXVA8c$sWA&%czeZrWdDaKa&i|-KO@>ah z5J~SEL+(I)0NP=0C?i`2g#T%=;7zW~Y4ZB_#=Rx~pg{pQ<*&az{&alB5$58WYw9nU zr|1hiuh_G`*gK)bm6`Ye=ysZ(c~E%&SEidBt45^P+f#x1ex8{nA}}^J>M@co(#KH2 z%Q7FZpv~iyJ-y1(N6H$Y-r>LHOdzc--$N)ohF2I*0tKg8x90VjoIsRi$e3BA^XlHR z0*_ifQ;cw00}_PJ!I%67gb}vREs8TYm2*ty>zn?xsE_~*Z9nh8SvXEF_8N0mJg) zDb5BsDjHeS6*Wo1u+ze3YS@)OWD_3yauhT?DeuP3>v(g22vkeO`;)#hAMmk(tY1k9 zXcYiePzPzb~F-3>riNFxlOXEUl8MFImoeD^h zHqBo$)O8oGM^(8>#L2LtLiF(;Ww4W+8iWTkYp$yj-2Zx*ga5?p!5F*zpacEhi#Kd5 zchk75F!bIFsO(%{R2q`9o85gF!Y3X=@{7HQFA5nrWuR*-xpP^O0rmPC`kgq!##i&F zrY}orFt(yDa99v?FZ~RKw6#z5)^oa$n|B}MVRyNk8GIUYrba~H^^S>RDJJoZp@iKi zgi%k4%u^0P7{c^Ea7iDkWaiH4>wcxr)r$ysbK>`gfNo8a)>rW9DZj-@1&DXOo7(j; z)1;6c^w7fG%}yB(ANanoV18e5wM%-=FBISy!uWUu^fSf6cbJH2XKAriOY3D`fJ00= zk`i>{#-l%qW>eKUW`P_?gr9$ADlzktz5n&HAQkDw z=7yL7|H1%ip(&bS{V(@T>)80YKdQ-qchAvQOBvh94vYB<{jM15>s@jerft+K8G_>r zaijNo>G|CD);n`8_~d2GH?zLYVIv{;(gE59k(}e4Q5CxsHId=|%N=%;Trxm3xiFr! z&K5PPxUbC&zoqoEQLY%f&vp``aGCI}eS=8ucHwO*!!EWZsPTZe^zC~}EI4b3Duk3_ zw0sA=UHW=c4G$A#jv31?eL_CnEoSPT?(5o}gOqSFHol&+VlA>s zq`soh@fJ&Z@jhO1fj((gbft%;N%vi{2JzMiH<+-Slb1aTN;9uGE5Sk+Qq(mXMaCXY zA}cF5xm>s^7<5MkYfIb#5OniIJ3M9%ga=`V>~6W#1b-q=9uxH+jT-4Cu%%qUFE~V~ zyXmZ0)i4~rOg;6){FAVw=N%@aTS^B~zlGg@2 z=1C8v^E5n<@UGhjO_Eya4ygXC5s)b2a~livQ=Dl>IiY3lo*ro}WPgw-kjx;-5PiwdX>-kuvR{N07R`vcd% zxloRVtYkHe<%y9&bG7oQ2FDJYz!Wwy3|NbAeDA?}z7mX6#800(G$aYjr2LsJMN2q0 zTe=EX8;{107%@Ng8y>U!{j1Um{(|T0m4E|Fs`th%Mbp2TJvQ9>qW3rD6{ge9?E zl*Q_LYe-i$nWpjH&CRqY8bdX#o^U+>;bU4|OSEDw*S-PK;2hpH%?l8p{8iaOZHK#d z8;pzi<;8Eu_oOa$g_nu-FNJ`lZYQVq+BBaUc@hv!CT(-~&N?Sa)37b3R(CLMG$FI9 zP7%o%etYdX@^v5j)st;vm{J);X_d$tfC&UT;PV?O2K7xcF^(;O5mX{|tlAd|g!Yli zeuq}F&7V|Ssy^KRj#9VG6sr8xB{QMiTB-ak3diN{99yzV8>qU^acf1r=JKl;L1NW# zF;e|oe-$HYEfb^?NdhwZ+VUWW?Lts_eDv32Nn7H{=NvZ+x!H&lpDU|kR|OAbdP>Cn zWp2=SEfYYdvT*#eQF8>PWX0AmZDGclk^WqOt>%nDTF#VqhAmK~#l>=u@TF=R;arO% zSYO=#Gc}7JQyRC?Dw>GBMY1J+qTtm4%FSlSgKLb5h4H+cGh0XyK*ZT44D&Kq>VV@= zu~h<#QEcAoS!q( zp3bh0a((>#V@2Q8OS+cH<|gkIja|U2R%>;(Il?3=Qe9zwY+J!T3X(JHu;)26B9eBR z0SVI}eEF|8#5qkwvA-dM_5-M$*d6u!sBm6b~g=U#^t)ZUpd0U>7V75{8_VNi! z`u%vj)5JEX)^jaE{=T_B%*nUJot(GtfEd}*B~^o_|7kNLoc4FJq>TL+iy9Z9wcRYt zP?OhKoeaZB$L!IBmizl)i*26wPJLzBtOdG3xWzn*IW5O!GKnE6oj)AHM^E;2(&vZ5 zy;fD&!>Y&m3;M9*G`d}RTYK3sOib{jbzo7t=$)B~ zLPLMG$O^s~vaqUdV3V_K6+VE29uI%K zI?0mp#=N&J_1fo{L-ZGKo1sOBG2GW}$<)wN+!M=I@_T))L_62DthB`3%Xx;oBl$-D zht;?lzc;bm&;3)4#W@wMAY56ZQ&B~DRq=@AU-Nax%QWC=`d&v_xNme#F9!?O$sQg$ zxqk5tsuko@0Zv^dc^s-uUGWQYG2v(aEI7Ak(yf0msP(2vr@Zg1*>PYvDH`>A-MvAkE$HY0*lp;5AjtztZPKPZZK*ud03MO? z4e~oQA3f1&Qm=@uGG9GN>Crz<`e99v%)wqhqgr26$M!$gV#a~C=CE$V?Gj6VcXL@3 z%G-;oG{dI&8N6jR{x?(!^RHeCaV9Rj|F4$I8+}pDd*9(p<|@u$PpIrF+w(+jxMMsX zA57`~e9035?kZ9+e69V==hR%P1YT#)Tvyv7a4LGB>d5OS>hs%3@JcIO&G{OuZJQqv z-)1pWy62kWWba|4z>7X}?yXc;s?xU$bbU^IFGk{2k} z4$V5vmy6R2kfSjvMzkZOE~cnkKiLTaz2dVG5fis5+_$-&er|z}T2nOn0I9>f*$K66_XZPZu=Oj4? z>t3(~cWZT9(o<*}t?yXW*Xm%}yVFsr72_hI=B2JZ%l-bzyAc6hW61P@lu9A8;6X@9~eTu^9s1VL!n6<9c5GB zuvI4T@I|eq{1>v|)=?|D4tT7V3fQJW)$KBSfj9Hhk0joMyq}{M(mQofiJr14K}789 zgwN-Ur1^jA%O=*)d-Q8z)b2oLkLJq68%Q2Ie~?!Kk>(Zt8Ts7yaJKpNbj;BDM#fU+ zE|0nlZ@B@a^knH0BV&FyT?HPfU}9qZMkGE6st-gzDsghI-VQR~G-&b+d&bMQUp)jN zJ=3uIv%cRUd-PTRbE1G;GT>djr5+^nP5(p&n_Kg)r$GR(I^*Dm2 zYTb=yX8@ni!w&{E;F$sCG%R~88mFhVKuN%@( zqWoNr*Y7MI0XoIBcg&;68~@UwigQXTUetERgR_$mvTxJwAVnx}k8XRa_WiWKF)P#TR(gO$2gAxGkyswg0x(PgTaT(j8uwW&uh_WC*D)OGD3z@Mohc zhc8?`>QPhUMEI;O5|%HY<>v<7dufXh4o&pokR}zyE6;_Le#~7QzMIDuC-sif#0}dW zYN(mdCymAe~$i# z<(Lu=b97Xu+@r~On80J_mik4G)KGu<{eqaHPhw<92R15Qexpu>D28buR=XaHKkdL& z_GC!+&554d*+Z!AlM^mEyp-I{#X^6yB8b3W0?F31Qh*MnJ_#R(NM9X86Vzl_Q-!G* z!gIe{CN2m5fs?a`LM!p%cui|#U@R@lJ;C+ z6Ew&r&5W75;U9s8QlAiZ`~;Br4Z#^KxlV?qOt$8?NZuNqCmeM`=M^r90jogD7UkP zI;DUM0@VKHiM}H5XW~+t{R!z%)ZsaG5idu~fzKj5{eq2uM?7=(@S1Yu(cN6!#?OLe zje62AgiU+85mvbQB?R_wQSMO)O=$F=x2y=oArUC`L^sNknE-XE>*kC7PZTxmMZ)1X zGBSJ&6##jg!7r*iWNMb7E$HIdcj$-=EO{AL&K_A&tF|HltT0Qz1f>!`&QPnSva=D3 zO}C!BP_|=f2k%rcC@?FYh$ObJi*(WPt>(3+FV(s^dW7#?`z^`4m7FTLwC5g48dIWe z`>q(6xV>3kJX12t%PRT~j6Z1lE;(psk#9SI+Eh<4rma!kYl+*LyCE%eE78=R(Pg4b z2JM!$dnEWgZgwl}(}$ecNhy~+41f^*ZK%}{5kpW;#GAQgxb26D_SIvMbUS+Fcej{r zg`|Ys7-jd{Qs#RLOlEe-bWh?c9@np`II`}bE*AECdkIVVhx1aSG@ID-lhxc5CEGpo z;r`%@N|^leQW}ShY&<^m)_i#2#fU=llV+~FrS|=3Grm=y&GX8xd%BiHSh-u%PY)L3 z?VZZcNaRo=BV{MH#JtE|e7!8en~bANFkf6RzZP<*?uCUiF{r^tcXcYS!~A_sLd_QK zOJ!=u1L~Oc3Dxd6P*VK#f?(S@FA!*)UOWeTrSv7M$wI5*(mV7c?AprfWNmbkcN4%F zqY4JG9ORV3{9=!WDQAs-qWVysL1bNK9(MDQN@%N`96kWj#0~j%sDgkhZhxE-VW7O? zLiw2tq>Z>a;Hk*~XlJIC^@J)n;AOf0l+ClYFnh*A7!WE>mAuf`xa2Fz6rmyF2W=&u zV_pk+p)CR<)U3*XW{!TNB9g<+?Hhg-`S42`cZLu#@G1Wy*D0?gLPo46`%U2RJ&n#hCwLX}Tl zd5_IYHytI3d-}$1-f&mJtiS4m!#_WrvXXbp97wQ7tm3dVZ;AW|uQ~d!jlnYi)Ur-J z`+@t};e!J`IRp)%WF(PA+%8#UK0=sy^|>jLP{O6GVI#jhyd-0&noyX~FHJMe+ENMI z82dg@icT-PraxS3F-SOjn@6dm6UcGj3>L0z9zR9kM^6^P?Gs+*+F51NYSbVsgUAsy zne0Q^65VH*R$THUamOqx&p+jBK0yC{e%~SSV4=;bIPvYy(=J~?MyED5>YO3i|FoS@ zoD^cOE08dj&DPkETOK@paNkiB7|Z5Q0-92Hm1YKyvxuLkYHiBPtuKSpSR7~xX=#Q0 zdjJ2rE@Q|VSsu)f zs^&EbKxpPNT$HY2P3@{F*i8(p(voH=Q!Rhx1Cexi9X?@udZ3F|BkuQ#kBcE@<^>X*h~%mB0n<~y)11=gdJoGbamVg1K62$J4deMP?3dY; z@tzJ2Pp3CZ2FL8w`r+hfu3Z+Ke%34@wrwbI3&Ihmk1Q(P^MJeLl=yx7SSuK<&aCxu zlliT^|@XLstFRCSV(ILD>A0G0tmNBOho(#nfk0$IR_pE2Pyx#Qh4*o2)#~ zxi^+=LjGlr?=e^9QNZ%=Ku2R*%Y*CD?~2tiT+YMmOJ$3BW&2C7+;g_yked>0iT)@K z7(w??G=neZTdJ(B*ZLkzy8gjDNNpt?{FnK1eSO1hb;Ii5wL96KRX@A%zwAFE`xfWs zk7+G1{=NxsQ|~}-de3*9m-MCRfUQ+0YpPAPkE{joi*UKdKUKGNvs&eQ0^qx*e_4wP zDv4ts?nCe%XQxVUs(6Qq!%i|&jh@R_FRZQeJHPWw>eWAIdrte zxOQfrb4$`Ob3wJ!c$ExS-Xw=UagK9jq(%@PIYya2Ov^D)tFbz$X;G@STv2g2`v_(a z8pm7PpYS|L5FGY%@qRB_!NtX|UQoS(5QSyGSiTAp9^}2sk=T}mWDgNl?%d3G#+A9h zcFS67BmQnwMc`3?oZ|@@4zhd%>{=-ZwLHbCcn%&U8?S!!GDU4yNBbAZZHiqt3OqOv zn~SnKw!X>jF{kWu@}yl^$0!$aV@-Ln4r?hx`L|X#gGVNvioz=%Ykc@#>YracOoBp> zj86-mK2-hQ*3sP2PP04^M3^8v8IGs0F#5{;U=i_jaku1)eNEm57Ri%&-TJV}>3hKY z9k@CoZ6EaxD2$v9g>z;zGyi7%#SXi!?B*1%|JrVMk73lJJY#Cz0 zD$4pZys!Rka<1#238u4@Cb*}z$6ngbH{aah7&K(f{JMWy9bAuov6{sPfu@}!mkg^X zT=6a6Wf6O3+Q*7SABCoEGC(?L#Ga)4Z8#x~y*$^Ku?U8Sy0lu~@x@dQ3rUOzhki!MX;gP&969XSyC``n$zPmWENM<@pDW)+pG zG($JXTLk_2CTvTM*qs@I!GHPRq1=PB<3AFLN+Q`QV1%Waqb)P_D9$(?AqtskTMb8; zuvq$P$=tEY*~NQh>?X{<%LgH^Ln%bl#pMiKHs_b;FNUWpZhPJxn*ZncMidP*#=UOw z^Rs6AW%B$B)jQfDnEhYOZ_anpeJ1|gIy2`FC6dNmxW3dgNM#leK6~etNly1l@|w{r zq<+w7-t)1jn6flw@bPcsp#xI~D6Fu!@|<`H|Ca-~o}m_N;f4&?OIire^M-4;jM@%O z9W9l+1%G=Z=|?}Fl|Y_;3~+j#O>D1-?EoslA#DD%L9~$WZ%RAzu~5|E2qDuH!4Gr~ zY_t_)^R^%f0lE2|UeC#C~ zT=?(Iny?nQT9*jcWhm))aDnXKtrVF^f90(eV5*R+`0n4H)+LvVab!TtSFGLQp=(|w zPTU`=Y@{70xdS;G%}Ql6^*V8#0)GH;o?2C@C(IGA(KTRoM{QC^n$7Zp_On*0g1e>R zb8odB!xQT=Ae1q5Uo~&el0C-MRJn00^k-U*NqDVw-_{u+-=Ot@?kcr3v|xUVZiv5} zR+S1QM!AbqxYXe;?o2-Rf>89esx4xGXR4^Zl?y8?GI3(xxszfYxI9dcgN46dPDpvP zdDHtCctT}8E6A%I`Xx1-S4Ff);{&f7@eXv1uK3qgGfmx}@$$lrVNa>?oB1$e2(bxj z9l>Ax$BkXV^`6#6GLHhQYx;eLd_xSR-N*Ta%I;aqqLX~PAiM@mLR z$m(aKbTy7uq(I1>a)Fn{f7cMd9;#4fP!@5qMRb%l3OsRMpJTeHG-#R*rFs60i4`T5 z=#ud@nK=W8D628dEF8)KiaxkakON9L_)zCbFQ4Jrg@j16NssQuwZA>_$m@NbY)3WD z;E`VDvhm$%8NtLUvFNX66+^S3{{nb(NPk8L#&pThUz(_xYzz$d`iHSz$-{KX@K9y7 zZd}rzquqgc;~Xw-?>dOw1$l1pWLXrC09h+LyDr|HqM}6`>?`UN7vv&A7j4e?8oUJx znax}*e_lGoOoZ2o1JP+%YZ36mFQ+8*o5R1kp^9T~eh7nZa$YP7ZRGzlM(?-Zc5@PTk3yw5LRc4+t*+8n5&$K^!T`u?f8l-mj!BE;o60f> z+sNk&!)yCZRpw@J7Ae*x_sJrgck;$6TnPBM_)#C3|7pI0y=YypGezcG7$WaQzVny1YDO}|GxMzeC zz37MIkQb$LpDpsxks-z#i&_SN>thi~YJ zxcXo@TgBFLTx?*nr;KHGT`39CQD-S#7(Y$3OtN_#>%xoJ zd?4mAS1uWA^Z8<%5kxJ+7MgQr^g-~0Lr)J84{`4h)fYoOR!6^U{i2C3y!_O7UINy$ z=TPJkjv1c|vryvX-?xv5Th`qcfnzhR&CWlmY>F@Bwiy8D!F-mhaW7}6ePMzbP5P8J zUd}mP=24J;hh#8!Bo2=25fF`=+=$1Mx?TjD_1@ya?1Dv@td^!}5oLN0*{MGp2XT;*~!P|1;gf)rYRij55Wx_n;1 znz1x-dS;VIRpj_gLBfevl_^ZxC$d>=GyX3KA)ON)Xk*F3*=i~q0mGF0Zc(xG`uLflV_qy6&G(tq4tZ@)QO z(yC3XwHtD7rE4rsk-x_|I~uc2p;+ey`xNbGyHv}E2pV8x?g@_Jy~1q zzCu;7wM~bN2*MjQZ%NiO+5Ak#rw@c5AO9VbG7=x4}|R&BkbA zwB(Pl)=hf}y}a|`u=B2!7}NPC`?{wy#lBCtuwHNO758*n=0@WZsF-b@U^^NAcfOyH z)m(P{ZM1hxiN*M(8B-LTb9E-QehcIyNY2E43B=ilm?d+`PCQ%nKJpFg8$iIgvd3)e z3H+IFH#KLeOlC|v#ZPOo4YP>#&qw{^VSG0Ml~)f7agJ}1N%`q;s*qZvt?{GhH?t&; zB(z7pXrdS+=h-pY;D%=Xihhk40ds*e`?+br#sbG!Vwx*WoZ!^$tTfhydDTkK+p~kh za%os81u{znxn|gW_53Yv<%E@BfWYnP%n24H&7Ozd)C6CNe z?n#pBZ4hv_BeVf68X#ZqR#4yEXwH6ozf(sN$f{BJEz(?5iTf$PB?fAq(%h{mA={52%mB&o!E2VIl zD8#MxOh{Dm@HA%+VKTk$SzyDaU{)L~e%%1pq{Md=o`CJImR&W^F{A-!U$9PcQIGM- z+a-f0HH3v0+b&IWZGWipR>Rkwjj9pfr(6%-I|Rvh>!kA#_EL#&)Q%)rwMn+o|HY-dwvFWT-zM=X>0nT7xe7W@N&0go1xNUC5pP zzZdHN03o*X%RO@7Ch-HMPNL2_LvzZ#_Yw&As>QTMMVhU9vVIl@;D_NEl2?;q7h#`$ z2jhZAN*MXvF%_Dp%1mnS%h$U`+Z{cJq^yK|7Mt?hX3H2u!bXQ@Uz~irH{Np={v>QQ zzb6HV={}-bfn5ca@@Zv9r$$yBJf}l_QZYSkUXKVgvHblf`%&Ns$h!NY))epw=WgsG za%I;f8?(NTlrt>jw=qw-A^NVyATDzQQZ!?@`5!UwRs1W6I-fN(k;p8Rc^ zC;A2P6R3_;18WEYV#2YqmJ2SaJ{o!B_h%pS%GSa|9agST2MfBÒuI#(K6V72`( z$)_{!gCF?BqtLl`WQ;cad+CCV>=BHmtpXT*9bM|EK;n<))HxIW8PnoNCC)W<{+o{~ za`NG1#+S42;AL8wVDogdN;m4zaxF+^dE)^0Ab%eh_+lz?3Z*F2Ha35rKEi{f)mwi! zXX2t$r&f3SyS~BB8;>Q~?GG6&m}TlJ?s{ntzwndZSP!2P+IGRq=<{ssKw2!jffKXC zMs}&?pNWTA8~ubCC9X;0037%zAVFb})LfmDCVwmvgLuBsVV6iDJDxr+HXIeV5iIX# zg|Im?^=o=r>pW0M7Mqu^$wt5nobKj`VG-?KCL zZ00N)LQO(b0s{X7o{4`TL7u^({}O1yB9R4|?e@=ehE26P7z&x-tdeRZ67l`?fkQWv zIs(;DQE&_awIWV}AhIZ_rc;4l<|K5w_2B}B2Kj*nQdfvy}3a6%NGpb=|L7gvgTt@`iR7X%%h>a9ze~InLY*sf3-Ko&#`TDv>E~y z)MkvlC^3Y-YNmo`-TMbk2T!`O`>>c zng!a%))50H{SZ)6&W32O8g-LzkYR?`+4*g@R zCMw~6@OPm;w-tT2knwVy{P%!Y2;QM_Yps>v@D3}s3EQ(OPmyM9WecYs*dkSxQAxq+ z2)zn;Kb|bJG!Fd}IOMiB{%#yzO*M*#Ez3Ha4yRt`gsJuB$E>m4Cwh`}wj23o=uPzw zyNe6PWCc?qsh{x;M4eUwoqTDDmyZg_Nmdd0%lyX?mT(CQBL$ll$UFY7l1W5rx0|)tf@wW%HCBh0jl*iLS|7;eReBMcQhNvR2xJ)n0#e zz#jiLpb*Cdw#Fo6$q?^fZp~Nu^ipKXCou5y@p@%Z0`$mP?5-T^3q$119F0#VQCZDP zwyl}X&()b;k^@8==tmZIf*p{<@3O`%`5V6+n2kH}(hgo^nr1PAB7N1BDZmStv{{{L z3C!lHbg98RDSgGaN)*kNdEL?KdKR#nxK-f9oI|g^5s0Sh5kJ9TXg1RqyECh;3^rEl zzh$oaq^kL;r1l4ThvtO6&lD=|VH}H`RSOg zO8)gTWfDK0?pg+f4&53eVukQ{~GBuVkw`RxnDvV2J^Db4c9Il641VO~xATjkEd43H@C>F4J zOnls!AJRwsOk)DAJ8*VFKXgqn4I*|{Ub-vG;iJ~$SboLb-E+eNuj6P+-zPp9rrjz! z_!Q{*pa}^KekvuOwc*S?Q)a9e?`K(TsoL%5kK3Ola{^d^9sKa*4r;wY!;Il8I3)3B z&D1G-Y&ZAT>Hy0t*k#n-H_ua`5_IYZeFkFpE?NokUZLwXX=f}edndr}= zcpzL3O#C{&5_lXA;HRfgx+$*3kEN7L?C~-`>%Dj?OU}sk!h%qbm*ZkzQ*Qc~nXC8@ zJ#D(R8Xzd*%=7Kr=sdAG{#;g6yOS6v=S{M+k& z-1+nzI9rTd+icUG53DBJYy57e(1Gfl{D)YIMN~UWvPK>EK7j9@l_%S`E5oA4m8LjY zA4d2pc(8u5u&UK|8*t~mo{vf%Do)wO2U4CXVm68wA+#h?cxagtCnvlvkz-Yp{Elh? zAeUNz1?o1hG*erB;QmtiYU6| zm5>bEQ0z)pv^@1evl^6x7c3?)K^;JvW#iiq2WEnsUj@|H@i5Hc2y>mxpuC}M zU?54v9f$)kmGBu7{k)`yx#w#sZHj)%*3-tZ!5EnZBL91zW|SL3Y?zKBzA+4}VB+v9 z_kkjg#DQlbcPlLii?84ET(3xEq_4vk!gC9U$TxWHd|fjCTJb5c1E4znFNr5`%kx?h$6EpeU;xZ_3+)3M zUqWGEzkN+S83%-@51OZYUqDt~cfx=(2_f!5%j5#~({{r2=&={3BMh~}*3}BuVw$&* zMoFTTAW=o85&BtE7M&y7*Ve_+c;EdNa~d_6!g}yV42|{}OJW`F7MCN@(w=)waNL*0 zv_g>vE+6ZDiWIlAl2F+|*=KenUV(8e8L8;m(r7)wGpaJ)WOth-iieNh9Cmn%8rkmR z4ihyVuX_`25sD!%CHSBF-9M51(M%_rTEirRDT~pLHrs_Kce9=kx)xpaEdp!Nr41GK zUdal1(U9#=0w)~ECte^cQ!_;Tt{=U_TOpfMO|viba; zmlE#YI(bTRJCF?XbFVOfk@)PbuJzdk!ZISx=fn@gRb67gVHkO%DP?Zve6R_+LYg?h z+rZhachpZ~HBLoYmZs54EzT!-cG7#Av~==wqkDhwtAY(KU{sJGwR}kP2Z-o#PE*nA zv@_{mvcro?Xy+VO(mcu6)R&Nmh)tBQ?SVI1uFs7rVX|dj zYXj-%j8zmeW7i9Gu7^@@3tyY!m4OP&&T3^=9Z@g>I8sKU_}x0a+4nK^$D9$qM#o1_ zQ0XDABQGUyp8gULTNSa7#JM|2K(V7Y6UMbQ&XKl~+htLjbg(n60t-L_HG5Pu*nKs@ z)yX*g^|H)zV7381(n7{o{DaUv>icBzK$1gp7=|yC4%-)SV`$rYt2*qDrziu0?<^vV z7pv?uT3Ed}IyT+?Cpme`599}3TC?%KQT=E7MQR;uo^7 zFfvNzfo6Ot*|bbH$c%G(_MdC1F2er3fcu&y1#C+;4Y@sVEbXMK9+uHEL4!S z$|T5{7K?eMpzjlNI{y=zSN+io$PZj;c^cGtIzIgHvBc6|9M<(BR)sy+^B&8lg5`T# z^Ai1Hq5FQ2vWA{&QHsDtf%noE>twDumg zcWr_wYDMk6e`?fTL9A+xs#1d3L{KyK-jo&*dvB^@&)TKyx&P0*yvlLpK5||6cbwy!N}_uaOU<>KgO6q>hL1<}^p%%U3m<`;~=QzU#!i z^Xj&Lco|L`(W{}Cm36Bxd3%(+`qjRR^uG-VjnDSCn`Yahm#k^!wr27O3ua)1l4cOJ z(s+bcHyH|>H4MI2Cr#*iU$FqQeX#g-_VSO5RvcerTuu6nUvQ)&svXm9IQiP+PkxwU z=8sJ37-r16k^HU{4^xTMz82am#6 zFOsxzZbyN3Lt}08(^y)@7yPe(K z=WQv4Lj(jnTTWMHx+F`Cwu^aF?*%#;Za6FTJjiLro${fL9754excHvI-lZ=?mL*uK z+W&?df0lWFouio!Z23Cau992;0PTu-j&}0mt877@RQfOVATl}Y*Yx=SiEmG&;G()X zgh8X=B{czvEnR;I*^Bpua@@i(XLrYZ_T*rAe%+~R-Jx~(!GvA9^QrDYyb7V3MAQSr zC`bhi+N#n#MVI3oHSm&ARr5(K=;jK2nfpP2b_AIm@ns;2I`x@3P2khrtygd7NCijxsbIK~R|m!IM#yIcO? zBB$%3--apNN$H1i4iGvL6{pA_E1Eh}98q?-REs(@94Oe(!c;lRYEx3rT4IUr@!H-VLYGDwEH?PgETbBM>||;)2RL5{6xCWZSqFs0o1)il_sNi z0vgH`C+l>VcjKYbCpKIJx-7luBuU*jx=Phyo^>@SE12+c534<+kX)s-l3k_hoJ}Og zzq?Uu^K?#-^O`7er&2`+BC(NThm&etz938}O; zcBh-5H&#xizzbw@S9ydgjNQu2o|!ogV+AY)4OeF#%W+)1w`*6NDOL!!jU^GmFjRQY z`#5ARPK=8G+(Ax7$x=w@8v7;Y96VAb(LspM?nrumq&Kh(cJXn zQCuGV!wXLGBrR^56xQ5Er^Jz@L>oZpL4))te~k)N^TmJDLy4i3UvMRaqFQn|RM$c} zE9-)B`vI@tq?1bt<83`$DP8=hxmb>)g}4z7+0{<;mtBN9WvJ|qR10&PIOh9=c8I~Z z0RW6AyL3SIZ)Sj-@&^9*_E>98EaW)f>fAc@bu8=?l^jVOkbm15iHiuGObrE(*bZ;o zbQ1B&{XWpjijbVSqQQ-gco$sr_WCDm*Y|<_v;gsyPk0Sb`RP0TlxkyZ+n`zx&}K za2v?huM^qcH+&JF@|>+({)dM-O;MZD?!UP~RhGyhf&{~x%+j|dA#^(swg~n#%7d_J zxWzqv_H-B5i?tJlMKc2>&ojf;vB=Ko+c{OBp~^@yTx3?^xC<;3#bZ2BaLDaxU4a?? zo5whh++fhBy1Rrm&}Z6bOuDv8m`^nzZYM*GG-us`f2uWTe@|a?b@w$ORKSv*5ptAOp~vA}d#m zoR`AZz7oXrd?jiGYFZ?|e0Ageo%#l?e*Lr{UuD2U`u5$Nz5BGXu$ zoc!bOQRx?gE5}kpTVN+Ks*myXPII|dnnf?7U>nqM*N%)@`!?SSm0!gU$rN(-6v}K_ z6=@*cD^_n^!l%Bfa0pAn6)kmToc1v64Xb|1gW@AV?AC@w+Mh+d`IMIoHDRf3)xHQp zqH-h~ZTrczHu7KR=yc%8vJf2Rv=lkfH8ANhyv({l!kZO8Xibo(42I4wwT`~emd}@& zQ_Fv1c)p9AbJiCY#Uam-lc}A`K(*IZ+1&7Geb0Sai_9~Drk-QB6jVc+;XZU6mSFV2 znUN!aVavFVfJ*t9EXO&2^QF^%-8|{&11#%(G(Nuy-UggF)Kuk9hHRKc%Q;WKgX~gU z1iljLeWP=0urnS@EeH>GE!B?b9?bqXKV{shU`>vK3eF6X3dzhwA|s=O;-8wpy0KHS z09lf7H&s9GODp#V02JtMq0P$Vr!>t(Z}~5$WR4{uWwzw5t8RTf5XbG7xD`rhb*rSi9Ie@miU=hjF>P{DTaRf!9(O;ItZRpBWte^Wy%8a4 zqbp?V9VA;4tC#}u1qrZ0p&@117z(5WDgj6~5K-VB@Ze}_bz9YZ|KtI*|Lo^X8A2^Z zmdY^Kl@Il%*#^7UKO?L*<|Th?Uw-h@alUnTo_xDWMySComq4^XnWS1L%>^wn2ON@v zQ(-pxfYua>`P&wjc;}XaNn&_#A0JoF#d^K%)KbwbYWi@*%n(r}pL%C{D6REq#W9OG z@rMD5nX|g`HP$(7MDFB5r1IrQ`x^hB^A026YAnU7!1zWRCe*AYhOe;F4?Pm@(|B6I z+%gwtaU*!^#BVQAB_3@&oEAvsjRCZ$PMuk{SW=g*+r|WZTk-Z(>nWnLWm6`~u2TY* zum$D@NKu3P(2mvo=4_`oN5*#uAa<~jHcdsFV6{xVwjS zxl8-SE`bQ$5@YTT_EZhw3N!<=*Lh0M>OqZ}p7RTMEjAl5;EI9KBxf=YXJK}yzHih} zQ_T`+u@{%%g>}3~%r7NmHOn(!qTPj)Ux5YHRd-ssMjxppshL|9-|Ecx88){HS=+n_ zYOVvbHnJn z(_J1+nbbEb$S$)* zblPy8U%Eav(8D1s+PeIxUSAu38@6QIF&X2^XKp9RrxsKpts-bY;;e>OU1<_KU@& zsD2dvO!FHQOdWCZ6sfw&wJ>aLl;s(hE8+j9rctR{+?puTiDm6GD)O<@Dg_^oltqU= zE_M{(_BGGR8eFCkS<7vLX}s1H+*DUrOj+T~uT&3NVXzc*#SnkTSlCd3f~s+W`fm*yvn3IYy70WZtdNNo30T>h*s~q<-t(I z+k~n6$J(#!Vb*ne%V|}Y<(I$G+upBc)3}HXO*GrVU(uZl?^28nVYJgwN8aWDb@~Wi z@nc0ANig!R=e);m+E+Zs!cM9&14b~G74hhG*n+HJ!)N1`HA_Nb=@^_uy%}hkf;c>U zud_3rR$Zr|ZO{U$)YQDwwpL@9$lVQlOdelE(bqYfyliE?GOBpCliF4jns24s{E7Iu z-PUVen&;d!|6$6FX0^`3^`ZB?^~WOFVbWct%fB+m1<$sD3D*A8%%ef77%OnWkG9_x z?QNq*bhzL?Y>jL2X0F09%si{V&Vh9xm&98<$-V7KtnLg7#3d4ZWbOO9q6T1InjF*d zF1IJPnE%1Wky7@J3+{D=ymZEQ)a^|tr~wOELM){xz1&`=dSyxwvUhGh^_-#A*|q2L zWnV{UB!=7rN(Geajzs@fbSw7_+DXUiX->P`|Eqep-v)~A76zm;^sc_MmoQFAL8aYt zvA>e^+wtCt*Be{371ea!YBGKStul=qoceaCmXPm6S#g0pnczh_tgd(1FgrGFyufWQ z<72(&^nP$PqS`lO-UOBQ3AF&XSDA-XDQu;c0F7zZKy$99j!(Cb2Qz?$gal-^uD~=` zvz`rnno65h%cJ*>d%4&L)c)b6SuL6wa>`77i!bDUD_}Z7cyc->YG=_B*H~|e^L=Bs zEZ#@Uhx)z(+PK0<7qbi5IqyvXp#*;?UzxC+i>I#83by-WIZ#(JW;&;7o^h;-U1DoT z>M{nM_Ycs@^RNRs~Bwie;U zEkH9J|IJmp;Ja?YdTqN&q^)$gjy)f*4UG%&3$^-BP49EEZd-G2Q1^|Ajmom--EPgb zl}K0&LPzpeFJHzx$Vj3T2ux+#J!3uSI5m=^LW6MC!nve*$o%m-LqtaIgKkei{5JS9 z>pB`0=4T+4Q1i6{>B!=BRYDsW)I6a&h9K-)4`qL@P3`OHqJ)bdw^BgNXb%8sh=)<( z*uSbpl2Q_HD;dC0JfPOt4vtWn@$Cy8{c++#a zN{s);lcMd^Qn0I@?MpI}td&paa4W+E<25pghUZ;qGkB5UnaFGj zxS@f3cE1F%3Gkl-+5O&qJJpl*vhX7gn_9atGGo}W1H~f-;y7(9DWgViYmRJRyCn1Lb9`8$H91q+i+DKq!a_eR+II(jL zKxPwO{+FyEstn#Ia6an<(SGJM_tZP4io|f{Hf6Aop?VEz1Crf*oo`z%36MsAc813N z;WH^YL96zDS7XMlUe9MG zUXX=dXi#W9v&k`vZ~3&UT)wJ!RlOUGA0Z0@>${(A(|jt1{}ELiVqkyM zUTi{IOrb7hd-%t~Ytbz-J#J_NMI`R@)f2=wjdUs!2@)z4oz&t8vo~(+8v=S}v&|A_ zsxH9nFiuIQ8nSX#sAc%u^71(T?AEq=@e;6Z)+wA#%p-XT?Qx((E6g|jNiXn7#sQz4 z2HC_U5KcZgv?FU<%;uVA>0n8>&Vow)bEy#>SRcXNC= z2*`-q-baCjMvZRt)`&EYmU=;(nQt@+60R$>_hsm2{XmLmsI>B*TswUv1zOW-a~aVFKSmmsbz6H5+o293B0oXuFpa}Yfj*ok)mK5X+hqF@Qcza zaq@YZnlc+Y`&)Fa&s(D~x&GJJ=t#3!MokVfHexp+yRm4_6sL8G74rh-j+s`iwl#*q zkP%-Gt%FoYSpC1*)f|4iCGpN6`=SC`J&OCRdLd&W^^Hb53f2c!36aUcWFZ5*Vn^*0 zJwh6{O{&(3>_QS5yGnV27QC)oiciR7wqtds=D&s}xn_oJ+4FOdTAaveJ-lb)AJN66 zyLP^41w5m<18F%9q{h7C%Y$Ft;gyoimMMc;Ct(YD_s_fa22asG*n|j25TRpgs`l&N z4YA`srSzcJ%klduLmRZl!{_8mAk~7~`@$gXzIts%X!P^!Y|~i2Hk+CBC2gdAbdK47 zPk`Xr`EOkbT0^V5Xh5nMLbc{Rn-OT!aJCYEk-F{Tp3&#_B*rq;&2BF&r{eT!2KU3( zAkP3^v}I@g9TU@QgVo_^(5s17X?^47_U1NN`i(elhM){*{1g`Y5GonW+e`^TD06LB zB!Sh)cOk;xnYy?A)Juab$EL1>SF>e_VI zH+ML}*98=J$04*|GEo`5g!5g z8^Q)DR-+>)s^z}f|9^bD&ci@n!cLQvjw)rMoX4@xWY-V#$J;QT8s@hS>xn|S-@VNtXBy>^Tpx~bW%zCwDuNNkdfS>td!me3yd^s67;nSCYG``y{ zzHoVL{rT3FR@ug-cH6*u)#e4&TF;L&WFtS%hZ~5kiiK#>OwqYWBbnEFz3&gJD`Ye;vFMxWb9 zzhn?Y$wQ3c`ccnyYwI<_-8#J_A)U00C*r#F`}PdZk$MwGI$FQWdN&oY*fPZqq_$(} zWPKVe$A`+5-CS-X$8>{yJjzxy6-W7A`O+5ck<2th*Vc#DvaQlG>00S-=5^w^nbRdR z{wqJ$Z#Yxbb-m8XtI!S#*uScPyzm-4b$szliH2nB{L?(>BJ zfqeFcKKqo@+Pd8Nsr-oz>*qY1x|zc1<9aV{cx~dxmrCDM4;u+i=1%*A)CBG@>c^Vd*%A9b(b@z0;Z-P$9E^ma=!#Y^M(cwhto%*;oIh5=|-_ZVdw8wY8 zla?9OyE19K<7Vm2=CmBmeP6vDU*5+iko6E0BsFznJJs?Kp3QE>Y?!#nH+tfFU_K=# z-k*@N`$SW&s`VXXP$jUW>26nN1EdOj?6QVct`8XoA>;1+30};MtkJ&ZJ)f<%qu!G4 z1z|Kyk%NdD;KeZYUeb&^cf6OsYJ;^X_1IKMW7=WvQxm$K& z5N8|J)M-Eggmis5*)MAMuy4qB9-kW=97e3-3B-RFeZ;HPD@Rf&Wu3m#_Ch7|{+^k{ zN~X78!lM#%*sZedu*w!wIX5cWYVFCHzMznou&l2Zjg49XS<4QP;}#olvszu)%{ujO zTB}sqkpUMp27kbA73IG(w4y>HXNuXkZU*t z6iQc+iA{Je(Q=L1L$}Z`u_FY)hgAp^~b)d|s2T zKr=UJVWb2Zm*3bR<<9H*VOt?r9&HqgN}pk+Zu|jq-rBlGTb$muUgIUqc&M^YUMFkk z^WU1o!S0{5=+yZ@j_^FCW~9S04D78l(Dh}n;&BAXU4!F$+aOfd)D~3Is3z z>!Apn^`!R?+!M#pQu@5e8*4=~%h3B6=Y%3OxntPg!<|Yhm@YUe`i90;z`87%RNkzUixOqmKU+c!9p0AX zp1OJ}_U*PYck#3>so4SV*=L4hJyBw)JIE${60qxiS&Nem$HAcje@MU+211xLlW9Nj zL(XUX(NP$@Kq(h||9llybN4%oPznl0xCxtlw03_o2#O!QDshM)qx`5zjXS6MIL>QkVbs^GNIJfS>c-TTxz*YF?+U$L+e$4hh z_Ob*I#x8bfW!a1t=(N#cUB|3v1}Q_B-86K$nUfC3@oDlHl>yU~HnwHGGY;5#40ExD zqGks_3TnRHx0BzoLM0wMaLQaM^_s-LV^5P+^#zqZEdFMO*Us%L$H$!$Mx_kwTuY3M z^hOGrIB$_DsT2C{cCm23L0w7@OQrHK;6%=09Fyf);Qso1v3QP?zCDO#Qapn7|Vb+f%4aV!;EkCxRhtml}}L4p-hAwntBLqvZXTQ&z^GiGqPZJA_tl zF@OLqkYf$Iq45UcP@(bXwrjYpppsXFsWS}!xw{Z4E5e&8#yW4?JhHoFIbx>*c;BLsiEBKIesrxWVtK=fI!E-Llu=bv^J&{`^%= zeCz1t)5*%HO88E;u)SuxKFRHzhGD|i9T*?7Rw_*0_0pVeu7oDazk4`=%x}HoxEg=& zk{uxvLHqzGEg|;wS1+;V+faH*?Wg8wa`u0du!)YP6J_FvcDt}Uri&asv!kGp`$&X_ z_+{CtJ!s3NM7Ag5=K0)*Ksm;nVh~mkAB3H7j}Z{CF=Io$J1+yfP3JAcJVsVZeT4!Jh(*r?+~=dF=Fp#Jl5L4gHi3f zeDw?m0urzm6C4<>{vfR5Vfnjbh7G4XqhtPI3uo&BjGh4W77pwdX5OpWAK*lm8fTU( znS&fGWK8@q47K7t>L-aJ$~(KjvvR~b~p#~x-}-89tI-A{N6{+y>)rIXDr zQ7cS(?#^Oj-2Or(c?g(W7Aa(MmHd~*({JD8*~?~wN?Tk1gJ0S#xjw6PeqS=NeHSiR z)!~^8%H#sv9H4fWx_@|*|K{iGTH|;$lf}taDHo(g%gEwhPxm`5wMMLYM4H^Bk8fSg z`du*2TO@PR?y|7lR3?{vRp)x*@XkJ2ptO0Csb#=Y)c->kozOuDdyG{|e|+go| zQ@y+RuiOp}wpF=tBV;Cs7!PQ1ME`Eg%}x1q@Ba#;V@F=P492J?mq^rS?V#(bDZ2r` z8>d9AZ{KP>;w8L(VSGeJGK21&;hY7}pP70GG4*ydWGKwT4eH-E#Bv>KKg~20iTxO7 zLQEpJe`xN|^(sO`BAd_Gc~IAO1|sG>V!bcyq-6YgqR+%V@0erOpy>&huafWad|{;& z_7L{=-{gUF6AJKZf^gwo`67+^gBe1ZAyfil5vUgd?1>>~i2RQ6(v0o-xO{(Nl_c$k zMjNXFSUQ9FEeRuDn5o-X`G2Zo$m$C54@2cM;Tw81In|=+`L;ADl7Z*$wx`r?AAoeg4?m##H*2YaPN&k z#&Ym?2`^0s2a~`W#Cj0DwwF(F*vc`?FYTj1(4&~w%q8dM?H z2QEQ3swEWiq@}#CF{?_P;Z1c)86clt9z0DF+p@3y^=!U9&-`n$4TQ@rQ#Y8Cy!$_P zexPOTkvF-3Dx~FQ=eyozWCzgkrKsp;?GHjtLXbe|H$yj?xgakeltKDJmID zWnEbI8d%Zv^Ah8TQrg4N=K9N27r$?9ZT)!E7e~nJ+udkf6dLDI2!ytU3GDU|JHASU zrF?gG;Y7Q&F66&zOW%1ZZ2yj=eWJXI8X{R(6vERYKs2&~72ZfJAVc2GdpC;A(*O19 z93j=Zmr8Yl{Vl-V>f{0aYWqzlxA}YeiVq{9WPa$xLUnCnU2`UZd#PgEEywKOuvJ>h z@aH(`Tu@f_e|X{37;dw{lp6K&qNY}|R*49*5DfctbIwP*y<4ki|7Ne~lOVHc>M$-9 zpS%fbsPzK)PzIx)_%y=<#8S?UVkE__dNOQovxFF#KZ*l&9=wnq9p`@20bbxKXd_@jc@)h2w$B&oUgVX1J$x8teI{Mh ztQ%$M=IVN2?3P;oLdqxmrm4E`zY-NUbZ#8Gq=4&WsrCjx+xtd8SSRldQ2$-3fConZ zTEDljfGQszkw54Cxk64&MS*8*6L$cwZW3JmPakIKvWmuAL8$O%(+*Vki}!P{zj(cP zc^8l7^WdzC6D?aj;=HA2uyM+fc-fjDR7tn%XMAgNUfxNdw?H{>#%zHnjwozQ3f;XT z7qoOvUb#g){v1G=^3&MJ(9x{p*}wVMP@5IR^Yn*v41v-mbk*duFIiZ>4Mg`{S200& zgQQDi7PsJP__XFMyC9(Z&b&4nChWs{?wo`PUMPv5qugC`|%6*l-wIC;vv=(YlY2A8dqI633m=|RIANHdq^ z^ios7qAF ziU~b`nhLT#3WZ0!y+hj`&zempVH3&TLmsGuh*WlSre_9fv;DZXFxxLB&yfH+hI=Iu zGggxFZ?aP`YYX3+Q6Y^0)wJ)q^fGD3cA5$@9z5p%>jhSUb$G;((QN$*JF!tH?M|Z; zOMd1`yoc=#Nl*nHXn42;&z?dfq%!ESR5=fSI0O?Kw4MGdEhF;@Ov~i)EtYWWO*$DO z;iL~BLi@tI*7_s?H^mJpL-{Q{7_B)Z`<@Y~lq?nY#;^Da7F%hF+F66IO6-|rCR9V% zr%N;zPL#~3AFDc+>4DH>_l%G+Yo`guZ%M<|))wKPq<4V5Mh{C=S7A5Aefi)0i(4=Q=saIJSu~>xCR^Kdx6uvMX`@2-`ja-(S;bc!-2| z%gfum- z)6PU?AIr)0k}Ox+5~ex;PiLKmedMB;o9#Z3|Iz9ASxtHdjKyfjI6TpILdmC&J)RfQ zG6$ea^SI|j_ljPZ^w|5s{|FiiC-^@0Hrq<=V~+t1?nBrTs<^ZbTOOOk-x(FvNG`%b ztoyRTOJK}o3b$j*s^1v+@7Zmgknv z(jt>l)Y#_Saa}COE+bFTboE(yz{?wOz<%XU@LOn;k`tt$fkMN~V~lbk9);iPzKje) z!+iw|<0kYTS?w7f)`=Lf$)}Eo`nnNNeTA#Py8U!{AXOs>w}$yG&M*)xdXvE4=1Ge# zcWVL$)xJKn-o7z8aUN*sMZWtv7k&8nWk>y+vmx(id1MswH=Aft=Tj1uGQQSrtuCZX zzOf!wSG^}6ZH+&^E{EM+&-7s+;CM7xXlu8U9*_cXPf(Ok82Py8UYR9kooj#?Y6T@a z-L)=fJoETQLQ(y9rX{`y_v*(%o4H9!mGvUle!tH!dPF21aLN7oQt`$~x(~D;#*bKF zG;KyDG)}{_Bkh_bIgz!wp-eCh$twrz={xc{?KxSQe%erXV9trsoRk|IL-Zah%~g)Y)K@yQJM5wCRv+7xVQ` zf$K^oph&vCry+|$3o3HUT7>xkkY4i7_w~ZC>7AAWX=@U&MNp9gXoCB%Ng2s_?!H5g z7a-;z<~N9*-MB(i;e0><)1*Y{Bd}BRiY=!S)hC%`iYs~+R<}!=cKlf-zJei?T0aq% z_;m*E5y(d)8q?M=azPM1pbO9EXO3Mck%z+66u5wFH2+du`vGGZ5Zkps1hhrs-Q%j3o>lu>PER+$R310M7*v_;!9QZ(uc#!}|q8_?OZT zm165kHg|#76$xo;$^RL8bDcY}*&Qbi@74%XKdmoxJEKX*IKqJFx)Koto>1>D>lj`4 zByj(B`6J1QwosunDJ_GV%p>+lT$1*MNzJ9E1Je)-Qt}Bdy*$^Kuz`AYGw1UcrGj;9 z6bV72Y5ArmGeWltJv64zQ=qVqrhNMp#37~_C8@7m0VwN_%r7c-8mWN`c-A&bxMxQW z=Qk!hs=m^e;Ehvi-a&(^_2$AB8yuHuj-l#EYvaRxR@cVpDGZ6bdvuN-Wd>)JzuXB7Rx0UP>CDL5D z2)K3_PPQH@5eIKuoRBB&j$V~3Zu}s@Q+FLhV3bBPrtcZ?;OeK=Ykk8s~iXYCCLSXxV&rlCDJ( z0vg`BE?-uD*HO9vT0P9slZBCg$l>o9EfAoh=WYq+%yG%!-~>Hv<=%}?%Uc%cOre2( z@7wRKT%h+8DU39=&HuSlF`omm`Cod-N_22ChsXhO=uV9t-3O(gfPj@R(nf^$XdJV} z6aVFmKBb}Tq?{G)xwv1`;N?(t`{ZpNxwg?Z>m9{{Cj!#5a4%ovJyia$bS~kvdb%i# z%{REvwVS9zc$qLgD~{exG0IonD(Cz5@;l9XJz2+Q0oS>~D`03of3OjwI1s$F#HdHj z?Dy07o$trwMq(#E#3SvCwZGUEKhf%0o7+j@k9ghy9#f#cv*djAjqAnuSu(L;ZE&qh zcbxEy4(ef2)2NXtcdT78jHXN@{yrV(54*Y0FBz#04;bI4TJAD24e&$PHC4@LWZ<^ zvRP)$Z(2W%^O_R578!Lq8qFd!XHG}t$me&Aa?38Ooe%yo{2+KZ^vv$6z4UO?+RgO+ zb@1<2jlZwZ&D^)@9tTzpznnrn3e;1c+f(U}TuL2=;NtYJvm|?SN?dTk&FxL zjCCE&@!`yVZW^yMK^(jF(y1aXl|{Dqi{qUr3cml{Cs}Wb(fcnjF>DCv!NAWf!*zKf zC#sOg%LOemn=O}%-0lDHlJ>vSF=~n=mH2CG&&zt)YMpo*);J~^LP)^Ju5kff&Ol4OJI ziR-HODUkjdF_(APZ$Z<9_E(Jl+-~eUR-1C?oj0XNE8;`KV+q4dWu1Xd7C?A+)~XE{ zswTJD z7t+y+qQzb=wwNTHnVp8Z*4fnK#`~r(d#pcBw<%;2oK^S^pi6$(YS`BkuO)7j+d#kl zac4<0)^T&!FJE+9PF&WQR$;Mm%2)vw_jz-Q;zZ4hFCJ#J4XwQ+5qwKJE|JE1M^{pk z4M;SZ2{_YR=z883fYiE@UdQ1 z&7`IK6kyhSeY=Ne$WGX z&x3VZ`H1`Vdjr3GD|ZjA1{{q(Tlw|aubbNmU;hD*6}yk!15Q*hn}GkmG@&0Vc}H?r z$*opbXt^XewyquPwRYL(x2YbjUQYN}#XdZ^rcDCYXQEOgVIN<8NW7>g5%g8}zX>&8 z;`J)Nm{D}PGneAKw*0n6?jy0}*I*C}5?vzMw_3KVbpJ5;{kb@yV5**Bx|V?GC--G) z+OU1=p?4BQSnR$4{jxJ?JygmoX5eh;h;8xM@uIPabf#Fuw6FK;RF$eR1YD?+lnI z(XFmko?j3;7U59%V9NR^R5u24m=rp1w2(%v^&ysoC+?Crrp)GzmCV~lij{=#ZwYn)5_BS zJqthKhxiyjFb(MT*S;~c{jx8V{G$2K*`o2XqStLOL187rjr(i!1VG(mTMBv|4SV2u zXM97eoclu@<<7$>cM%9?j-RHCM3Hdi{3oXH{lf^X_%uwyXnqS}oxYXe6u^2QgNyij zUiRi|&nK^2C}g65CL)cfQ+&X>@y4PV2u;|vY_RsAOwE6;b>9%RTTat%Y*SV^>$a$| zdH*>2P}kz(;;}~Ps}e}Hk_s$2m))0}=+-}S2oM4(kBuvznpMemPo6a|fG}l>#&{Q! z@S=Dlt0p}(JCOFZWuU@LtOX7;Fg1Csf(MtmqO}?0g3Ni^-|K}m=wd^@O|jpcP&&6~6Y|K4%`RfB?d>$d4mCe0s6 zF9L*no5qpe>e--R#>f8-jZ&>sh1-W5?h`Ozq^>x~9IFKp-8DjP09^8`0S#Lj>w~Le zz9R_@KhFO%9}O+a?iJABT}2Oa>?yAzQX5#)6X4JH=Sowo0- z8V_#-m1w?1o{L9Yfp}-_zLgRNgZ?TEQViHccpDq(1DLc%pnsqFD*l7wk>XBHO?g)W3o_?y zv)PL+bwS1GC6>hZ8r|y1da>NRy^vmLk>%f8VlMcVi+m+v{u${uy#GYIz>x!)8a*IY z6T-J>T0;NC4oI;>GZMU?;Nnb4qa%Vs#q>);sLdBoxkMd%xwz!yq)ITglcepk9xI06 zJ_|DH49u>|ek%fUs3&@8YTu~irkG^BuS=9E=ZHLuv{bFwX}J+O0SeFY@$cA)5~(k> zgZLAUc6t@MA*lH72$`9L4fq_VeDc>MZSa7onF1K=Xi*&3;QO0au6*$1Cjmf}R&$2qHc>raF+tXR zaj$+~<()L{lW9TO`hN?64ildxHNKhVSA7&fJKFHS@p5c2Z-;U*1AzN>I390d2Jwdn zvcLPCYJG)3ogzfOZm)Yk>1m^8cPWSH?eR0CPtA^b$^o5QWzd1&LWweEYBGi7`iF@! zhg1MmJlUcNV$bUyKr05}2 z&FKy{bxG5SvBg>P(aMLf&nAHS1m@-WuYXDc3`j`@%p^X01ILfJvTr{4vL z6>)^72s2(h`dqX`}3=-5oKhf*qrsyk7%22E|>eZB7Wq4_^vW zxZrl!!Z%3%?h>S^8gv6=3IjEDMsMd z;Zy2pYAXHOW)Nfmv8C~eCobPT)-dHC-T|63-AGk~lG-L^or$%z=BBT;$ zwku{rMD1jZ>&_4%h5ImRC=t&CBE5CYGQwI$T@<3wP68Ux6k7h3wqEvcc0MUogZ1xU z(27}6dxkG#vKRp&^>SPBw7Eh!p;p|x4zwYXj1-9$Bj!esJU1S_ZDM4h&m8Hf6fN^E%!-#rh`bx6tm&$xgTVFk7-F zg5#?BS~HAy`^Ol*&(>jCIL^akn$RUf$`7Mi$s4Fx&qUvsRT1aqnj?9xnk3H+(9x?^ z=f^KR>8>kkPLpqON>}+I1qbqy-J=|66Ea3?MVFlK9~-p}b){cPJfgH3T7QLp#e?NP z+KO@y^H?KhMq0+f8ldkDR$<3x8>a=$>epSt(IXx^Av$8p&qj>{SRJMzCr`QA?r!e#2uqcF8dEPBXq|rbhP$uktY=s7*Jrn9ON`@A{($*?VQpPg06T!y z{L3#+#Hrr@adh7QY`$+Bk6JamP-@oRwIv8eYmeGnsXZ&PDK%=Aln^aq)gH0;XsNx4 zO{fZDYt0WGp8Na!0e;BK>$9JJ=uoS2>z)_Hx=d@c}AIP><@@2-^Kl7pA;#CVv6qM9WU1-#GyttQ*z@b$f zv9ern6?rp#{@#n-q${UE*fmu{R`f{m%ega^aYksXLvoQDvpEQGI4LlAnV8B(7mFUQpo2+T(fUuL>>RG2RQjRG&ZvtkAVAD!~kb#(Qm^l zm%eUQncni0CRzpiX`=41}UUNVb-FQO-OqO1< zh^f093##)bD)aU#Y-{sJ@TLGZh7ay}{s$Lq9Za$zL~dkfUip6~@XHOwQQGnu=Ca?4 zYYi);jc}Fau38IXlbo25T=JJ%YfUERpEVp=XjgS1*Aw#j%kG{Cjz5g+~2ILZpJdw{|Wm0u8u{CAE zlaF|TUZ%MgdUQ-tnj2-lUE1(=6;(NmPcT#$^Fm>(R^uFvV@&)eUk8CEX-_iBA z3zsDkRw=<31wxIVn(GW*4XUu>(T!((x$ekagLvDp#aBM0hUu8s5^b2!6k+U&%nwx0 zzL87`S_*l}ToXekrr`QMZV1guR&q<`t@>A{tq+=o>qxtHQ#J0k_HbX0Q#5~ng=%uS znX2GnbQ%&CpYp#pmW+HtV&Coq!`64SPaT_CfDIT>{6(J=I{=52^g$M&3{INyA_wp znaHrTw~w{V-Z$^&oJ<2*RokK)Vnl;nWuCbPhJZIrcbCggaacgY;j-X}Nfxu`%K zjhii7!;MWA_jxUGP~S^($-@?|w)uoQdXpDxd&!Qr3M~%-sML~}w*8l)P4;5lTLngF z{8x-~o=}Tvpoa^biSh9f+=r+br?q~j;8zK2m{l0yUmhd|;;r;1)bMD0r191$-N1K4 zUKJoUjL{ms`A@+p`b_>rx#y*po_BYl-^~BSD(hxkY7c8NldYKudMk`Z_qa%3S?&5g zhp?U%@O@b0UO}_At+O?*)NiTi=MP5vCdokfK#^IAQe4hw@&lS6LZW-6d+&BTds~lA z68@~>;2#x1S0Bah*BxO{s;O2}O`aLM_wLn6YA zJLM4bj)NWycmy7#p>refw-RI_RzN^5&3ZYJGVnt0i|A;$p4l?pI%T?<@8{Zru%zzm zTxq4O!fX{@J2`wEN>1AXPa3@FH0Mie6u?9Oy3ZJx30^O9xye2e8B_@q^yiCZ+H_3& zE{$G6v`ldeJxKB*%l8BC=|kv_HqzpsmjW--ZIcxrgOlI0BicT}tRFDJlA8U^Arkeo z0aGt%I`JiLlDSVA2f^DV@T3CoZ`x(Yk~Jgh%I76DBzS$zi@B?$ zH_2Z!0pL{f+`xfD4KcK$&C30WS8ws6VErxfx0b0vdJ?q0p@=XY5uNQ7h@z<>q5qRrP7cYJ+F3|p} z`vrspyIErw^zRXiC(>pRP(!f;-Va#*(KA2qh@!xOYaYF+bPf^NFSu3sY?D^aC%D|u zlP#2*&BIl5c;doze`yxuhf2EOhJ(8D^NzxR%`SSnCRVx<)K=y_*oFMH=s^E z@^CTN?aQ`j7p;E0pS>AHl?U+_YuI|NEda-x9eWO~TPW6&Fm_0t?_6wLkOUl#tuss@ zmqfQ0l2N{EwS**Ot9a5Dofml`Qa)#i8a8RUCXt+TO`gs7d+F-hfpOnR=y0%!g@YNFhCzw|O5_cDPL_=`K6c)TTK z`1?G`Ida{0WQK0P=&f~#)anNA*@dYrdQrz`Y()sze{+6!y4l+LefmpJnrgD(VZy}uo(gdn|$1N2$oV;#A7*p)*n)+&!lP+G**Tc?itnF44>YkKcT0U{Ih3M z?}8?v(b%+l)4AlvRUoWsg&HGZwhRLKAMfk94*LyEQE(*DdW^x40qRZ%TKQbJ6fac= zrIzk(yk7k?+`-3MX(Cf}6sh>m3vQA~9g2@O^YWgnXSo}F`~X`%a0Buw$~lrd8Rt|M z7A+DRX52kAame!~W?N!9E9gg<0s)OXJ~GF{pb*L|uC8>u^$6u^A>5v4meUj1R_$6V zsriMp4PXZKR?U5Dsii4Y`{muXBf=O*mthpSmXD(6zS3M)*YPXm|GxduVVN6cPISu8 z9r;3>`(S9lyXewXz#WJd-C^Rk8FC=ihX5d{C*N>FJO|5qrGGU~#q2>>BbQnRoq9OQ zyVT=x%bX0|&th~)2|5DgSVila{>!_%rOW~tQ||@IM*Vi822ng(8>udR7gh3YyE(7i zdc}%ylZvx-nAG>s#LI9bc=L`Bf&B^Vj^&zmS+fgJshpa-{958UuXtJqYo(gdkSK8y zseHJhBC7lExnDq2^*f*NgG_62%BQr!+j+4XNRv%@cnE%9AG64ijMH!G3k|o0b@R&R2buMzZw_^#E%ttAZYv<4A zvYexLgf&MH`IK1M#6SihEq4CQ>Vc580Pc%D1oR6LIzQr0tN+obbTs*7k-6UEz1opq z7vs39ZnP-XZx!KjxCRpG0DPct~QGOqN$HoTDa%FZy!@~dr9Z)SaO?!vB9*J~6o8Ncb}txsnTko4{s(bk@+ek4$}IAD#`0MKK1 zUoZNsc;q>!>gavN8xX6Ybi1-2FoK=GYeb10dBelZ0k>QfGb69*UGVOzV#(9gHgjDC34$>o32FoV;9CAS8 zy6hnX=UkzS1r<`SfE@OXc*etRqZ-tKX^blV?002Ze)9W)B9^uZ{qo9(mb24$1j$WL z(x__v4wDun%uHR>c4FtwH4ko$!Y({i(;~<;&q}P475Q_mVo_VZ_4o0_icO-&8nI(e ziM<{)eMx0UZ|5>Y5(`IS+!HoWrE4ubpI$xg!A&Cx@gpA#)SxA;iP6%yYZ?)+wG0)UU@--a4VoArv} zF9bK*hrMTHR`H*{XtI8rQS zRKF&j$jWkLrgq=@RK7QtxcBPh2az`aW^`7&TR;5k3w^8lZ=)pcMBH_In(IcUZ&3z} z2e$Clajh>c%0d8+HM>ZCtKx_nhP>0HO-;@5qRqB+ zY+(K7l2N!Pp_?_hva9IGB-T0}@2^63M0?bBZ74)he3J7`G|+2@l=7mr#r^kP+*9QJ z)Hfqy5SFaTG`*CzWVJB85F^9pBoCvTxe~AO_Me1JmKtBJG*%oUOnKAP>xYbr5znXo^wjzpd%#N+duc+t>slx0LFu{2Hl7S=X z+W6OVu3oNBBVN#z5?u(qXmA>k(LF`qV3N8o+0JG`;-nEneA3xowuAZK?O7N&Tq1(V zQ&r1P;hmnxh6h7DO@li|x58qTUL{ zko&Hj%jF2q_8GnY?gwc2Fe_sUZHV1sYT+M{rM)f9=ti^%;_`+|>Wx^QIcS;#$=?kA z2!#jTc+R3=7*f#_A*nU)e&JFeVlyE5N_Z=fHvdt|7Q6`GHV%b zDs|fBpA8=y9$xrEX$BfxwppTw@LA8{x6Wv0H!?7f7gY)pNaMzlU-|;a$%X%Roj!X# zeoP_VKuyLR?K>BAs0HC>Tix^g-u@^L7@bZ6Qk3Lg$-DT{U%_Fyb112%=AGl@>YjMo zmnpO%#zDg3yvp6+eh>Ok+4e`C;q>Ve<;2yu`+W`BRmCP0fAOZ3`NL z6!pA`nxOl8ta^^IPBVKhx^pu-G-O+Zo*9r#JTbCfX03rS5~m@c6_Usxt~z@0&ex)a ztMM8`d=M@YBMTpiLeL$p^y4QSr(E3ZaDn(0wL9dv?Fz-CRX-8i<-D7@ek0T*dk!yF zll+JfZL4EaJT4l4=<0?W@zIcGf1N#3UY51@-q4D&NHieVcm5N+kc4b_bGG=2n{y*` z189sfLX#u_DzJ!yaBnm<7*0pk2Ljh5fdwR!5_oTb^qznxL4lem>z7q}4O<3OG%1Mt zi6?9a(W^9DCc`gzS*Hsjf(07}iG=iID9ZOwfOQc|ytdyYN_T7s-ZHQ$Pd%}`i*u=vVre6D? zr3RZ`ONH2+uegMVQZAcwf5<0cQ{LAYdf2LPMo)7V59k?FeM(1X$4^rtnKoM{pn1$5iO+W_^6Kq7U};mH$cM zR;InBmZyls>wi*4PtASas+-U^8GjvG>HjK>0xypcPg_h35Yv0BFz;1NGX#J)*uovN zay+DXtC^5?Xe<0ckKlXy5X>Ic&=*#O(e9`fgkik@D<|R9Y z5teP1@Xhk5#{EYB72F~z5|U@XnVEb_#1>ti&ku@RDGnArG(Ucp^v}_bX1AmVHRTX`N#uI1nAbFUKCU;j z5kq(JHN{#;QTkx;WsRaGMz1e{Y`Yt@Zb&Q9P5oe46?}-fb6ZkMPvuYV?S$I%BMF0UgtR~WRVMaH&u6tIBoK4$f=-! zn{zGZ9+|0_BIwQO06hR6-Xi+-4EV3}K zBZWm<$y8+t0z~@4OhX&<2~HHWg3S{^Xo9Q{$y3n_dE7<+)GhTkkx!B3;xWX;;Pa}> z78z`8hAeT?-5?>uqU-d|!9!ZLgNQqNy3&rW)_7*~>(i`hsbXi-z1~0Pxah#~iQvt- z#uN=>*bGTlwyos@5r9WpvLhGF|D`**ijM+cFHmk@<$Sc!Tn{T<;K~ZjZg$2=PTZyg zOcf3_c8TV1b`(t+xg8+*tdUcvW}7N17T;q7_P|vqKK}w|>{WIG5y#nZcV&I2ccJ^A zFUhl}=L{ctDuHQudiT-yNfYt=g|C%fS|+n;{0AFaf3zNZL~zb%QwZHOio#t^i0cW& z1aMRm|542`@+ zKg%>6`ci zZ6Z5{SZO>MGN~592d$E$X1H2QRwk5#(%h^a=$@BUGw=OjXh25KKl&{8I?89!JT?5Y4sPcL45z48%@p`OWO!^9go& zHU%Hqz)BCFONMm~!l=#f zZCj0_KqGY3UCLhWF7Rk-a+%UhDxsjl(<0(oeF1V^eFhaGRY`Cx=gH_=o&N`#m%Bz3 z4uU_w3UE8{{h}AoE-?%=buyQ>8akICOwXPUlq=Xr86P+_tybArmQzvac9%e4GlPb3wX zoG47O=}zY3@8!U-^-^#i%bQ>W*E6#xSNzu{_)f2e7w;xqk)#tD{^Dsh)X>GyX}J1r zlNTOUk~p!(j}GWXZmkt3>3*}m*TTXR<`$Ov)!cK zvfPMS#ys*+?;gQTjH6`^bA&Q{Q^Q26>#AJdg)ZkoSDZ%pnB_}DXV_D3rjL7r7sx_~ z-+RliDg)O4WsS|h!;j6+_F&ejNVkS+A=d=OOrPn-mLS?z@hBe+&f0Tbi67~Vjz<(d ze+BUt>Qk-D7yK*Q3d975ukDD~7Q6PGtq28DF|aVX(Q`f9FHZ1}TSD8!K8t<^jr=Tm zA!WO)eQ|HWlb~7nk^mF1hS?L&*3mw&5sIJkQ;`TdFVUD9U=gglk5uLgAa~y~c!R5{ zTNw|dL|XUB6uD~?L^`mgP4UnAS0k;xUxem`@Qf9DS3R&wX|Bi!B*ibXCXB=|5-{p? zci?ZXWP%0IDF9pH`eUR9#(f+heDTvpH=a(da&mmYM@aqwXo=h%EvoNM*W{C``CSc= zt-jK9bKQvnF7aJcyWc`pbzk|)i0i7&m^>W1Fyt!3q#bC-&D6*#6LJgI=veAN2a*$h z8*lFO*^GnRYwSW>l)*c2LDagUz27T+eT?;^7D3DRYGx0HBF5h!B@3k zQIJ0}{5P}QS9wQAtMdi9Q}mC+O`&3v=DzT+fksoh7_GzCTd~eYlcoO|{YZ+u3_$c5 zj0=dU2PjhHuG#!<4sL{-jS1%TWtq!+w1fo*o2z<>-vKbwr5c)gKm7}S*hXXE8o)$u@BmD4m1P> z2at(S<%2$h-NnWC3gN$a-Q{y_Ob#Gj{f7zW5|X znRu4P*j^RcHZ-(RGG6LCjbo6CAp`?OyL0!oJy)uxJ$)G=u&g=>ixYm z;bDd0gvye=8w9Yv)V%pPrWfm{xzC+=m_irWk^zqIo9QyVHxapEXYR?h#kkQBevs}M zIINUPHQDO*iribBu1#$~GU*i2LqfcB?4U=xBadeaw`I!mYYRQPGRpHYjhB?#iIXtuAd@xAG}$Bk{!|bs2ti= z$x>4Xlu~?Za3mmlOYxdK9#PLe>*AqA2mCbeJTO1igIu+*wVbrHp=Tg>FyVSVqow-HbE1FS3s{LP(?gp=Iy|H zbE{XtFy9f)k|k4-m-E3>lwWWBI%m9tf`fYTDuU%YTJ2mg6#et$7cl1{Hf0wjFT{f^JdlYmLah`8rXdw_6sM{U9H@^2IGFNa>IbW?}K?1~ir<{A{PL z3?ec~^2(R1uK3;jLZ;hpEj9QF7>+uXTL8;geNR*7mc^9O&7 zvt{4(G7)1djP(8O9*y-_F|HycRlGJCMpMzw>7s3hR6ruf{0X@yVel|x0 zIG%pD0cm?g(wyt}76K%EZM802c0yzvhK>LWfXbN?N+oeSy-M`+ZQwaQ&c*eFW(DI0 zY5AF~X^zssgOdEE{#_}FU1Kk8IwjDYTzb@2X4@JbS==Z+wgb3o5nSOwgM20`{!!~YhU zd;p#r>(RW4j1vRcw=$Bq_t>%7aYnLTLn&`?r@0i;%-shf0pTqRiwUvfM|WCF@C52Yg}a)pLa`@b z;vDL@Es#0@E>gVtko#;udbpx}2TH-2I+bLHKqF=w)QKH~-^#P;3G#UCXicXV)u+ZU zcgH|OW(rWL-Ql5|iN&JvEb33Qn-*&)L z_+lnyIwx~Kw{gDp)R`|=U%?;4k)D0_(D0j<7U^p0N>-Ewnb_ZYpP+sra2MGOGxbV{ zOSL`=dq~zVLzQYHCIaK`v%(4occANQm&6Ch8IOx2M$Z(Y9w(%Y5lw!%Gv8_i4{&i< zF?<;NtQSlkIL2u7j!NcRRbYs02ygY@X&LQ{SC8S`rhyp8~{P&Z5x`fpA z?|wr(Qf-ZH;QQhcGg`1{HRIIi#@jqwD8f<^z_3$V$Nt0O(Lgq=R7Xcc;WHSerV2|P zf7sZWMOC?!Vr8rUs0Lkh%!W4Y!Ze1%ui9LPhnH9Pw)jte=T8d_#5_w`rFVMWu5N#< zXLa15rSQ3*RsC#YCneo0d4c;XP?KGz2oG@mC*JAC#rW{pTeD+4~}N*h+^j%A&_l=-f}esj@sEE z!|(7Nd056E-M5S>{#*!412qX%>b|wOZeeLwzYHRXdF(Xu&Z+-|AnvHZ!4)S7#F^;7 zw#4oY(@&Z8|IkeR_Kt=6pMQu@`J0wx9z#bWMYsfcF&|q}hEFT`J3qeSHi33~`KNgOK3&p%epDydK^?SRnJx;Di zHd__&eZaiJrh5bbV9(b$Tu;UNm9xf%ZDMa}jdW&dNts;+vzepl(hGIvtWgc#O!-P` z>`~gG;fj0nmpABgiHLxI^dBpNWI`=U+UnlfEI;9>A$`j77j~#FnN9J~)<-=RU(wSx8fL z1Y?fgX)%5zU*K&kW|hY6Hhmh!Fsv;P2?rzpPAuX;SAF%PlM%bwnpe+P?o ztTH~7$>hGPTghI`_;HWKo9wRGMCh-141F8+`$$S8>Pj^!$)qLN0@3%z2%#2zlJyPe8L5LzZ8B#eEMt`4jM6TI z71wJ;V%xH?fAzAVBVS&vwPcW~scoo(jFF4Ij+=wxw0O`!DEpR{rE8+Lu0~S*&>kz* zvpW-aU^?@ds&fnbdn9ROyRMbz1JL*sN?Bv~YeJ!8W$&sGw&t zSDAV`pII-i>SINfiSiQln;5x=UX88N_(@L&0D}1Ra+A0&{LRn7*WA~0u_@mMG~(}} z*8}_Em8ai}s{+pI1yxeV{jBRb-v)n7J2Yxa`;L>aOyQ!`c04sc%9C6S<<6wVIC*Cb z)rmeq5 z7vOBdMss|hQRr9szZJLkxnrMpNPfWhs%I)$<@}krj5g}h)Xc{uLBL>>b18I{NFxVI641%^4Xq@EN105Wx$hPh3zWKeMcOpn%*@Xg zC<_kNR@obS8Q=nW8#e6*nOnIOXgzYN^c=pz;_T8yiSR6Q!Fo)YLFD;#7l95-cl!m` zk9+Tf`vp0anLrJ8{`;z4ntLLz%ySwV$u`f+(1*&Y019Bj#NFA4#of#natg)vk~u6S zMu;-PCge@sP0gSr!(4gZ2hpihv)^7z`8;L)WsG-T#DtNvw;G?>&&PqNqZihS%Wcec z_tYO9DfylMb=S^L6Ih0aK`RvJdVn{~+?cUL%;fBB0f^+B`+U&-qs(UK(%R6Pgc*c` zc%@6FW~+H-h?pUAM2Y}w5kW0FSQP=|Ooni+sY22CYRE*aUPm4HP=0-uvy__NfgxzxH zzmLD8)_z{NXhh39#cvuC#s(SBS(Ev}jYw55zMujFpFU*JA;Nv`OW#%;wWNUoTxJ>o z$QF80h#w!?LsI{`%O>b;bkaj%S+;vE8zEGNTp;R)u^`9V^RleF;o&iAw~dkjHpx)e z;_b_yJ=N>kgH$7BS8dzH{Qb>!%L4shT*h0EN2D}Q9FRm48vP1CrdR@ET62D zSrh{C(ea})dK>C$+lBDy2pq~_Lf*)9TCp_0L+pNx&U)(X`b9azbNj`}9d{SrtB=ZE z(%j!qUFsO+^`1)2%Xb^XKB%fBHnpdDX>8h*F*8Sy1A?9M+y{}|%tMnRWNk(T0cZyL z2wpa3TZ!BW;}^aG`PW+00*1Cb$O|aV0|4(>cOm(JkuDtWF*reQ>6aGYPY)wfTqiRt zC6913M!kn|M6W=)FN?P5FmS8(+Q{fAnYzhW;)56g6Xl32z8c2Lbjy!|i(VGyK$x`C zATew3q@~G7`6O6@_I&f(h;Gh805=J7QuMgMj_(2s?Ri@6$68ElZzG;*GK4iSYc^$Prk>oR%XJ0KKPb$5OII zb8Yw#?|jf=8@y4Ibu<>HsVZ;|mXeGiIV6V;?^?8ear|!R-|85N1a+7Eh!()}DG=?O z0)p6?;4-k9Cn(^Y;8gdfW{}?SKdJq}6ui|pprR1dFMUJ%sW?1XYMTZBiw-`lZ73J* zv=FF@5YWoF^^{CqhxYHDV@|e>)|Xz&BjVBpy2>6fUkMl^(41Rls{s_mULl|whiZDT z0my{V$UA?p+}MwFV#9+WHXZMMlxX=;%Wu}_K7EVkxJAx54Ap&R(h?=-P>NCQw1O?d z!Umrh&E^(mg(R4$Vco}aZ=|BqrCNoG)6$@_Fi%UP?(bBX#7T~@ zL;b<8P?(7n+B*_SzLJLfm(Czs5dQ~ev1VXBdR_OhR+G&L5$3D&yO41sy(Aw1;Q<+H zYMeMq-})yhRDIiHG|3NlJevZta^p*zM@^~ulyV1t_OZr&+j|Vu6xV6_JRP*ea98no z#pno8Z$^dSi@_wX!p5ECn->f!0U2t#>eagEFd=P(AyTSx7I|q z@lF6L?||V&rM%Rpef*R=SKktzQVQOGE_=)yx!hM*tq;`EtW#2=6bm63K3;_v= ziet$==NoxC`J+E*SDveF2f|n`ES|d1rHQU-JGLCq)Eaw4@5FIJ#9?QLm*yOU-znQ< z!n3W5j`CYU!<$5LZ@g9J{8a{No#EZa>xMGW-_yZD`rB_jZup_u58^7Bs7i| zy-Hn-*U>W8=%{~4Gs#$>OxZOA<|`j8=57lYjgeWtd%v@lz?QSSx8{ADuN;3p)2=s6 z<)!^%fJZ4T@Q#teJJ%&tM+iWjb}N{I69C*Or?@Lc@_RssY0l`4^z)LNBl>AxxgC9Oxr`&k2~5T%^=4f$g+BgAmd_T zoBsrObAH5ITX``<0i^9v%d;WUl+EHHPp%V$}4X}U!Ms(l<9r7opL zfuSS-7#=oBqh{!EzRKH8)@6&hn%r{ZecR%}Id_xsY4!{W&ERs@LBYgbY8L0xtjSHD z)@|!DyDIwlVH#baM24{4tzd}Ah(D|@Lh9C5puHT1iPKDrVzK8L{6EqDyy!x|JbO=| zpK+zNLFRzokyd#t6fgCk_akarWfqXWB|fP-0BTV7F=PCWn6nd$qWt+E_r~UjZ>-}7 z<`q&08PCd1V~a`)ELen^$tb{sUD~}a2w$9GmY1vikswiHEE8m3CzU?64Fc&Qs}MLR z0TA=4mH1B5W(4K=xP-B9!jgu;RBlkUtdLM)hwku3$9*~Vl``9E(pK zzBXC19Ahg32B*TN(`~mD5G+O4OqOd*U>mfAPfgs=UJH4i4eN`-;SOn5RTTA zwWu!CA%T!sg+AvA7DDnIFeE#g7+>W_F@w9yJYPD#lz)JTX`d}yrWIyx9YBIJo<;`* z53gU(W@yxZ%_0I~-On))WXJt4gd6O>%ZNsi;}ITOKDsTOjLzu=Ptx~oB3&G2&8x$) z7`DG6Z|F@G zi1){tcZLG%L?*IJZC9MZS{i2D_m#8=M}7&IVHo-em>htraW5_hS@jGvx zKqFE%144JNGpZDaEq8N$h6jw)v*)_{svnHnGdwi#Bt&g59N19~dy4c~E8|EqqzNO0 zm>F7`VC;7$xA8ku+{Sjds4@EjQQ5NH@Bb(;f!d%36(v6Gj42+Ls?tf|0X(3PEGdTp z(5NilRHUdamR(@$HBW*(#s0BH3nr6Cs7qqf+~FeB{&nr$1Lh1a?B^TMyQjJ&xzGQ$ zqdubiHa15loh);ZN zumpUm=g*kIH=rhI9^^k?kK2aIOACnekeS|#mT|xEm3BIA@+Zl?vy_|JsP6)EeyGem zKl_FjbCJi8;j6St6MN~c_5(4+?Lm$=-?f??yADShTpO>mxS1ab4^Q~+8zf-c5(5?D8}q$Pzif%PQyUSG#5TA>&sw#HLHs$0W#Sq`+Tn)G}`t=4M6M zJQ5g5x#T1Hl!}dA&0K?u>~LE|91C(4bJc;0?`o~ztXYrwfI(;Dej=P!%8aLhG;Ma6 z*_jN?-GSkNlL}!>bjudGLSPwHhv;mN!FTB=sQwt#!I0rwc>#%(yVpO%b}>!c&onMm zG^ojURog@8j>GQUOyOF^u8L59HyzL}X?i)I)E|BRAXWf2NVVSOUp%th5uRsiQFo)O z+spUOnn;xY_T+(acOy4m;Od-f=eK?bVG`>8 z2jn5+q93RIE(%mUkFu^9bnjqH;9WC-t7)DSvg*e@MO3zuT6+eS4bM><(3v{RRa;F; z(QR-yQ*l7hP}1q7^;`$^+gL*o#?!!2Hwm-{+0IW6keE>X#RYma?nWM7Pyy6Mb|_$1 z>n4uSlr@dQJ=)bwYD5R2^AgF01-M4b6x;4mHn=|Yxg3^>$BC+OonbW8c*HDXK07&w zl?dK?*#Df3!NeiyPN(4P1I?&F(TUn4GMVn<-19t%LdW}Ne3Ya*Mw&Rf*FY9v@oV>A zIu*t(EYav`-l2Nf*|-B)(e!t?bP5BQ3JGS~S5@C^pe8j0j5FK^i#an>zOWcRzGlH7 zE&(>iq=;4-zzt2+jVI?2IT?f3#|{U0GziA1xu6s-5HF}F>NXWNnfGC0-Tk(ayyq=ntN}%q` zFy+1<%vns!Tn%9Y86vGx{eW9`z{TVZwNty}iCcCHuzf`6=}T$piM5;6eXrh3GTp13@}BicUf-fY2d$vmG5seEaPN-5sm0VaECvXv?R*AmpGyg+JVh5nFO6qUDC^k zwmb;A+rUdORWYU}Fp*5nkS$9t{&df1k20GDDR|k}x&)3Q-LhrsTNT8HCrhyvG;dV5 zX8}jXyAHGfh{X{p!2RO4tOHlI9Q(HfONn;*nZP!>siUii$Mv_*{*-?birKuEHpt4K z7@$#dR)0sA6kk%a(nG>1-*AcN@9wCcZYeA zuP(D19{v8r`f0HGAUu8RiU@RZz>lWG__S+%6MoAtdxJ~v*f`rzGW%vFd(5=)vvEl0Vb3gYQ` z!P+iWS@JM>!GPqhuAr>r2`sUcH&0MN>cb7TTPVq829%~@29LPS^_67-+2(j~sa_C9 zR(n1PXQ&^;V_io-p z`$o?ib#vcK3W=U?FtbcsyqWp7GRWbaIskg5W`4ogAIvhD*;?4umC8o`Nqi8*CWn={ zAM`qV(Km4f`>_ki7kg==u_8z`3J*Ru1pdxGst;x*P||gHa9<}Ss+Z;7BHJwE5QqRd zFU5{&nF845EP+}zXo!O)SCCgYX;DewKsVSx^Eq4M98y(A5_x-)Y2S4A^|D+RJWy8* z)fQ(*KcOc+0ClUffd}!`5hrR|c>E1~yho`g@0hfnXh;i&u>QBJirQ#w5b^*FM+3$a zuv|#tJ8yEnYN0X2$k-Y4eD5UC4gXwpJ&t43A!+Ie>t=&oFaT{n7|^$#@uA>KA%A1~ z<||Cwo)V2O-ybZNZmEV%-HTpp4sc&3;`v|1$#C*T^H0FtM%C;)2(#7J*OZ(x?V9Ql zdun_SjVEzQ%wgstfrny?aGz($Fl7z}kW$(rGV&EEoFzksN~~uRI>N1w95Sd?@xCSn z;;(eGFs^)hH^e2{FoMFm?ueV8(Fu39jO(QL)(FjsZx%$jwVa>LD33=eov$A>>?pj(;nMPGXB zsI5H*vy@uM_-i1%5vwpvUS5v0$gCx%~q@g_pJZ2)p_XqH9&_woGyi;wEW+FGBpof7C)Zzd$aZ$ zlt7MNAtU+L1Ucj9y`yhm-erHQ;~)d?gg$EIF?*)w1Vl1O)!YTt9BjsOiqpWO=OCw$ z&A=KN`5cV(Q&~SjwUG0217%OzwoN?7FlPSq3cP95R%`68y+E!XnYL;{V7)0w_G<(!yR}SI&$A4 zV#(8CF+^xk%U#gbO!{x$4kZPlygGfL?!+l^ObVtjM7x-W^2;qjBD|6q-G8g2*ayf4Qj(bQYs$+3z_N!d5}t!n#6Mf=#zWA z$|9W^NXI(LK3{b7VWRF{X?UP$DD^*-ZgX`UrhiTDi4GpTuk6IZx~k47)uh3mc{8^} z6)Vh`3-{eOL{i4W!^qpJ$+F}!DV&9?)jh)mRSNKY!qs}@>=?d>3{_I3HjF~Ip0c`4 zsPZS%63Iu58=?MgG;*chYQ`4b_s!Fan{+MVBl#<5XTY%1a33i9oL`Oom44*CcN?;6 z#u+QW7RZu@rd$tfI`9|jM-f_n3k5sX7JT`2em(ceU-q|L{wuR%yF{$w$*e;B{HjZ8 zzH@&u+n~>#J?eA3|W#xtC zJec_6-TOug_p%JNH@LLlZxb=DOis-X?aHh!@sJyRUoJTskFx=uIeP~L4R4B%ff;~9 zv7y*pgDYz)7O9-%l6q+CmpjPjhlNRB)0$a3UX31UF%#)O#Ap8xe zM!R@1m45E!xT53RxgF(=4pMaP(-D)QJaQCE|&tHufA& z)l>;3f-MeRL*uisZOxo+ViJMMT5F!we6?j8vA|Q4(c-=t)nB4{EU#q(#BfzsknI}F zyTUV4KL4m09P~ixQX@A+R=}arp$C%MI%}z$`RzZV=YCG*p=Mdgs&K% zieypKfaj}s9u70zmpM;oNwa)irCPxW3@ddAsE4*2+;c`+_`F;g|ACqovNQlQunj~0 ze0E~>=V&FH22q;p0WrevNb6`H@{ZHfBzeCHG6R9dj(EFQ$d)#Mz`6<#*H zoQI87&A)Rw>%Gre<~>_vX#xT&S$@S7z&mjIWujL`D&V6oIH_H8CkUrB zV`cWV6s_ps&HTaL9u^{HZUR!x{YydV^1yq}5vIix5Izg?fYar zLD%A&J7=vb-BC)d2a5^`o%l`upSfHL^#EBA1kFN7?t{%E-88$d+X9b>rHhQgmHhGp@aN7fZ+#!W4y#Xq0Uaa-U(`cJSSsQ^iNOMK0uNfNXc z4A)ol;dBv}e?gjTNeQl*UogME?=`G!7X(-oEp*@QraIk$q^75NM;Z+cpFdu3t%MWP z{V9eva3UMBE}V7(+&ZMSlL8}iox5h*(cin|pabxhGM#}tgEaO)%BS+Njy%A*9-j_0 z)qi_$2OVM>*hZ#o?o%O^*97&A?qxR;ojwoT{qnsDw0hg>wcO)fM~Wu=1|l9kO=|TIaDZCU*t3YXv!px@<7qO zGEG+9*905gA$SH1K6O!s47NI(Tbc$wMq&Nnwk&L!=|*-Rsm z$Np4QgiBCGHl(1%!XMy2&aA5+Z~=gbJ@Zn7ujLxlou# zS6TX1uW=@((73Vimdvx|KXZ$t0Do`{lGI`#xgT7xe?RwyiG6$r`i|mP2~jmOo^eHOEZBlO-+hlwYGPyNOE}R?f22Q-R96vZOmHYzn6(IUIp(6tHf|zpklM z%?J6HXw>dpfdHQ+kXViRdy(otij47B~m=%`RX!o#*dQn3ZA60P!wMXCC4|DNZ#{#y8t- zJS>*@Rt_K0tEJlncgZ*ifkTjwQ#ZFGp6|_+1RAe<4C7J$kM6P3RwD+T5d1$Ye5_Bx z>D>U|P$xeYu$1v1m94$grZ6SJ`r%@Isl;H*%}POywLQfS#c*ar@Q2&B-FWvYoN94s zats6Lp5(U$T=nP!x=|K4So6ZpZ%6mOz0P}5umkn|4V+80{6Ppapg9t|nasIh1X^}7 z8A;474z9_bMAdC#|FeiTfNRLq4q@nydmmk|yG!;ef>6@XZxKvP4j!U>^_!mW=Np!XJ`F{>v=lmeI;Plr;xJZw}y*ciw>r4B4Nc*8&GElU8f-a1;m9xQpbxNjje z`K_;}rsN2WmA2&|4$m7^^omTmGso;d7{S&QRPTx#sv^gJSWVdlP@6Ry*{O<3;m` z$$OON(*XVe!eBRijJ;0-3ge&39H`VJO?&cF=G_2?K8EzP1@RK9U4JCEiZlQl?IIrC zusla%+}8(WyNlV3tdyjch9zv!%)M*LNhc+cHf_j1nftLaA}B%i95pOG*`;0BJ}-B$ zVvwcFszCJ>Cb(k+j%-NCxZi}-SJX9r259u@Fn%H4Jd+q&c*l+N2kz}R2 zewO)HnNuLZQ|tPcm@@!j+l*O6EJzWWH$`?!%fE-(%~GKq#Q1ByIw6Wc*LG(!rfz;tzOx-|1Op)uwyQ9{;H4Znxv+6bB)W*A zNwYa_KWv0eWjl?Y+U!7_I}{_AbHdB>3A`;QMa#P^YjcjBy!PY=f7~4LHw6NpYi~eI z%7~9`O5yn4a$Z*^m9d$Eb9#GK+8spWKekEWP?(+!`j2Z=dn-p@-|Jo?dM}K{7y6_5 zN8nRg|Fzy9%bJxr2ZH z5~}?Nwy|2qo*oiY=-jMwAv~+Q+*{DK9Icn`Bg;2ry6lA`9Fmd^?r{at@`#AHWMuEd zRgUTi2Fm!mX)&ZBPcNZ_9esG#HK55@2*VX*lD?OWHuYn@Warq0yt)aKw+Z?f5v+ubn?(+JQ?xqw>c?^;jJzoN~SllC& zj2GpRqu)pQSCcW*qf~$|Y=SeR^l8V&QLXZQUFlE$)}hCCmXQ9Jwi-`kbA3KEC`7}? zRITvUb9Y0tr8dl)Q1uw8Cr**jUjK60f0_GVC2dwKuXl2=Z;L_e(^`416!p_#oDqiv7N5wA!v}+?Kc*UU$DWPoLE!HpL*m> zGtLxK{rDnVkYFT!|HcvD#ryH!Gc1LL`pynmC51Yu*v^3omk#rtKJ%rT`<(Yj6;~ZH ziSfhEa^Dh{nZ0K+;WP+86!LoClYM4l^5RTo_3`%}cN3lt4)G!}U@>q^XqM_p^3?us z@oONoTv|~FO{TUD*^niKvy_-%7w#O%R5dZ5siCMca~5rKsMEM^3L4eL+DwnMXm*7p zy4!N){Oq>qWnj*K-}c<#ii72n`z@#LcXZ~R144NRM)alJQVk(2|CW+&4~2J`ky}P= zKM`~0&orbIlV5#PnyHUlYx1+WoZ3GTwna3xiG}@H{h9fR`S|f0%e^n2JXN&3(}XW? z%^D#`MXh3MOLq&2oie(c4Nk8(bt3s4B`b<0`0y8x zs@DXARDxwR6zA)XQQR&+inZe_yy=Bl0uLifs+CT^IW0_-1Ei9O)es}A>?oT{;;w|a z&DYj2D*cxb&J!AeZK;|%`5ns#Hcbonk(K$()4TF)_Owq%{p0+RCgJf|C^>at z3BY%h4wD?mt##|Uo#yYwugQ(iL4cC{-SDD%VG|7KHp!SYB4`p~VOVDgz3u)s)KU5> zjNq3uU+&`^_s{h^9*{>!R8Xp@zi$3RKRlHZfEK-VNrY5K6}8{bW)_POqWra<&gB@=;MECHf zs{KP$tMavU41i3FlGoJNW=M9^@;&T0rW4wSsl1O-Qbp~Ogb=We1>kp@nSEz4T(>Jh zPwVXm5Qs{ZXe^T+WwH1rTU4`LxNC%amOP5S=@Lm5!Qy+1s z-6);C>BcC8AwqrWP_!qcD&{*nzEzWJ<727gO!TMmk5e%V^H*Zm{AL=eowdCL=qJ87 z+au#fN(6x+cXlc^Y+mUMYShno`E&Wu_LOE0h(OuG7iL*Sn~eC31D(o z#a9D$KCQZfAW%Ot@M?i(yC^&Zm} zE9MS7*dVUB=Xb>#P_VLVjmZQ2U`h|p-gd6#Kpp2{3n&OPZDIX()Zl?M&NYoPi zR2)xJXqJgNz)!iP%AkVm5k0K!4IR_b$e{E^Py@18s7m&6MC8ad3F_8Nq~KA>bM(~bKCwvTPMlY{ySml0 zj~|wkQu{~U#(3==7fRux;xAt~1@jq4fv9+V6{e{9!WQ3ya|-ZY)+V|@NOGEF0ksQa zFszk@Aoq-`=(iSOT={r`7iVyuq~~DRf)oYtH+C#g2%%~n4vYeBzj*s`(pm@->YU+3 z%4vtn`o?J&)i$q%t;Udf>37`*-YWLd?Q6J**h*a6WYqwOm+MR62m485(6Sg#*3T@V z_WACqm_0T#z*^LUMTLYuky4|BB!VC~Fhi4E@$>EMz6$xwYwW3Fonw^Q?a5!HLMBo5 z;b)@1v5;3({C@CqUqY=UZ`aM+inabG3pGyvpI2y&v#* zq7jc_$E9Tp;R)4x`6W38-d!==Zw<7nxu~gTaRH@HV(ygM(oIxQrEs?*xk+ExmdQ{- zf-_?=@Ve{rOj`UVGkgdgcqkiu&0i6Pf3xs85jJWNIvM$(G&&Rw1h=OSMH>6Qsx+S? zHcQ$2R4DEkATd^0U~2gCAb;=;HIC0s~KWQNzcq|J!pque9tM!bNt_ z*BX$(i`iYree>XBCEAe+{em(BnFewsDdWrn%a+&XZW=8HD~2MYk-hTH6enXe6^P3C zMj46Km9ErTkZ(9_9Xqeh{r@FfhtPVTfv;Ps5IVaMBQ_9-ur`@6^Ct>;tAJ9^WG%CX z2%fkLh8fY(*`=b`Njn318WW5b-+GniAG-jhNtABt`PJj4^a>J}P_lkkQeVgmS*028 zg}IcM0MpgUjwa{?NOM}q(ZC2{r?r9-V;RSHr*74Me`~ULU?%T#+tyd#4SHmyOBM=U zQfpIPOlm8m$)1Yg?5H9+))2f4ZuHY~b`aPDST^t4xEtjGt*6EGkU?|a^`i%chjLTwD$65 z=o9rTk;@GmN~g5~B8YiUl)Ot`8%@Z?p@^BL=9LHJ+imEXG;CbLiQS|+ul~qe+Uf^? zzeNxm4K6`5#Tpc0WyUNDcBdr+T>f0on5UG9$*qV}j!EF49_F4fR3Ebn@)~62+kktF z7)(qdCnmNH>e;m6Z$qechC?MptgOGhc875lM6jrqlD!9nsQ6{Df&MBh-`l8p=E`X- z(>HF*uZonnc-TAQ0TY?oxg~-iL?v_ZVDDVDFL?d)@u`;22mZ$IQ&c6$8GzYv)#}+( zUA6_F{KpPKiwiYta2k@C%RZI&GJDjhk(fPqVBGLh0y(C7Qnf8F)*yg?-G5O;F&ZQ* zpNV3JM24V=hx6_xPc1JeTFEq2HLQBhIs1WSP}l(AVqiGh;9k()6>NOg0DX67Ebjmj z>?2UsW^q-zSAS1t?y3k=72?V}CnT3sovstShfGmfQT@F-wOG0x^sNS* z!?5fNz;ZUlok7zHK4za=AASX)>C>*^+;a|WTWVWZ59$b*?^7KpbB^)wtaPmOOB68} z4=F%B5|ao#-qg~&)$SBDk(`vY#H%S<=v>vctiACl&8Ek9BwC$?SxdI)^e}9q#molH zlA2W?_|bJ5=zpJGcuN1chr|>Tc}2zAeIJzG=Ml3ox08^;nB1TkEg$w7gI9v9xGX34 z8LH~nSZp)D5PF%+N$a*XqNJyk81;_t%-gMKrDNjmGJa+n7hT9nll$_@=Xc7ZS%wkr zqMD18A#<0+>BYM){yD6)O1FZ!C$ygf;kagwjb*F;-Ow~X%)@(8XTLXOwv1Akv!V`i zQl`)GjB&f94bb%*H>I=A$Mno|W))^$`7(f%Ey{hbh_@u$@2$PS!cCCGin~|!Z)^i_ zhHu@?&L1W8Z>sE=XkAM>YUAQT+IS-*oCCz^gjm5vEnM(-9US*){p%0u&&u&Lh4WNZ zvDfbB-ml}uL-f#5Ivb6wAz+#2gBRw_H1bd1v0smFe_L%S+F#MJN}^Jl@-Q@V1sp5e zB}3ZpOm!UsS6>#(^tPLCDET67gzN=kU=Fm70N}gsw1pH^JwKn4qjF$gRQOy*104J; z+kk$<$Nj06fjZcb1|q~|+%87nxv!Y<%pu#^kJIUe5+$?p%I|<|j=&53 z>axEky7+0QC(#0p={%v})0#k%UfU$spLO$C)+^S07q4`r)}~r?Ms9im#Dx12PZTd+ zEmW;^m-hOACvKQiZP4cSm|-!k?>`g*LyoSO&ZMz!+5+^7)^Yxqd8m|HEt%i0PU-q_ z4T^)Kd^!}{`|{NMh>Nnn!mk}^#9g}wP7}$I@|#^LO=T12u==m~avo;e z)RCE+wV4(hH zHFSao82n`+z_0Zi9td5UD9@^H2MIO>=zGJBCl#&595JSxEDE^anOy^49BRzllLoqj z{XC?#WU!uNe7Uo6%h9Ku&MeqEPqxkk&flg3F!z{AtIR-j35A$c#+oM+u+0CEGq(3& z@x1suCzHRnY<%{9e`^a%b*bxk>IIed;>Xh9F(JHu$x;?w4y67l>T&Tr707S~5KAg~ zfYkrgL5{`iqSox?PFcoWe2a#$I!mqrenh}Sy48~J+D+DSLdx3L z4#b~iAid%C<&CU=kk8zsr%P!*tH7{!17oR&y?%HK(3Zs|#y$KyI2(e`YL+4?lyKAP zfqPzS8aEgd>G!#Jbd)|fhWDJ9X#xEU`**cj`Uj+HjSbHaj2>kbCuMgEl|IaaIAxM8t6$8hWgUg`Q2`;UW7U8}jv znQZSN!mBsr%rNTqwvU~A&6xu=7TF_nVQIFGZi2fsyR5}#xmWsB7NGfveuX)8hDYYE z7l41fvaXx0&*tww4*B>zQ)IKoWsKS>V}s9cvVg8r#pJk}y($)HwZ5F?Sb=s#gts}@ zsJDtahp}eZxy-cWN~1PW4Q7TPvI3BfwV~5H`{3FY zUCSvh4?{8opUtYMKhhHz3KwZ&QAaa@BqK$)02CFE3~+IlBzrzO|CB?l8>|{h&ViPD z70oX-pm-<6gpGYgy15np1xUrGw#EIni8}N{Ul>bvC2GSqn0KC3dvH{m18@{74-jnN z__&zw%BZiQt!SVZN_6ZA@YEZzNJ&Bd-Rp2Tsr>5toH}VEomA~KG`yQb@L1^cCaqsl z!u>N9Y37<^ksgz+`X2nW{e9>imlQ9?Hgp8uJn$wY2L?UR5wW}@@bLu3xgk`_{uw6% zmmV=2G8%ZH1#F$O&>M;&059u?1|voV{!`6;gy(lVEkCG5CGZ+yGIN)}A7!9#Sv+2X zr<9I5#Ia_?xyGxkg2WU{3;C^GB{jQ1OqxMF!TBX#RTcnUFv>vEx~6y#ZV5C`{TUf) zgR}So@irN|B@Jik{OP9N@a=PNN;U%DNCR%fHPfb5GSe|lE{2|^a-TqnZSWo) zS|HI$7|?M3oQWL2{(Y3K-6B3o?6`oL%3ACYrju^+bt_E&JoJhV>!?C_=3N4G$-MN{E7 zF$wB0us2z8-8ebQu!WD4>j2YS8q+_fcX~ITuf>e))4Zb25_FayJI2))E~XIMYZ>ry z7Yn=#d-xiCKZ}w9?IXDMuEfM_Xm)uZEog(b)Jj7wALXDt?QTA)uHR1WnLg?d)Lc!p zL_x`RKMJG}ho$9+z`Nr37*!$ekD*isnw;C3;X7JGLoVZifxt)J$)tQ5;jp7J#+VzH zjGN8gue_hMT(;NobL&85ND_RsWm&o}Ba81@^3_xfWG_ktQq7&k2S~Y7w)UQLi`x+m zpQ9FCl}rVk$mUNC0WHPp0CNc{zQZ}>7`0`q)s5mo!5ND`PDLUkt-pv0#WK|K=H0H= zZJ#OBFVY}i;Rn0CihK5;PsO1*bA|&psa+{JRHyryNqFmz=^dqg23nr&aN_a58V$f0 zHn8;iMCqi+r!DDKj^viDsrC-?%kF#Hm;)kD518(ZhzRjvmabt6K4)URfvV_ZYCb!rf9%ue zhL5F}PJTZ*IuxUvGqdC7+#69)W;Ja8HrWt2vn+SZ9I}{{_)^qv>l+ZjU^83WW&;lP zQRY{#7Mn|Nw`v-Cf?&1c8bZicgA4j87+^-ax+-5=Emq^DDyaQ{Cb-zxf)_a=hjY%b zc|4dGt#G8R%Rzk=c3^t5(jNFaUmFCbdhSs>5GNa+>a1=gXC4LPp5-r8CE5Hbq-MIl zSXTO95ZG@8n~eYc@oEh_2(~Q}X@{I0C$=;Xc}M27GV(_^6J+V{;k@~`rAN5G7*7Jq z=*$=^j)_gOzn5EcpVcVy$oTV%?GR>*z~#?Oq*}ouvoY0Nqqs_I@2(J*Wo$5SSCQAK zq29G~69ds7_1l^qKX)=e=n9{=ZgBQG2-akB9|7NEqP@+ZZmOsQ?ZVuw()QqOD@&ft ze4VS^AHm6)L64XAHWeq+oq4>q+a>!6hB_u=dKZ3iEn;GK6t6{A(HH=Q&+-CA_OK11 zud)RQ)Fr z04qt<=u?4qjw0h;O9C(9T2qdVW6#|q$^-6(ie44IH7yma%2i`4%LsaCQj(yG~Xxs3Pz zh=!PF?q`ONHk=6WrY)c90!0&vFy24JoS@V=pFSFG!N#u-mXnn3wW%n^^5b5V>D2cl z2#qw%dY)POv2jIf+TM{EQyJbhS!>Mt@kSL%Uxa z;@Nrtyr0p>e)8ui?7+;)b@_2$+-;>uLzzs}_-*^D!#ap)VriYs=7LffNjc_STeZ$i zH&E6(t+I-?FG_6DP>rrYF7i#yK6e9}1a|EX_vzWPBbj_*6_vN{p_yKJM;Jpnx<|b3 z1-8GMt#f zP;>LPZfV2p^Q_cj(WI`GZ z96WvTf4kG{otj|+mx(^hLMlV3KMNrOf&D*Jb3M*bXK(2x~b0hLab6nx-6?&f~#+aKa<)d7Z7Fm5&U@ zh^1vcW5T5D*Vr}^ck#0H!j7o~$bU~vTdMNv0)u?Qdidlhk57w*1on@`GO8hmHa+#j z=n6yX6Pf6p;Y3N}wupVj$HhZ|cSmX}yy$$sgtGnL?zd$7r7Uk{51B(1Sm1^qehmzv z6Fa4o9i~Aap6WUg<<++=o8(Ye2Tkv~E-H!ec*Gi}eri0MPV-#DYp329m&*ERgE_G} z(8sst{u8KKwY%POsP)TK1RAQ*(<@}2W43?5G5>5l<#Eg{zh~U$-(61bU4lrf{pD}seHnR? zy?T;93@N_ciF8V{_lg$krnhnvM=T@LBPTcS??rABLa!PN=B3Ii$cp^v)<3zBhxSn-|`j}q~#&w6fKv89VOIk>t) zB61%uzN{^9tp*v@0grL7DR%$3=eS@E!ts8n%9pSfqzBe+h}j#JsfxeJ;Aw3i-aC<+ z6U2?o(SgD*W?pk&X$oxRSghB!#;p9yTOW$nsdjpI<%d?K)C@_dvSOnCU&CVR=5)^x zx;Vwt3w5s{M7%aUQ;Q)Z$6%!jA9)_Wh4so!r4nWUZ`fmA$E}4lOPxLr1I4Ss9Is8+ z22t(r3R2bM7vnI{V$2Tf8**|-?=5`o^8f+*bsA^rf*<8qpQD)7=_y4Td_`!#6TH5$C=1O4P7;}9^t_g9depF$sce*u`FNR09H)MYh zty}Vk(iF#Ap+u!4VFd=K;Zc^=h^wN~OlZt2+RR!Q6+a&qN}H7VLF}Qi#DRU#oitay ztiTeOV1CMf>hhc&B(M>C$+!Dzzi(fTk3Lp71HCA+x1bF2bg5QbLwstt{r#TZfnw=U z5rgOMZXb$TjC21vqdKz|Y#O_1?ekpkeKHP3n#pn#qXcRy=~m@ftv)1@N}pNfI8Kkx z{6D?i{eqe3uV|l1!yU{yW-sd024{|GQ;4Lp3o%hT@sD^OJvWG(n(l?>TU#fqsC!>D zuTm;Z@cWPoU2f})8hRj}~!gXbL+W(c2So8$Z7P@rdu+(S3CyFI~z0cg#|qsB}&aQQ*bgn{zt84POG} znr@3Wx0Y>IS*!P^z9N+ztxv60f@t9PHZtzX!eD>M!X_|obc=9`DqMm%r2Qahmv(XE zmgT^+tJy`uHoPIH`N}qlx<8kY#=8Xv)r0AYH<$AM%mH_%q1A0bh zR4cm>2I*J0pPgHe-_f(^Iwc_E%-8gbn;Uch`vA#G1iFmIsPZ=Fv(TtONWWT2_t+PV z6pur%?=RW1FJJx`%l6; zXKyc4gA=SY7T9x2m9FbNeZvDNjYHlWIiH;~dTy5;bCA`%YOKBT=QPlc7ZCwm#&eD& z+C(j@QMONQ=P4OeB1}>&hN>oF`v6t_grlLLMV8x&Wm^(c`f4RBnij@2&{5&B-0&uJ z6DSw$e2lsx{$`A`s8f8As(JnM)?vHRrfbIXYBXXmTDupPfr7!~U4(_~<|*LP$An@* zRpVgjG1tf=@q)&J_7m>IpGmpvZqX08{W#&GSvoI+t#$!7%!c0>jGxC%KJvx`zfsmQ z*>yQ=%ceU4y}=2Oyjx`2?RZ4+o)(dXE6nk}qY?vCp^r;0K{2p$T-8eSJkzs82Wrf; zSokynbT;C_;fSC5eF>5(1}>s*0j-}d*)6;JKb{RSQJh}sN@Vo@j*--J zdOq=y6~ek>F;dc!)5>yY-z1jYFU$CdUdi8Y)J+XxwIIe`^Hy}%yOgq&c@r7e*|2I7NbEUx>8NTjBI-w~ZeQj?3@wjP&t%nb$v0{IkHxhJ^SGC&3 z<+UXMm1K1B4T!`ORCFhH$5zc|;TTRW3>*Cs1h#(*;$?Ywt0L4g@0bIzKmi*Owh5o4 z3D;o8JD#fPv-bIIvTo?GaH7!;ab=+cbLoY^*|1 zYlS_JiV1osOeLm?c>39eIQ^pS#;d`)v0-zxuiNdkL2@AVt&rxWdrExNuYhb!<{PI) zVTl&KNR={#a-nr2@N~kT8VSku97_2sJW)wpv=*i4gw^l{{+&U=10byUR<;Pdpi=K` zX-5#Klw<#CBJ63>U?U4B@Y+@aU4kC0vS=%(vWTATQ#xs1v{3sI-1)yZQAMG_rf?x= zz-vqN)c&)2+gl|5;xjLlKmWkiLI0^>U;wqL6BKYisG~|`>m)z1#CP^SlNVcaXm1es zl-2LIE=+0^9ZF48?_eBZdzDgq<7ORKJ%Y;f`5_J+_l_s`PQP6U$WLFfF$2i7Pt0SG z*UUU~OJ%)C(R-w8LZh18E6vVn$y(0C<$kR5&nVtghGI@=(;W4ZG1`2$j2wu*ulGg| zQv4~(y&TU!uqgZ~sMt0dm#urSxNf&fqcq*tE(0;bSNE+i4pNxN3)HH4*Sx%(oKLK; zqg8whPUtIbY)5H}Xi9mCP@aRCJPavAr1OU;P`@Nt z;FZx*^>x$E(lv}5@aFQXZ|s7f=lJ_&P7MCOGBsd0Pt*ql?Cl$xZ$3p$os|8^4qIoF2c7jvQFipU>vUrx?Ff-KrSW&16x}y&Rg!OCa7lhpYOR_kSLz2N6BHo9 zlQT{wuN`3wP%qyI{p95+=_G<(nk>qF^5WzNN zJyB^>g*CpLTV=~eZu7;W>S0e{Z`(g8j;#0I zyO4!B0GAjV&k(NMZ@cilF}oB(IxMwzm&=?utX-Y+d!s~^w9;IJo1WP~B$NjQ=Q{_{ zcPggSa{j4EQHUR@Kliyo+77NjPgxy{h^32X-%mV0s_%cr(0=p3a<5`-3Pe=O>K;|g zZ{h%wLPnRV@~fTM*ixU$AGe`9Zj_(BqM;po2O6I{bAc&D&LR&z(6I3fIa%!%4?&;Z z>C#9h8E({>-X|&0(0Z6EcU9aV!_UldO{zv{z4t3s;giNbdLUF=SOV{p`!`3Mz54ja9$SvT$+b)YV)t6X#9tI_s8@H5?H>|^idr{NA_ zBt8)-QHNYfi4mL2!H)zBjxNVP+Z_^v%kd}9N-V}w|J*aJ_9~hdILtondMh*)HdF*M&HC5goe-G23UO}-X?1=o$`<}iL_F6VmW)9v2 zFc&SKyn8%k{}$kCoSH)njSV~m!d8DpLu0S@U6uGj$fImIb;};HWGCL1C7~nPkx6^~ zWj!7j_>18UH?A@EoTU1t;&Si9(G^8A=AK_&tDs7R*K^O<)7WoNTXw&(Y9|-Rtfl)e z1}ByD77Im@vsB>UfOUf$wo6M3`)B$o;U1pvl8EQJ1Zg-v46321O12-JGik^%tF=tiZ%4wk`CtZ&Wt3;x29LDCW3Npi4~xs0ink23dYLa8x4Efug3qc>OteC^ z$1=IDCH!|$zNPod2+N4O(MhFb7AJ}Q#G6(xs5|zcCGq+n70gS8kXA$t_1@^V!B1jZ zm8}QX>y)((ld)s!M19;Rjq!k9)Gpr`GXaJUpdyyqC0cQccBI)cq3e^bwRG!98`g_k z5o;)uD>2D#$BWnhrU_H-eiRBYxo;P%7-@$8Hi~#%>MSR~pM{y zxL&E7NYMF!OI-X2IxcJAmlYx&!%XU^Bo0!%fiVDSsMaATRm8McHq^8(D` z3zG%$?FKjxi9mQIf0?9M>4>d|DAhjy-WAy!%Xh+4KG>0n3MkLq(7(S;Zae0+xsG9l zflVyPhkwd84G_h2g9EyGt(6RUN&OwGRB`HWjSf?J`zl5aw&F`BE~nNU!-U}oxaf`d z0#JKtpbxVAQ2hz2npQ^Lk9wclFF{AsD<03S=$C{kovelq>VEw8c~>!*fzBI2;U84A zjHB(a_dENPWW=pu8MhGIPo^QECnfvMjIs&ICL<$ogzoSqaZres_P@gDQd}tS$(n9z zWw!rB?1UD)LY@}7{4EkLCSRSB)~+=|R&y94lX53BR2e(@bBjH*;!rY%EpkX+t(D`E zJFtrm>LpMmAv%jvE;O&v0+$vr++wGTPPv4(e@&)#`@MKq8Ew!4<;efVo5s`E$9 zKrsz7gMpz6#YP-_n_oP_^!-)H%QnG1FmJ*)3rX zCgI}HAj^6v5A+|N3=`Ho_)R>%PsD|Nj_;n5nY5179*}iMK-w(cHs?tk!hv#Q{(QKC z{Tpu&_~WTBKVWBoQ{_1@e|b7SDVM#GWR(l_BQJqKE(xRQT;3t1xWg3e*QCvz=TDHh ze@~>ct3pY90VCqqr=BZX7_^*Qd$WepJhyaY_CHfWlH0IGmI+#O9<2l z>{&g58xKPKeGo-K+<8SHrHSn3jQ(H-z&Y+lQZ8i3i)P zXfI$3$ndVO=&Do;Y{zPJLSLfrY1}AQGs0|@MS92ZCbc}jQ2Qg4cuSaL1ECLHbW2Qr3}IR6l;s6LOO$vyrryXuU*=3Giq`pp0OnWT_Q zM3EhrR>n$}y`cVm+E!+F#y!$tjfUo_-)Rvr4}A3WD2% zZkH7Dfn93d0|UKS6WAZHt19_3N5|tW(BKw4Jn_ zuk_Y|VeF%Mn?Ho+<6332kiyoTGOXt2Jm21yarapl8AHnhEs!=gdwNBDUrg?Y_9&ub z%*2?YUY)Q^j+Qpt8}dh??qZFuA0_9e_+^IqM@x>RKnSfK2e&=!hRDaeWaSLmiJhWm-Wk6@mqwB6~o>!(QT}6 z6kqk^CWiUHw^Ui8-|oPiIBRSCa@HUGwXHany11?ae96!Vt+0Sz&C8`gBd2=_@c_-gIFPIA;u?v*fE zt8=4L1rm4d&YeIkh0-aHX#95#h*vjLPHr{)N#e<-+_%Sk_nb_dc#FW{q6$@aR6bws;K1R~@TbC`VrO3@ZFh<-7W*&K z6z<(KtHyEkJ%xt0)~HXE*y46GGas z!c|SzV!5+Tt62xL1uimruu7HZ)k~dB?gB?Kex8n_*7r?iIcvQ*{`zL)(&jC*y~1x2 zrs+W&InglcGgEbzQ2`F1uwTA*9w%=F>3!y%1ClbYAgObxluEa8gtP4!oh9 z^6N@vT?`4vkMYBVOnUG4)0a#xFzGw4zVQxhluUTmRqseFPBS&P;aI&Y+4~fR6l?FS z%sgFqJznV$_g4g$7@{~<*6z$Jz}bJQItfRB{g7gp`4O~ z9fG9_7OT89<*!BW$j+%@VO}c@dcQe#DUk;!cvO zqgNr766tn4gpF`D$zC9P3@Q{iD(}5aeZls~?o(5G;EW3?FqlJ!Y2>RtRm`Zm;|6^D zU?flgRUuB3C=#!;@6e20R>nVmmzUH;lSBkNwLXzK)wq2*Iewx30dj@N2EQ1wow9Fgdg$8Xv{Lzo`VZkx=7+wWRJht-y`9CR zdnHdsCqv|anV_WAadnXJsf7mYWBlu69nzjbkCZ3v+N!+H>tw}!6hSd&YPS7m`@#~} z)8x52`?0ks~o~&3A$n!si*OrPZ0gKD!nR6Ukpg2iVR_skHg1niI>wQH3*7ks&)i_d53XORbf$b%ZjG? zFxAC8tVGv2Dws;Bw)&ZDBU=c&0Df-6jlj>jAyb6lT8!2ryM4>mYSF)uYH80MJ7()D zCE~5_a8gWDyMHWb4P3`FqsE;69XRrxe*q9xBZk9JqW6O0L16!)Sm$41lPMwUQx5U@ zxP`dS`?N`MwTA~gmW``^j@IgK+H~qoH+O1FJjLZ}_pHNpTK$(?O&h|0^;nR)~Gm zH%_LYXecDCu=s&6bh&@u9gCf2%(4d5i}kshKfAQwz?1%Yxi9sIgCc*l@~Yl=I-$PW zZnSH(Fn#%(;Gq8A>j9>QT%wMl1!I^sdHkC0b(cd|LrjHVw8)LCZ18{GF&JH=K;i;~ z*kuO~t^rrox`8GttaOUK@VO?}08PH)kZozGXY?a*xduhl02urv!kQ|TM0CmRs*%!m zn`f2iNHpJRIR1fS1f(+%MxRB0C?y6i)iU@;E)$)*xAKKU@;g39URpj-xm&C_2xPTRPeR>Ou&Tt5ey>EOOTjty0nU zF%slU$5UQq5FWewcu&N}tKAj2B}4kdjNuUlmN7@F5>q1k_mWf7e=B3$fZ3PH=#cu&TusH9V5)IcspOSVl5ALo*EwVH>&=iCr8KKazb4IFJ&b@o@YvqYUs3rbUo9W^ zL&q#c_9F8If#>fQ9jO%b^VLjo+dzrpJe9=eXz*#Tc@XQpp$_sjKy!0#6uoba_WDWU z`X5E-;RyBr$MLfxBchBm>dqe7oE6I1^Nh@7Btp*FqNFI!8E2levI*H|6_p*w*|UqY zSD9a0zt8V4;LgYU^M1Xa0C$7JAet6= zVR8M&YfVk>$poLzU8(;_BQz}CsypV0w%nTV#JgFD_LbjXVzDpO+|t^%zyX6%sz0#%ef zPAj-_K{l9!jmwlgAK;kmG?A3K;;;?enu?nGLT}uDW&g{b&;GE#kh=~>3_Dey6AN4P z?-^Blh%cdE7z+hzv`gN-i5k>V*H`UT^_$nP9F;UIZ@+wY-9OAm9XUBRo6HU60Ij@U z629KXyZCRarNc~L7}YKEdg3uF9*kjFb5gFKt($D-D`IX4hVZ=^u?q{S7bnEQ2H#;V zrh;h~#vZV~l%q+_XZ5+S%5jP6Id{*2qZvu(LF1j9h4S#vs<6|Fw`5m5s#kZ%Kei1h zS2gW&qy=eToGLzfl&X6LxgFs?-+5Y_t4=M-0b&i=co8wCs{TgUOm$NarVj6U%<+te z?t=-)dz?+)gnnLmRgO)%U8LEO0By9I!__+KFmRB8(Mj>1pfyo54G|2-E)a@AZVk>#AV9b)t-phQ}%n z>{|=8VS_mMB+M8oHf03nd_;xjIcLT$9{>X%-z)*aWphy}j2j6aQE{h>Vc?(VcAOp= zsS9OmalXp^8iIXAVW;>$g+q$}ABph%%{(jN=jR^R&f{RTC)LC5tYx)(P~-z+x&tFK z(`9wmb?J5dG>W3uE^}#~u}rtXJBW!GE-Fr|b8M5o$+*dk?0-|A97U}B3V{Bey_0~`YCd^Tw`*$hP-2uChd^-Wk?_OY z9W<}FYwcZliyO_}zA<5b7I_AjRr{-?S<_Uzhm_n+3b%^VE-qLS`J`WwTiu-I;guXp z_iN(TSKg#yBO%N+Fx{JgOC>X%^Sq`!<@zB>rOB!JZNx5X(BkU>@t-E7xc4v zo&#s60hwey`6@I}q#^Fm^zh2%Kox6u!xzi)bFSp0<6Sw+1Dz%-+})c<-!2z@aKzvA z|1KLtG`e5NkyO)^%#9)dAN_M_ps1u{<>H@pEw2P&n>X@gcj(`;%D>z8Ed(ea`?G)>T60-T z?3g&53D8^G5figdK=cxF13R`CjN7$$pvzXf_j5IgK6PK8$E42kcDdE6H~u5Nx3Oj| zdVNyyu=(nhKyAeN%xwi*^*)D%ob1;`NK*H4br#{xxbbx%R?X;i=Og>rUCSJO?SOkW z4_n}9Y>#MCG0uO>$>FV%ZsG5e{BG;D$5pHarx`Q7N2Wj zgSA0x4?`8tLm}R+TAV)-W!-H9Lg>}$>Kw7fTSYd7%+Xc>nr7aOvdQJ#yDT>8C1Xzt zH)YcG33%jhC%4zaNr`eqAvC5b-N`?G0H{DIS9?*c^`V)Mo?G(lv68?e{)4+xh$|5( zD1_WlBPGoYZYp_SNB;q)?MXI>O;>`^S`vh8)ikyCEiTlw1ZUrt%Cp4QznyjyWkNspX?<{6Ir(3r%b0Fu|Y+i#VYp z{1vKgJ;2wQiNkoFTl)pbE#n58D*EKrCLG>l-svS{$LhJyBS_~SUpiA{se1a?j5soD zl2j)Djje%Yzqf(~ zwL7n^z69!?om5aYg|czxIxq9G->5BoGuT+$k66!Y6DGJ6 zlgbQdGv-nUUzF3P&TyAAECz6|f{!dF%cgQnwGco?{I3QzfFSwIog#BW3B1HzCxJT) zRi7fg|K2@RcQZGi1|7WVW7k=?ZZ!L7kUy|IMb6;m>I;UqtIeYH6o;{AIY(5GJy5tf;i^{Jf+@bAf4l{>gR zPb=9rA_D7xGO}!^&+BJ!N-?K(=R66p>DVYdE+4t|>LRDvRcfRO*}>gi%1!g18N?aEz#@3PAQiAe;Ff*H2MsT$vG(xD_xgd-ULb5i9&-1 zcB}%}96mhp=BF8CMPxE`!P2RS&wPATOr>doDR_8Zzg}ZJthXh; zdZH)bAmfS})pwBE@1Oz0RC{1M2G;19u>zy)ri}S9iT}|6l#ajh=vSrmKqTNnrl7XqyVxxR zx3KiR`AOa0KsJ=w01pF{y^qD=ueC^B{lD?iWwm30vh;kz!PAw_h^+QBuOdQ4STAFn zF|{0#mbW|-dM4T?=C>3+ug0s}>CSV(TRPPAp*Sj@u3Howaxo478o(%BOK-_U09;oq zHyMkwDmmVcKuve{dq2f2lvfLkbARwpTwqZAj4?c3+u9iec2<(B4e$Lecdd0!hBt0T@1AJ<-L4ax6!-xqfmV(HWWeqlYr znNWCW$1|d@wECE_K`HoC5r}Hf7FsW$JA`38rmkteh7)u)NWp;;sa!PDTwO+l3?ceky z?9G`>IJfF;QUN&E5&9#-^|+RAYuLTnFYu0pxtL7X`HlMI(V|Sy+@|7UOt)w}BEAwk z`m#}?mJL=FFmyk##kvAg&IKMF36U&%0Di-k$+#+-PX{aiCJ%VSwJ&Tt-aZ9%h)s{I zuQn{t8CUUCCuKKp%dj%2(vS#kR*mYn#@^?0bERm>_0U>t*;|dq!}v#4i=gEdsLS|# zwMd-JL-+vs@b;fz%a%_MlisDOrTk7)B??VF9+8qt(roIaXJCr3wtiZ>Qy~q=#$N5U_EbvX_ZEZ^2?6zv3twB&pmW}QbPznK>TLDY0b5t=;O*z!y?VN zMV%WN_xS?N$odXxe1Su~A^W2Ik$=Qp23hQR8lqZyUgt!hp0k*;Y&*Ybc*chKrc{i~ zuK<=}6T9xMFFYmQ8SbKbN{#1g-o$Cq%fcfPRxI{|xBCYnrh=J=&yl}HRVy^k^P-6y z^gZ0G*gFdri@{&^Q{Sh_11xX!dWBBalVEsMvFe~||91zSBWVkq+GXPbH;X{%LqXXG zsdn_KepTYKBm9l|wT`sEY|UiObVgABCilx4uNd*dWuC7-(!iDN1d-DDUQ~jpW@u_3 zXXVC3eH|eAG?wT-4WtS(o`LiT(0#&=y(DK?*57V`(u)~i+9L~N9x-*wNM^D_--h7 zuXqdP9e7^w-_&MZQ%9nq;|ScQUsv5~)<<@M+J-^1XV0nr=}^C$124NvzvR>U%7@$C zsQ;!2{9a$>#oP=>*|>|~19l(clFnvt#HsrJwdeHM0F3|HNxby)g#(6C%O_0Il3IlY zf$0k7>-$Npz|F?PCUKDW(CSu#nDsd|ro5F@Ip=!~IJ2g|5nA2|3&Y)|v=Eb%^cO2b z<-*Whz~ie+Z{Lg>I7Rt%=V=X@r#ZGrJWLBQuSlEF#iNQ1hOFx~ zp7zIJro0(LBy=>qLL%IoA5EAzwJ&Ay?$3*v1UQuK`guV_svJ^&Hk!?D-oqae!M29> z_bZ(LzjfXI?k(K*q)~?Yg)u9ugfQWy5uDT;Wr}h*s-Fv)_jIf*$wa=IFF8Ff#mc3; zdKMo#owtH(>G8XSmQ;z3CzWR0oKLtX+izsp@`tIzsMoJbWJNxqywF9?a?#nh{YmZ` zSPYCb8|gCg-~BiCk$(<@gvJIifCP6CgOvwPbV5H5>`U7AF{j7%3=6cmI1S~A*aOo; zlQXgMb!b5Kh`6Di@{0@BjwC;d4Me73&nqF3-tHU*4dP-fYs<#J0Z2^#3A$BGk)UV%wdiI(;Q*CVN^1TrocLjvY#YVJB@ zRzEnLvwwZB>Y65U3{k9?8UROqBQ+bs#k?JDZ3(Yi){-Rv29k}f!U8zWDO5DgXKkoJ zY$oU5M1R_UIiLqAYOXd7e|;Y>aLEHb`s&jIaBVZrkoDZQ=iQs;4~z^;12TF!s6*Vj z8Kjq=%f%8`#6o>~9%3O`Ed2mOFC>Q02aiA`dOs5D`2W?54aWzLS&T@aMdvoa=~aoU zC2OHYn}kiLnDkc

l(u3#+E2SfWeLj$z5t*R{g`eyqMwJvcZn3Fd~L+{Snxsh9D- z<>4I6VAj9Av@HRXp0<6E2?_`Zc$8-F7>HA?<9IBX>3V$G^CuO2>5Rw}c4J482=KJs zo!-86h*Zc?OR0VAgIEt&c8s3*NLj6rn}cS5nK|fT3LL(l4gR@hb)1xXODFkrEIJ^- zJixn3 zKQfIiBIzN_y+`gJC=_StEb=Ai)_mU-5%RHFVyKYg9<@1mN`L8Tb;YFL`_uCe-I0_A;AADRM z*cwsr$rKg5lT5-UrLi>gI=#FtDc%p`7w>6hRkeU=ZkNpH92TkgyTMr_6Zqwyr>TgM z^B2b@9g&I)!0=5mZXd)K5=|2H1Bb#GXnAkG%hiwmnjxF`8@eIE>Fe%o#^|e?az}Ml20epYL?c&ZCKUe@qkdMi)wF`$xp)CEK{oCdHGB=A z+L_0*o-9)J93&i)jJ8E1mV3tKu!Ns*vd5W)Ld-PgtMaTj4^V#?v$bVV6}}OJPlItT zPV)JNjs=QS{9VF%6l3C|{fiUg4n)RpIkN+3xEYq2Rws2s}%!}KQ!+S4FR1ZhnXaA-;2RwV=>KZpVXG}lk(od5KsIg1RX;5 zO1#QVVsInS(uZG87IigQ*FKw-k>6 zPzW|rbKMkI>WH!4I8`EjCqdQFMbb9n@>i;jTDHQY;Z+ zJzw)*U#O8JNEN1NzTWw7!t%^crqr>JrnQLt(>Muei{F7`##3B&?`*S1iI=bY7~@Q5 zuK|U)LlTUA=9&Q!h(oHuo_`=s$>F3bEthW-nzSN(3$&;N8@JotKxFypc+yyA@yt4Z zK>y@vAt(%zykkj z9-#rJfI^g|UVyuVi~NEP8BKXuzMSyhxe1s-7TXdeUoJgK8lu-Z`P*z#Em?uZx&-=P zPe#+PRbjw1*?0M0x0UD&ylVhTG2^_j>V>>9`$44{f+Mtcc3hhp zcLk{ODrO3?R=R>={eqVBue^N*fVI$c#?zLb6AFnLoTAwtQp?kFsxET|A)pQZ8=!%a zLwe=Px>{Sx9se>!;ozLe= z4P~N#H>YoTbP1k~vW6-~* z#8G-3!IH*;|7K=9EeT?6+x3Vzv~TyL=4}s~uBYS@O%#sV0Mm(>MDe zc$94$|X` zft}G_tpne>kkH_$tlZ!`<2;e=uW_CtQUOb*0m8%kdESfnLcMsE5cv2j$gd*+SSFFy|2E4+`5s!ChCgB{Tsee`M(5tM-IN7 zM*kaMY{?z&d9)B6;Wxk)tlYv%G7DsVoVI1OxsUaH9T<;v?oLf%GitGA)IoCFQ~$Ne zo0D%&Fb?R`^&DLccvfmK;I6(pu&G0g@R!UDe42Q6U6%MT=C8MPAj&@V^OLLBC5#RY zMZ09I-+n_@db|H4?Yer*yC|K*Bdn{1JG15Qa>q=vHut6H*X#zjjOT@rHiDbH7~XRZ zOPln_-eQL{a4V8b9o8I;aRE)C4e#C0K5R0S-ULz_!huSyv8wdN4jxD^@xXU>nnK} zq7E%)=-z;Cfs1%Pg1fUX)#ly_SZ?IA@667q!9Esqx3iELMx?KHh?9_XQgJD7&6g7|fNW4XCj(sySKbg^KPd1S z_(0kf&DQg%Y6RaJ_ze^jU0d|PsTE7|w5mBxa|kZ~{7lnYygE?ed}OHU~KqAQz` zz|7Sdt4ax{Ucx>^0z}+E=s&)6o{cBtTc292iOWv_?cFnzA1{63>~TYpa;1=ZZpv)m zJ}^pe9oI%~W?(2w=yQLKm}YGa)ZtNrK`@&X1AkQV&AJTLq?=DP%*8@Q*U1xCs6^KM z@nGwNASg~C4xXhR8VnK1n1l%l$YJl|2+opD|Hi@@7#IpysjJQUX&dW(_j%iwuzMYzxuzdjB^D@6YpJ+~6y4)(`7)ziQB`#x6@0T=^DUbFu;xx~S3A=Md-iGN z2JWey)?H71t&gUYgb7F3JW6&PjTm@**UC8XC>2 zM2`ESQjC<9m-wq}Z<58Xh)MBjaNXBM@YG?u)|&G-IQ{7fNQ^+d_f zp>*s%fLI|7If|`ek^T%u88HlQKNuojapLsf=GES8&HR$rkCF8rzL};HwfvvP)bR3H zTt@9}v5^=e7@*Ib2uUEx=4wwaWX288F^Xj6C}B ztglM5q99NpknIkFpF@2z*A8;`QA;(YN?@beK!w#zVQ%)TaaIX6n+%Peg-bBHK-vb0+_@NDplrH4 zA|h3~jYDn~>dN~FuIKms2NIVv@3^lb{60|<)ES^X0HL*dw}x4f>%JH-dwX;@TgE7_ zm>^L8ku%#S*z-v`2Wyl!e8jQvycXDmeYr)5`i+_0v-u{SoDHUsHp~X4i8>886EkQ9 zbq#k+4zGsa7I%@?jBZlzzb_OanL;NqD=4W5ra-2o9 zE8hXtH2#T)R(rzmkwD3>NnfTbj{b{>EDzI+h(E4IB(X9ug&bk@MevLgP&YPJ?v2~8 z9+4cn;J|=2L&@eKxCAP+wId)&f$;G-zGQ(9O{hrY;`TGBMTiW^?D~&h-2k|(**?$= zEe2p_GcTm!@hNJuLVmvF6Po$-uV3;;IsqXD_Euc{_eU%2hvMf#{ttPC$Zjv}xs{^{ z8q=LK-XFEwflo5_1q#$f|x^_ZH!@ zVFI(|@czIS1_C|lHJg^FbuXtAEe3_}9=p@p=|KB(zeNPm&Goz%Y z<@iE9O(CBy+#9C6SHIajnR+iDi4Q7dWm{gnH0X{WOIus>*o;>Y+epO(igQy=VcS08 zc3%|pVrB$ISPlEl((xYkP9CmDy{bdMs_F7KfT5xF)>->6D{|(qS)Hxr&V9wT*m^?5Y4c5g3m*Di_qgH%Liy-(jGzfaA zK9xap`8m}-YPty@{!L9c0)YMw`0hcHBMh&KqmxR=(=w)gl$zbwa&0!LuQy1gRzpBK zXH>t&XTBk1vKq(@Pk!09c3&gbXw=i7FYGX-P?7`x{#XC&X~^z#Z=F$v6K*AMb;Z7Z z$}fTcdRh8KKN=C2n>*sN-cNr|KiP^&uwmvr-oceW{&um=F9SU6!-yp_R`rC`H(5;P z0Q=4A&#rGs(_M>NGCn8x_v}rFlME?CDy)MlRg?8sENe|yO zZATAk(t}p=<=Ft)ssbq9I%AY(%p^BOnuV=Y4-~fYM^daz`^_d&M)Jfj5g&X2dIhFZbJDMF<$M3EXZj{u-(MOqzu`XPy3pL1 ziXkI}t#;7(pzhMps5jepyKihw#P*srfRKcvJ{FtWeMjhWJd4=s2X7Ze0uS??3;u_= zeR&WR_~oZ&oWGVJxA`<5xrMG}1!(ZDw6v%jdbs_J`Z*>+A&>_mFKu`|o;^o261InO4_lxSrOi`%6m~ zU9#0!O$j4L)N{rpNGA333?2hD7>67kf}(?3lPhwu==IES8m{>gs;%WTe?uW-peJl* z%P$thAE14JnJQ^Ge|a^wwZ2v&|Md0tT*`gjonJlI0n`ZhyMZ-z;*Wypk487~K>{6_ zeznAG8k!fd)tmUeHJGP~f&i?abNV7~6?b1*ArnM3Fru}*ZyF~qYsddeT%-?BFSc_% z7T#C12}b6#z|+pPt5blkOL~G;WBpt^xMBDzOX+)az&jhU7$?MY9ThB+>)pi|ag(o0 zCTwEV@anpA_f;VHq4ECGA}wUP+;}m<=^cj#`Rf-rs^_-VCr=8)i-47NSPVsL^G2pxckN9f?Z8=pL?Yq~vu2oRSg|F-y zJIBEiclbtR>}90iK74&BS5R(baMCZsp36`q&^#evUqo2$H!K4pRnd;9)KTqo-p=c1`Up=^)Am+?XsXDP-&I?68JZM+C(WgW7SwUh$)$j@9&e~Z% zCO1y8Y372js{IF!U154P&8C zboYv{3mL4YE*{wp=u%6q>DM`w9Y_`eQ}{l_*dlQE-Ua41uU^}0qe_3;C)l`!G?Y`4 z;ZmOIs|7l0#@k_Ei>0o|+Uz%RwD_U8$@rC0b?C43f;%^t4at!Bjasmm~+jYoW9Y1#}Lo6$(ozN?A`CUkZJU6)AeOZ!Rj#*`i)2$&}zBSh*f}7cgezJ zWyL1Z^)e(*3T`c{5han=^*d9a%*#KUp2ZA-O4o&*6O12P04A+<9Si!i+S$1g9TqDwz^1y|k4Y z9U_`GLMn(Rb;qtj(c}okde_~~H*=CX(kLyR6lFH3O-zO4-GoAxV(2Q?&PuZE^RdiZ zedb4B7jkBhkk)1d?kBw-zWbrpvn6nDu&8?6>uB`5xaHMr`_deD@CK$i+DW_U5>+yDNl;}5 zp0sK5E+f^*z_JANXJWZHjU_n-j|u00l#Y9C{QpGiC|@zp->5%Z8Z$5+E2FncYF>LV*OMM8lXQ)-9ps=gD+Q#_B8+RX@_cw@ovGe{ZJ<+$PG@bNH2&+|I0RYJydpN@G1+=3S4y zwLuvFM<&;oAQi#fonEEl`Y5TF%yVF7W(qEv{G2L-1|2aSns8<@Z)z_H>}6X)2Db*! z<4q<&%$LD2V^Q9Rz?V|-Q{WQxcvHJXj7n$&R$+DK+|KeLmhUWwMC9y%5{ocnkX*?W5kONfzpmC%7c^*XdtURTLg9lnBy)^ zOD2F#$|Ks?b)i+{sOILfmJONXk$KjG(h$`b{gC*+OB!J7K$-8Y-cWSDLa&Mc8C~QY zGemW8ULFaYjwOGuaQbzVQ2^TaEk2SBSUgQr;`d{q?>#pajA{rcec!hX=Un3ZxTXb2miy!sgqt|J7Qr{17 z*1{usv0vwDTmftQhEhpu!2Jovz6;>L;mhbHp&tx4ab|%!s;69Qm36q{S4mi^qH)UR+Q|`xEx86rM*rkuUO(y_FZ}4p_H2 z>B0HorLb-=Dg`cvYbMQFv9|p^E7Tx8=!evq@DAS3Kb&>&fA(*D!w@1=?iwg=IXEic zra>(^`1f>ke=aSPL8G8ZQA{ure+-_4seh|9)_E6opGLJC{18tyFE;=|Pvcu{qk@GU z&gg7ufSM3aFaI#%juZ(`ua?-zdqABmOqF~H8(4Y!s3F_UOpV>;ZX2g>1RrzQA~tD3 z_o%h95@O<&qOEx`HI-{bd@Jc0sBOj;pk}oXqMA&dZVW*h#CHuq=LOOJ4ESdbVK#&Q zFE5xTzBQQmsXGClCs7DSZf`o>@Oj{u0v#gP`p1aHfnyHS%PEc+d3vq%D!2~$gv=X< zb4WXQur27nE;&>1qmuh+m%`SaL&3tHe1#Ovt$@hmcU#rN>yrOxm<_6~elUm3XQ>Vl zU63w_=+ivbKWD-S3>yeDIa1n2Q|=qxl(({b@&0N#&*-Cv^RP|Hdk!;qPdYgBRiQB> zLf9v?Nc+LEKjYQ+{!I-I1OVFanAOY0-q)&{j|VML87`Mdyc)NDEZE{(l=(m=trw9Bbl9o2D?h z(m7b`j8JcWKP{+0cS=DcVqM*#KNGx_lnw>q*}uP=Kjn2oBi5%jC1(SmX#8>adZq$e z<%kPsG@l4SDc_kxQcybn-I2_^hiz{=fwC2awi`M&=Z33g<_@W^7t%)+`Z*8wAYtd& zmhYpxlw{;|ig-^St9gy&x0O4lLIH5lHrtvB?S2nYv9!TA1kn1%Vq!ddz{xt6N3E*e z{5wOLH5Di76Jx3N>CA_O^>UYJ!YZz1p@H)i4K`x~HUbgQbzQ4Zj_7cHBwTc}&`A!~ zb5ahe@Sojj<@a%$cY3}AXk+g$F{7~g@wZDg?+2VhDpv?v+<6UAgT?4;n$-DiW%9mm zLv1t#J|z?5*hzmXT|%#fe=<)$8FevU+T^-4d~1dYi#X?JlSeCI^j}CEis6 z1hLNEth&1C{Kkgou@0*(h&9ijQ?|4#G%hk8srNYL*3C^@jmrSHtGiM9hKye z@+%(a+7Kbi2IqcFh_Vq@k$YZ;_AolHBJ+OJHT7uUhyc@TmUbNDzzIB)#&CZ6GiGeU zS}m0TV-B3X**Uo|mFM#EHTh?sitAZPPG~Lp5|J!vA980Z)!lpJatDA!KTL=|7$L49 zxIg&y`!U{U6X@w^F#yDBZw?z!-R(T5kdTv01^*`J7$l!(Z=eyLwlt47Py({*GvK-r zRLgwgP3j^AG&;hHO&+Grg2jUv{F^Iyc8ZoWJN#)a*-hxym$cllKNBt$aaLWJ_eX%Z-N zOO2J=O^UnapJJr60`r-$*86^$ktuFynP)Gpo6d8!mosnV&+WAh{Rj*n=)F{j;^B+Y zI3XuwFWt#WV!zDS;frmH;S!wZ<9?R1fe%u8=Yy(=19qI7y#uc@2$C~3Qb)S`jmnI~ zh*e~JyE>orXAKniN+(EG?P$4b$zZybTl^KR+v{Gv!#CSbu~uk_eXN-Fyd3W16XcVC zkt^M{{06HytEA}?=bU*A1`#@E;0jYcewV74Dt`b6<$uOohOA61l4cwLy=`mHzcs_9 z6TOHbV%?k3)p^>xLd9E~dzU(X=v>KCTl zMX>Sm?&*<&Kv#{>hgoHRK@~29C0tlQ`2ogY_c5LD_0Y-PHN)AE0!5Bj_Kxl<5Y5NB zcV)#5JnZ-gz9;V%LPIZa8c45%g%}UQ2CK3Ju0Mq=4D*RvsRU|D@EhtahB|UxBRM|H zw7C9rM5>}5eplwp&q4m}Voe#2#@j$c&8k~0NI@NW^e}rS37nl=SHB7TP|?cy)yn8` zldoE&UfF23kEABgwuB{XNEU>LUsBR}&R1O0_m1j|b&lL;VfQ$+8Mv|{-wyJBabtYi z{TY9?r^tnM;9AM}r<5<1Pzh4KAdJF<(-c^SFRnS*(aL<=u^$<_kShaLOFJ?_ZN~wj za~EIxlQ z0H~ul;}zYRO2|1vb(!foBZyVOQmM3Ipid+7cCe2XuAMjJPI>bq`VE0^azNhpjSN$! z?In=V1+JuvGByPuS*9ji+u*5*;@#i~OH>4RIPyolXnx@|xTd4AZLf$rJ29!pY%ypx zfv(Yf-K5y3P^e{jNng=$!Ll`qovWr`o(KIdq(U^tk2vVk58Ch#$M;d7I zI&>FrLZui8G;5A_XF?ngIz-xErL(MZw_cfz16AEb=#_SeH9 zjM{1qOtLIR^3k(@Gq~?1k9301QIi;-0_^(LCR9S-`>2 z{T^Y?+$P;0iHhdyu^Q8)$ZB4UbC-+MUTbLMQ}AQ9j@;;i>QjZH`s5)?2h^~i$?uM+t$aIVLlK0$j>~==4b^|Gs0w7n9!v28ag6RGg>Jkm!=G zs%PL`UIaKc_|```@ewDzva+AuLv%Lvyd*cV>ZDC6fBS|&dezcpW9Hz|fXDh=kwQp2 zu$B`5pRQ1R_gQoe7{5xF%8Z;}qkSA%FcDMcjq)g-t-ed6;Z>)Yo#wcbzxw|sS3dbY zNF4+4y14XDmgl}?%ELE?A}cMAj-g}y%Y^9N@WC>L{S;9A*hWFAjnZ{Lc*dKjGsAK= zo5*hqiiej)IBO5Ad_*RL9IqVvC45;`9ok5M4kxwAe5DTv6klq7%dsQ~j0Z-mw5{K@ zA$(`<7}O#nZDWf6Lh~+1Y@gZs07D)1iwy~R-K=>>uv-;!SKUX%U==$Wrg@tw`Grx* zOEDolrftWPU*=ndQjoI2U~&g_7|rzC!yjgBv{7H#9G(o;uAMiOqfrU^RLNg;4(uly z^Yfy=FWT&(C*w0kVHT0NxgHn`03n{)&<8))#n8$1F%e)VBjNr(I|WbN$8700+k8Fn zXs@ji8BW*WAXI;i7$T_z(>9bMwV6x~v!#Q<2B|o`Rx<(2Xa5;zpw4TKcJv6K`lVLPoXR`$cgD*m8WpfQT zG)SHO&-@`S@yWn}-|h`PL_Qv2P4PI}N+so;=i#m^a8XgUy3_uQF@?m8hK)!^72LA= zJ&+>^6AZ&pZ3rPCS*dB^dt0V`3;#e4T#JlW+Dh-yOXWUVc7kADwC+Zv(bXCovK4koRIuKU`3c#Vzk;qK;FpYSmK ztV+~;r6S|}QkL34R1-#z+ab6j_m*@2dzT2@W+!_r#y=pxiGtnUL0^LNfqndGoy>^i z&-47)JEMKuYNS0L(erT$%g|#{%QjWf7^2y6O-f++O6lJ4=4EGk9_0zSziv!1RUAinNCMvALX=Gbe zCib%z29<1->c{ifLu+Hl!BFy^RGeqgQ}$TAfCSvt`ij}^p$~7eL>k}Xzp;=|uYgmA zE{&D|RwO1ctT+6u-OKyIeFoSDzr>vzDJ&alAW(w;%ttpR_G}J%Dw=v8`s7>u+JZ(+ zZ&$;T7!P+P;PmEZ#~s5f5gCJRvlL30y+5P(XMA7aaNu67BO8@WS z3v}Ic)nBzrxu+1Qq9Quo-1sDlE_wx25(h~!7%0zFJH`gW zR4q?Hzwp+>qPSwIK*>b-u{tl5(EQ?Y)MpX+dDxyc^)T<+=jZaW3?gs$WNhPh`_-vG z)8>`qkt0kh1xr}$z_1t3f!%=vd7(M+4RT#HOH}fklGRH8DE4c^pz}xh4NRVoaG3Ex zs2$M9-^jn1qH1Up!?0!N*5UenasxcWkrtwlG7kKWklwV3rfgs`j4lS|ZV!Elc&G3f8iJeZo%=Y+ivKC2VwzVKj%v% zO4f~BMiDk3)1}VpEb3WrKZFfQ#W?coP@-+z{((%IJ~ntih`HtolPc%oKa>>j{X}{V zEN!NKagtk>dUf_Atc`jdePe;>HS#F3CPcla$e-Th##z+z+>T>QS-<5g+QMpqz|XYL zutrWqmoL6wyBy7VeYgE6GKBBx0;)iQ9ncEprDJ{vQlw*3A31955eF@F`bSnU05 zrn5ZJF=LGo$`PP*XNJDPU(qp9yph}MPCi!zezGsrHj*nEIMFw2zK6rNc#8W1`KFts zrC)vw|Lb&>C_<<*ax8A%Gz~9yeD~<5iALWlh#YHie%ouQL*{c4OR63vV>Z|1RjF?W zVB3@{STo~IwXiI}ACEldlT)Xb%6hpsAW(v|U01%b; zJPApsmms2rJlhf_nD?y*T>9?40TrcC%#?_+^rYTpW`Eb{7ey^mJvn1)s}M|couq}W z+fJZCiKp8aI@=w@<(Pay{6?LR<9oLe#hbUgCRbA}906mTlu(xc-UKc)-6k90v6HrI zMZ?#=9%hRE>W@iyI&)1x$6`3GlT1T2S`P@do!vE?2hUAvWT`$Fya=t4bT;G<9OAB6 zWvF>95n^{IbP@A7Jv?q&RfB9po|CATyz!t_qye|qu=QZa?IZrfgQd(K*ujXC$n@&L zTQRUJ3TrV^b!1=c71W3XH23il1JNBfda09OX0S2cdr#FTdc^z-0cLT|HVhTDz zr&Y*!dqYHD_<6NsV2zPpPHGIxdelBEZ-(P@-bI#}edB4W6++8K*1! zvMioIMiA-MS_D1mr+|~Eq|0a_S7LxFUAYZ4< zCm-SFa@dTg)9N`pm}|8MsTJq(I8C=r^LLCxW&=QDbPIy>7gn1Gisy_{wZ0aSt;DiQ z)=(R_TQ|Y}IrsIwLHnA#nfhrye=-hpz3j#e<<-9_(H0dJZ^k^QHA1L3X&`v-loz z2P#8{?z)ov(I&lFzMVsRBD-{=WS~p$6;N17G0tVC>nLNEEBvM~PB?0tcWhY-P!ygg za0p%5H_>kVme1ZIQrb5q@RLEDVz47;%&12~b7ZBZb)QED+{6`=8e*P9KC$2}>SJfD z<|qDg&9^-ZUIfe+B$T0G)+ZO69F*F-CfJ!a*t1a1XJc49ggdY=`O|I217wnQ;aB&L zEzM6v>w>;Lamm>@a0<`iT(xMarfWwWJ_ccwDe>oSQ>(}$87}v4Xe`p$n=JU2h1;S@ zrXaE&SfKU=MbMI#1&-p@&@J{HcM$IjG1o#{gtWG!yWY~jhVVsuh%qF_%Xp4$82Nyb zb*R3ngvjx@N^TZ0hDIL&A4GAd@*aCxI3x|r?yEukvxspUOT#=^2?z$R)$6j|W~Z;6 zcNLZOCG7c|)v4J|b%yIGOls}J^sACMwbLpAM>aWsY@4`p;af`Bds;vvH`!Hd@Eob1 zMMwa}f}G2C+J0@Z)h)!#R7cu2RvS9MCzO;yAMd^S$5*llD68a;7^1|6cWfHkyxv2f zwumXoW6E>)qnHjR@zL@f&Q}JtrPKt&ped|WqKr-T!x3L2uZif=FLprV!q-Sk@D~K= z3U)F;-|@v}5fqE+s4N@A1+h>qrJ_+<(%2yJ+4e%zJK#v7g#~ojf@nb|EP;YX zhbApfyJqDRFkzHI51lmrv{1JXxDyS*sEWr)89hgrzc=qPR&i`^8(0M{GRwub3~6k6 zeK7Fdn!fJHltZTt7h-tzIXS<@j!oYmd|fE}owjHc&=mL?>IGY$R-|V}cD@xxOZM*6 ziy(Vx>u~UdW!%t|?zr*l`&-ahus^G>LxGWac3#Mi-h0NZMs4> zj|~{v^{3LZahBd~&yo(1c=J}Q3f*{tBD$&}b|szd9M}?6MHnP}&N0i%NZbSLU(+3{ zcA+R_kEss{gpLhDCmz5X0@e8YPDF(38v;7{ zLC+oBNEUK1`ArXk%GJD(;u!5aRk_0r2xMP|hnpJJqS@j6+k-xcLw@3hY=vap=__OK z=tu~)LIB$S>mp(mVyA5rWIS)-N+idAy>&gOBM z`$`KYoly2~^z&uhYg@r&`Mc0V0?3JQOj~G-B*gF6wm;C^PPtv8z{JYKpzi+jmqnmm z{$x(w%`Blu6l&7M^FOVY$u0AVGs&!GgQPM;Um6YU4z_9Tv1{HT0tZ3w2FlcDD~^#~ zHSFyT1q(Yh`+@Xz!g`EZlH@DfEm-B+dKlv~af{}QU1AW$cKqK)g_jk4OBPG_^`n!T zNg76;`Kh6Jjt7&-M<8J=?dlh zSh7|9g(IHO6jQb1Vt$mW3Qvi}!kp0SDdV3<#|3thB;Tg1f7V+sY*zf67@Ti=Y}(w( zyOPuD7XWAexbb;o-@xLKBQMWwEP?z}pfSCys;OK$?DEPYP(Vcxw-cXkvszv14eY8< zIz1_SdtO7KM|G{DA?=feay4)dC~F1GlRm4C4A3jTt74QQb3fcLVoL@n$-NL5BoQik zla~cDR%j7WHeq7#*@e^EV1X?sVlH(t5s2j3Sr7*y3-y=wijQZ3b;Fy8zp(k9~a zW56gEHQ=-Qi~)xnv=W}6_ZzhI-nVu8(we|W$YoK2qTZs6B;% z^DqRy%;y!Gd8EY2)*6?sd(8)XddE(mEcG3Vd);o!{*Lm4m9g}7-T?NdHk-qY2ONzs za5H?Ew>zR@JFIRdcUCjZYi$^e9F!pUP_9s1npxT`adSH0VrMErVc$$|`Ogj&PXD|# ztu|0~kwJ3>HVhH_z4Cgw7+bBgyu5dND%($w1pvP{2gw?+siP;Ic(?=#$sL;3en85&wSyTVjrzg!j z2N#&u)uPv)zsj5irzO!1`99|F{{Jd;BDu>fi^XS40>J{ezgO5 z)s3K2yYGH`=1NUER+65*5nsr&Vo)gE*oSQfk8OqnXoQ4S4DEA2M&iR3Mnul2d2aqUeR%-P}#G!hNkCf(UuFC|RB^ zyl4almMxF*G}|T$l-`zwkuBwMMqHQ+vc&KX=hwPeI^N%sgD+P@qfCD-X$S0|m*t&9 z$F!D&D5vUI&YwA^+OzEi9yglUj9#1}Pc~F2l7vA4Bn^O1%9Q8l@=6Tbcxlp?Z|kLi zx0K=0{;-k=KINGha(B>&|GM!tAOpJLi4d~L=sN;EiD%v{($!^1?J;v$8Li@fsm=Sw zKmx>)mWm(GhzX;xvr@wp#Y$t}H-X=Qwxc5i;}KBfoqGy|Sq&zujLbsE7i<;tqgDwzG`q8HnT_lRG(cQ-eN_xJV?;dk$jX-`-x^sbN) zV2_lC=*&{I#7fZ)V^KVF#xr%zM$gq-<86C^l2BrWgG1j-8V!*om{YP{%k!%x_GF?#ywkyLA8oAIfQmUA=Nz!vUiL1?$ z4LYo`y3QyjAMI-94r}1c24D?WnM6a`*MW;e$baI!H(Na(WkO19o8D!-J`3dNgK5+` zJtA9;?3(_!5q!-BV32nkcK^P0{+@o>B3zT)OXJ4>cAs>}xvU2xtvE7YD4ICF1>G4VUQ3>N*!_ zyO4(=N?*oXrrTo#lGQiH_imQmidGfuNq000pkteVDWLpobYLN2Oq;vPw7UeA*wV3< zQT_W<-hfpnh`~mDcH=#NjmTIrr%QU#{1#Gm%K=Hn_pAqn8Z4!EJoUKAH zp{Kbf>KkxR?7tKEh$ysmy2V^^W`bvxi}XakHp6QwO}@N?`iZFRi*txtHmlLKD$mV- zu-f2g(m@u2?UYu({6Z?k!b-<+6K|Az8tU?zqZ7a>l*#8rd=GyD-JXeAm;2AydZ6=p zY-7?bk<-W{x`TyVdsN1Kt~2&BC2{qYqE(vO!jMRPJ-t!(ON=W zGU72LR5bfLV>p@8C1_S6;bLuQ2hB4*n%pfXQetX~lMk_G^qFcY`-g7_38lmmaxe!_ zsF9mU21Y%?=RD48PFnKPt(A+;#ECt-+9pGf`=q^?`55DXy1QIYi;oAzi_H>6#)maeJJL;aMCa#oGt>FC_?owIGwE{<_GFM~;+jY&ql zs;ylPlAF%9a&Y2ooq)nn%{`6`8Wl;`;?;q})iPj~6F9FYubsjqP+yP;NFUd$w$$cn zMcl@z+QU6yeFeX92ltglEQLj&Vdjgc2_;D&19NZ7w#!Q`_qA*X7-``z#XKg@0zEHK z_knZX0^0N$_k}L$kMEn8YZApcrVC%|uLEW9Qv+Idpj14Sw*=@cY&qrzhMrcn5_htS zNFkmRIi!2H+^G%}xc;`pGV;9|1;aGk9LowmA2S~M*bRrlOhAEdKT6^QM?Ql>NCsJ~ zMmD_i2dCpdP$y&c-0gqUy?UQ7lS#gQJx*+B!eV;$KDy0E?NVC{V@%qTl$uRwbWh)o z{i6jI%=^9LJC^Tb>s?y<*=N!f+5#b<#_M#ZWA;YeP)P&E00WAzt`i3r)e;_&d zI{y0W$kfwV70Q29z685=Q*k;8uGc>_I7(nmq1PSJ05y;J z$)(&zOl(@)4m=Ys=mX~gV#^_=1}xAZ9_LGDf?w^-OgttNRsO$o)d1ohmR%Y@xK|ry z=UaF!5gq*DGt&4wwnZwvJOS&e}a6a`No}glx zFxO9dbp6qw{)9}^Z2;CgjRe{#Bd@C@e@rEz=RWpE4g!6!Z96b=Myxi!_{IX6cEq*I zcThm=?tm^IZka|DJ9(-9RZ7fjtN9$3a-atyDMo{4AuwWIn>5oXKp*e3Xy_i}!6ENeg_Iw$S2`dX^RACW18ng<$ z>ShN#-Xz{0J=w0Y__HiVaPaoj$1LtJv3Gw=S8Eg0C3a!+8@^W#IayC>mM_zbyjqNG z^{>azUCZ+v@(s2kJmaBZZ1kJ6q2%SmXjS&3Z(Tq+(IP%&2cQs+lEqdTL}N63X3uw} zB~X=CVK*DXe82S2Q6h#7O`Zx^N5h#b8pb2-WnNPgc$ble^8*cv_FA5A* z26bqJSKNvYsEJGKT>1E>&&Yb{S7B`rb7aU`MuGwF_Rvk7X`jeEQgiK`n3BlX6#8b3 zZLfgW<9s3!#ya>v7v=ur8N#f8FAH?1mM(pxs{wr3!&W4sF}6r81`doUVHjv=+mYK# z+&P9s4TdK&>BYrO7gKhsE;Tc{73`Y^EOX`us9!eOtbN%0qLa+U420tYG&nHVKYZAN z{F_W_4HT`z&a88LLgW8|rViw~BMvYMb!xuaXi%c9TofgE+k&J3>J1;{+Nmja!bM&k zdBb+jppyl>Qn{<|A_ip3r|wF` z1xB2f1mh18_=R2$K#*jxG0AJ6yC^KeUrX`-UF**Khz zxyIHEjawz1(v>NIii#5dUMZ4_qdY3Mo}+181(r7B?h7La96G8K#2eq3Wt{-Vc>LDr zWR%{sV1^2Y`~r8iSgOF$?x0IUwd1|fB6rE@RD#6YtV}2y-Wuf>WcfjmPHq{`hSe(V zeG~ON{h@!1_4G#@z*aQrEvsn`UoW{_CFy+3f9NFL?pF5-u&GfQ@dD|cFs85o1~~se zkc9F)Dl;3W3Mm(Ud3As3d)ZvINsB!`F6M4VJQCUzo`a%cBj^hv)3YRI=inX3g}2#r z=}G^_78=?j(HVeXTI1w2zvwo6F|8ADiNzMfCx?3GJa~@`N-ac;4g<;NpE4ytr>enm zF6tqjRb|i;AFe+~4$o|^1-vXuiP)Yh&M|3fIs2Lx7_m%y%vGr~_7U+TSi&SgsftlZ z1tN`KcFnHQVwXo@f>-{J%>|zN#Y1uz%7^5i&(x#+6ZpF{q(YYRPbBK1FVWn}o?!T~ zlM*VCbcW(v8v2E4ndeL=XbWyssg3i~GM9E$6CkH&GQHHtuP zU}CdaCQtRf-2P81&5>U({INlBDmRG_8ZwjGg#rvCu<{Rhj*rYcS1c!YdS0*MKf^Z6 zrWId_i`s__i+-?89Gv^faG*?V6jc`V@L+Omjgq`^|GM~#@LFP0OcPIamZD=V_5TXl zl%HRYokYj70D7u8@i;E|hh&eeA6DM-LuBq-oxP1bzx6eSsp_e^qIwt zN#cl|kn!AiVK%vFeJ)~9ngNQ7jfRcQGb+#+ycu5`ug9R~OnWr*dn;AvRjb?0&h15D z`<&zdZ)%(GO1l#k99%KyJ;L~M-`y=~IAuL2EWt_qH&kC*Qwn*yHabbXqWBP+s|noxTIjW3k5LOkzl zf!w`VL`uw3Thp_F@BD^a{C(?39n`d~s0u7Dw&SWgn47mpGP&<3|9i!2=2^Y=>3QiG zoBtXc_WDGf;+9IR-Mr5V9QLTRkDeCbhmCdfZBQ#%&kijFYO|3+*kR$^*%|1FNdk$t z&a~^$(}CUaW62-5PJmDUW|_|SrU4cF?;^ZS_;QLIM?8q0!#J_Cp~$VNBFM@AMJlqT zZcxh4hqKa{@^pxkl z(aUR2AxNyxC2&KtLccbjDC%muigHH*KIz#|eF=zLCZijC-vZ%#@+FhkZJax)WKiZo znQtqn(WLAdP_nz4b6hpDSw~F4MbJ@NPU+ue=zPZ67&y4!ip2foS5-~>USO3O7a7tRV@uZE!OjT+0 z@DRRyPaSKa?n~Bx*!zjg>6AG0{vyubJg6qo$IR-FLnzXS`BOvGb1(fK6={T5mP#pb zemNvG+zN^O?C1+uQBNEI5CRe1_HXVQaJ;ULjK)}mJQ3ch28>1a!>?G$z%OcLKrd@&TxPr{%RYp1%n`BIzmEHRTmsIv1}k`wOkmYMl;lu84k zZ+EVwASzc}o#NpPB5%|5Q6XOcCMw6@Mc-@RplZZR?B`^-5IEEyXC&cWn8!3Oe5oCm zLNxN4nzC}UF5ob6gtA8ELTU|d_MNgDZU$HtaVy@`352Ywdnu{}6DLY2o?#E!^jTun zCXDT%R570QHYLW~-pCE$wJK>6sx?;_ybHP*Yvy#kQn%nUvHSQCk`A+UWI$3iHUsby zZqqSo4*Pr}#1L1+YqxXfpaA7uP5kWHimz>|0pUXu=n|bZuGKvSi*8t$2d@+#0i|FSVW@HkFfvSkzQW9qwxLyGg+C=> zu0)w`&m+0RfD#&Cv6sRxW!N8kTK(}^dNV7xiJ~v&q{;HjN3d{x$&;D=%C&sBG*&a_ zbIAS+$B^5dvj!29o{QH`0r8Nw?e(^H%-n!77fP*L^cQD0hPa~=?EU9q&h!zQM=M9( z`z9LXtH$x?tzGJxvu!OmiVr&%V!Cw|C!Wq>^Oau@I5Rt)a;=^{ZeGLR-@hS_*im{n zOMQDIr!{(q9g~8wkPd#H30x~i*AjNVbp`r3B3Ww2Dc4QIUaDcX?iwsoV&-8*Px|OO z_`-X8e5z)Z6L!Avf@)aLtEL(E&SnlRtBP;iNGb)ZT?}RseeBN$&W4_sMYrff>9F2* zp|v-j{2N=D<^F4tiVgDuTv!FXjD{#U506N)Pn)vZ$w+c@XTLIwG5Uw)v+cfb5%3N1 zrHmV01yU%*82i}#P)H+8L7qB5MXHCMRGeB9;w_-$mLD){GeCh32n_(& zFd2$Ubj6kfPN6p^sl#%yee)+&H==3^Y_?0P*9J~ELhpvSc@p?*JKnY4m)v)I zTIc>87d;(>M+}l37^M(5&22Q>=59&J;ETx)XDQ#@zV{yA@WW7;{BIC|7j`-lSy_z=qfkcbMD`n9xFkRoQb<>`UOwvF z*V77gEC<_k|I({z;Qk0mU|+;;2YI6FM=+XCT$gQEqt`95+qWFt9jYSCY%v*%Jdy z7~~C|15?#REs8+%=3vBld#25}sC}po!x;<0|<{ae^GKDEHE37`~SV;s% zmz7G8v)-?r4~3)}0T&K7FW$<5owy1>%M<0d?M7AQ0XDeAZ|}nhazAZSw))NqF;K$Z zss=5*g^b{8qknlVDHa;5^yifqWf(1p*2F!7Z)f`^NcYI=u@+h&eQG{j3@HCr#JJ8a zaPI7h)L5)-0FDNv%E$6GEmFxEK|Z{7_|w}C2TpGT1E23YY~7&H5yH2p6<^;(Y-pyR zO^f0ts!AEzXa{D#Hof5Eee20%R~W+LC8+NQX*vXMY|KD1`}S7V&Ua(`<(}Oe0foLxFp|dRRlOnmE97DBlF5vO#yd2f z-pA(X#e9SJwXPfmotqZSumvB~(7j^lO%e}1p6fj_mIKfMtgRL}{~vsrI*XQU5a&1b z;leGu?cw8I`BD)Yyc3hhI!}2iA742gmr%;jgjA`igV6Dd21|U=<~r*!*_b+;TPZ<7 zOgHv+PFd<;CSB?b`xbEWgU9>ks@HwyQ8>W$G%}NVjwy>55C`R?<`bzJb{di~RaLn} z5%p$hnc0fK1X=HUGYa69orJjrFh?)RjF_^RM@4OUkiIj6@T;chDi`N=q*ZRsQ*JWx z!M2JC8I~s`sbLPc;c>+lr~l*`fnR@g{Mjn1`b!#4VZ4No@}92KCAWB5L{Wzpte2lvmP++6UfTg^4Z$!gPAyjZOd%5Ul`D>D=)w-z)G znDKv2jRw|6+nZJiNvLH(R}_=xy?am~d$|EU`24PhMFX)?_`;EeKSJFO9P+YdZns5U z-aP{@aKt>fUZZN>N>Re#xSZJ+z6fAOYyDmIHH(i58b)vFaG#62?1k(FD2G7KoKs$F zVHh*|YiM}^*nNy|T?j}D1jTibON3x&`VV9!<2Q1=ETERpCt?fQqAErDAy+$iw_|J? zNs;oGWPg2n8a#MMoSo{)&ev${fh6`TOF07s-U#(qIZ7o=112(esCC0ip=+)WO*sBd z>|YNzkXV*=i#0sVE>TX%y6{|(cY{MqawzBo-7=GhmRalB>(~ola)E&@=Z5yy@t2Uj zL^mZRWJ9&D2k)bnYeB%r7i~eA(?7?-8mK1V8@PvHQ`vH4#2+*8D7k)}vSkW$r)M^? z|B&}@a?4iiQH7{I;n>GTxsK-YgFo`IQpfI~XUInCg^Jv!s3l*wtM|CBq)6&btK8J= z?z;8nT7QyT-L^HZ$MKDQd7mCT;<&;@8}>pv&@S>a~Ie{8W5q>y-{mT?+Wg zrC}xXQ!gg3^8d4}c0H62?hdpv1cMKM*t*z-{S{J0cB3RPzM(f>T&y$_xI^VfPA67K z7s;mDbj){jTYa=iMWiAEPDJ=A?^OjFMII>h5LatKF*v!rq34%qW9y&DUw zq-gj`KBc-v$o-cEPphym{OWC~Z`^fr*`Ec4KH^)3&TWYMHwr4f$&>Q*VP}>bXW%`p zM19#XT^I@q=SEg$b_40Ikxyl_!)p=sD(Q*xbQSLS7U|aL@ZN2Eu?RT-unFTmi2qe5 zY8~%dE;@quRlgf4U;WoaIoilHMl8f-Y8rWCJsIR0uA!$<39Hc=7_tU{DBq9EZng!= ztM$i(cH>b|5@oudV>_rdkv4jUs&Yg?=N!G~d7cuzz@nJ8o2h66;szn{*<@);eavK1 z;zE;*k(-jMfd^n7VB%G`eMD|$N3iA=JPsfUX0UU~n1R?*IBvXD`F_RkLg3{CJwrm2 zujHEx&2!2zJ#ttkrO9Wyz!vnmQ#xBwtTtC2886VhR);AKt=R!_oPLrBF5pUT10DB> zBN}QP=ekhX`79W}f_7NOd3+L@{O6`2;@*pmV_~8Cfc4a|jG_Y`8H(40&HJ2poIu2G zoCwv0^j~t!@2vDeLpj65TB_+U_Va>4eg9g zeBbbi@Xx5n;n%bM%bEXk34_tHi)o8LFE&N#HP6jkpz-c$V}xf(a!@?Z2NgMG911{{ zr`O)Q6@K6H+1x)Hvd`^#+V~0Gkf1dhYqZxvW=OKq9fk2T(}9A!6;mHIc|7mA zv+K_Zz?QQAZx@thDG&o=nQoFz)ZX-?Aabr>9et?#?4JxX;fyaERb2E-wJWlkTN0{) zuj0t6#cMDKBQRScorE%2FW=OOZBjIyI==ozF_9O7-E~Yta8UN}caV>G8bF zdoAz`JF&1NrlGZ*Hr9}slqIQ_adQ0&CxCP?Vhbxin0x`ZNa2abgs#9LcZH^%{io`Owk#&s$<^k%vx5M3P(tUbvxzy-8Evkrk`n-!PZ^}#VoYabgXP5!VS`@LdJ zN*x~=^S9Jf*h5>F@E2cS((X*B!^*0STg#xdZ^vK1FWQU?yHu|B0(?|jc3T$c6)jaC zzb|9=2Iw+14I0qXjD6mt!if-D{7Amv{(w|e;49sEEr_KiqU=&NV!adwp6Jgo3G`en zyxhyPi=XgE!1(m`IL0bXB`X|mFv{#{(Y`0X95_F_jCWhifH`1xEXUEnwBN zx|dtgvHYm=81dN9xmFZx_eqyL9~a%hhdB5xy}C z$XR|tj*#)Ocw1xf9k~Zi0@INj7#06IR+d#yzUUnZTdj^DeT~*XKEL`5@3!?|U+*xh zS~5dY3F&6pzXvk+)b`nqPL4}6g_6ABB#|6b(|(u#!y-n9dT-0Dy2Ek$>GC@ z)yrA7z}8@_*V~YdU{58UC$`dtINBn*FNQI+;;x4zLj=WsCL7zzaFAaEjA)I{0^Z_~PNkv)Ot<#A(E!P^RKv=m>f4Wq;s zpgX==g)N~66;Uy!^Gu!7FD;69A|7X)n)ly>zmpGiSlkFdl&+fDXYkEi^jOt{IP=}0 zhX(mSX$*1Zw3Qie0M@9Y`9@JH#$b+)fgtg{gcUwBm1KRiWoY%$VVz(tC?EMbCJkkkqqk0ygWf8@C9XUFe*MIwzoFMTsNRq>w zrVn>!ujul)+sZhNcCx;O&oS-G(~g<`JCykvG9uB^{sbaZ^afX7uTw7!#)6? zVM^pf#d>+`>x4Dgn*?chDEGd?!@D`1|K-H*cVC7E z+yp3QBnRRx)Tr|0@aeP1JyiWJG)3hfNNV_CU5o$}>-%00Xh2Fjs68V=qfw7DWR!6Rw@MNX4;(95xpJux z$Z=W8Sg#=tNhoc2XEY#%13-i^RCyMsgkI8N<&}mM7@NGpbmPswrAzb1faNEi>R~X1 zUTSkn(0k1x*Xqo+*23TPo^=01;z3We1l!LEXnnq*GMX~#QT)1HC^<={&_gK2fYIhC zE0ht%Efv93mwo+%<;4bGWSL`x?*qMzSH{?cN~My<56a8>rI<)t{Mv2B;!B!=&C8g{sRTjAT2}~*kle1lwTY3= zyoH1zTcs_eHEaRCahqtOfe-4aH1IlJ(D{JV%8`g|wUO6}8)9)7!Y!11xZ7msYRayU zDf5s#5|;#GjANe7weX;=I{`x9bRk>wc*UnQ8r|j(J9Uxbv!S(`Bj~-;a>&|nLAS|j zd&gkRZZvZYnZUhsjbZ#B;IcC#4(hcMNUJXa-cy0JJd7B=%nq71e>R@m$! z!U)2eiByUcF9kxNL6sF81ZD(n<;4v>r^=YLXqH1om4S@Ury`vGcVh6z9cA-~HUo>a zk&|u@kHK=l>scF+>M4)t`x#tbe4bq&Xy00_jXTX9);Zz*HSL1U-D+F-QqCprM$k}{M~yh13+Iu+ujS!(P)LUv5Ljt_$DIz??IW^Eyp=XQZ)Mt zoN>Z?7$+ACD>v!8E$g_YIj7K)oAcHm6Edib8Z)q`24XNa(xQ($#sKN}#hrF}g{`Pc zaZb&Ars3NT>zGEjrT_y_r&xhH2c`;Qh>m6O0$pFkUmYl`iTkGdkoL;ylK9upnZS_R zD2`}!SJYhtQhf_~*`@ake{ThmJ{*_sG+wyp4V(@I>sKG<;KK&>?wf*W*9U#m)YPM| zTnRGe0J5{J8BQ>oJ9U23PV!#O>ZTtyOydHzqs1y7~piUuou&zRjRs zeuc()tlZL;c(ojV0MtwG8rkZMsvsCg`{D(x~Es z3(?)otc+9u-ff%FppX_R_c(5*?i`N?0_>coOgz+^C#xn(#q zabA{{I)xV$%N^W_JfCdWwjnkFtn(4QAhsCNgY?~MQ0F=~_5RMM(y&bzE72x5fx;vi z1f`D8o*fonpI?KT%Wnaj z(8SvOnlL)1NoXw@h_z4ktGG%ICyp*`g&m2)=98Aw&a7S{lQ?hZy4-qvGIC!Vvj^Xd zzNwzUv(B%*AwjXK=di%CGwYc(>PqTp?AN1mve6~O5FNDKX8(Z zQgSs#De4(J_Z@~5)igbAUMu`4-!3ZZE*Z=)Jl+Vqd}edxlY9p3IQxZ;)XVUbi5^Jx1$$n@5Fiy56mu@}l(sQ)S4&+5!M|;O)JCwmN*GfOu z)S%+Dh^zJazmWlFeW7pqWrkWa{Na$XkC{26xzo+7upxb(9n2UuipfW8AKIj~>+**~ zJu%bfdEqVtQls_!^~8)kb2|*T_Kaybl|J2|AbJZq_@AOV5l}@(D;fo{@b$!3aRA8{GGLqJET)X2AtHUc~_?-`s~$zCF1w*75rCl39D;q6I3)$l)HCe`X3}N&yYX&Skee9MW2e( z)`+^OsL7KQH-t>GxY=&r3Otx&9q^DIx=n(KxYzK)evf4Q%{kJ8&n$H5oT`V}=yxp= zvaAkOlBMTT91)GL#U-r&a)W~GuYv%Qqpxp3U6yk*l2>c+m>ZO=J~jjg&Z7lGKuegK zp5Z!LR$e8&B^uT|y-Jf4V`>=;QVMaa`Ew~yPwm_toIYf(FwZ3A(N;&y=HRv9IS=VD z$%Jq+f}QIp_E^gKw#|hf>q>quN}iG#R!w-ell=6QdVtfzUxB#q(+sEy(-b`+cL5eH z69>QesCF+=t<##TEpMh4OI{qke(1cw!2QVMCI5WA{ppAMld1jUH?<_X2$D)xvu#Eu z1~H;GmPFha=cI{R^)~~~SjPNY3;$yO^)=24>m{=AG4n=iN~;rB;m&Rwq_ z#kVgjp=xXS3R?5Rw8v`RB(U3E2eiL!C>oeIgxBPYZ_O03$D+d`%yLOc6%yEJOW%l5_Z zUZskX#aw!OS-_sjTX+DXFyyd7de^L2V9$OIGF~DFHMfcA*tGFu16tPo7r5Kql1{sI z!@&N#buWfJd4PYp%Fx_S$dbAB)9%A7Nx}TSa>3tIF2tn{yEs@S?<3px4C*1Zlv$o3 z77L61lD00+vVIujl^#9chhF7-1X^l0+Pf2~Ry2&xZjsatBG>|Ou%zW*7GeW?FGhip zf77!*#lS(vie=`n%{{RA+&T|0bXs(F=0xndM{OLO7aUrFL%2HIUn&mP@KK4n6i^`^ zn%eH)5|}g~E5X34b>AqO!(5^!^SP1BX2fdyUsjgHNXiBBIpA#n>6(LmQQ!|4j<=OK zIHcrQUW}s;BKG|9aB~Ll&H)?JiD`8S6~Rb!{hLhZEb-bnd{~u^vZ#?93AhNSk2$h# z9YbIA%gS*+75HLB$;^`aQWJUL-AGu4YB5iFp4<6|V?pm)&YBA4MVR2&1oV8J;Azc! z!vMT#Z+`cbZ#}95n>%RHGG;NGJ#(p2KCIm@xg7xw>n^UgG#T;2fqI4YOzvUbZmgp~ zBnBAK$vSYxNPDCC&JA{!5)n!(?R_Z6gPSJz-d}f0e%+s(qd!RAwxz!Lnsn1vI05m~ zGPBMg4-mR_P^0rLpDi5%Wg34dkNv4kkYNbp+PaX zPu4K;`9nAUR%<=k{&<6RFZPh^L&$FxHYc&YP*q=_o3i46+ZPJju?m6>i{44W| z*R}S1=aMB`h4A!96A9+fR~00OFe9BtM^5g~(YIfM0=BbXK&4}?C>>n3HxGk6cbS~iRZ`88_FdD!(l}zw$#LL#pf!L@%5}36j*8wfz zH(5}ot;ol)@&`x6+P~Kd{!LK}ZY_;O?F3hR!BfQ`{68l?Sokhr*TzGy)2g4`q;!OB z>4H-`K`~=B%BDMrsx66S(zq}t&0uCoxsDn}kJabBe=$(5)5Bbj-1&1%QzxJU^nKq% zw?a{jd*a{pO3XnM^Tm384bAkI63pi}?BzNkwIqk^M`NGREu|y$VIY3H6g~ah@i67X z=5n!6Yx;1aYSY85l`X}6QJeHj+B^=J-dDJmgU%i^qB6d4W^r0eIaMu%@$?YFv=n-Qo zuUoX-w#mgFRZduMA!nmWqb?>6{3ZWV6bYlHEU`-lP5GLXY)QsAuQLebdi%SdN7T`esE6mlCT9{RH+&FKkNRpiBYqk{0zNgl%)QSCvNH%X~_GJSQ-Cc+aTuMIjJpWwl zmfEX}UCb2LAtt^5yanapJiQfoypo@m;Rt5U zYp0JsrbVNh_)XO1bcYrZoxFei`qM0&$hkXCppOT1uwiqbAQoq9Hrh$yCb|77(G~KW zIP};hH74~An4hxDFjk+t>KE0$F*(CuV7h4LYaGiEq=w$M-J=zgt&XU{-@ zO`(@3igI-rIWnD194y!n=a7%^T8Bdz&OdR$%c;kmSvQ9N?j*8seED@goL5Zamuq(8 z{Eq27+hYWXz>Kl7XA4=UgjGA0Z2nn>noyw=p1b3``Y|E3JIpVyVdSy#X>Bdf;@u=FZr$B&)OvCwf?254* z71}ypYTz6B2TEpSQ@Zx9$>mJp-&CKv8ywDWq6!D7XJQ3!m1CeoCr}$UOj3+t5Qi_cXkgqq;|r*Q<|U^2#p?9p z7jEZsy&^A^PiHXm+M`Y1xz8I68@93f9nmc2G)j|g_EP`@Ov zVBVppXD|0x26O#zg))gd; z>9Ro|S-<9j_K!{4 zMgQd5b%`e#Ado^{&SrL48b2_vwBd-+tWKxPM`g5KwX9H8wj-r(zgr4KmD&Kti!Goe zy3|y&EtbJ?UXaZV9HOB0nsnLaXj$d;g7US5Yn(_uU~LllhtKpP37X z5}uVG7wFIF4P18{@Cmuh)9(gEqMpAXIz4l15P%ia_T5z~r2aa+Ja8vatodfmsXP!C zf^T-{II;}z$WD#FhkvdYXeO^GhJHIo`x!Hy_|S9d+>3H$rEK@Q6w0{D-lM`55yCB-j8b%6+-t>k?UgNiMdBLSn@e&p*SyNeSMzs% zfBVOS&pGG)dB0w-=j%>2NlAWZ&!U9Z%)38j=`R~n5KyKK@#06e_LzK{9jVtyh9_B!oxlOI$K#S z4T%^)xAA6UTTAiAD`qWMo0q<9n+8X5&l+b7PMA&fN4)5CkrIpMhTo{qyOo$On&7^7 z{q<>|%Hn;E{wV;pQ^Jqc`jYgZQPZX7x zo;87~SyS^}Xo7sZbC2sTu=u*W1#fUgifg3TFI?&42|F%N`V#zFl^U;hUnexG#odKz zJDN@Mrr}eh(6NFBx3A|3ulD1de-pd;`xz;tL%RcIi+Adsv{GBT1kHMbCyd1FevA!} zmLIb>mHX%{ZN~XY^f;%pX(~BjWF3vp-ypXHR%AqEg_^&nNsYINMLy4#kQl03*)dOB za7|wPPyVKi93jo;f}r4@(Xg#32H54>dqfTlRW}t~?RPtMQ__FskMUzX%AV{jIH@Sg znR~A1+2UPQK6c^tL2lZQQY$%E9>3KIVPB0F+s@I|(zlJs8|>mo0v=1tw^}&Uc{y85 zF9>ZL9r(k=Vg9JUR^G4-z~(kZXa@WlZ8v8qCp=*WnhrlfV7`JTPW@{ z!uk!VHtMz0N|>I*t~ z&NAoC0%WM!2F|o^3smTkds8;5-Fk_eD-OqenTC6Q}Db`mZ z^HQ);U5<-p!O*fik3W|JY(Fc_yeqkT82l#>1N@^Ao>N9eey;stJ+kWw&V+#a2*7QUfmM**lZO+s^PO}yc7^}D-`QhtA zQP^NuXM4B|jgTmhubZm$1N2)VV25k?^+&Tf(Uo(i_L1TCEg)cc?W|JxIu(>k%}m5F zPNGg?AFb7`xrf+DMBkD*XweJ5Y1o-7!{SAY#E_;1gxh^yNx16uzKylvaKMtLugeO- znd#9+vR9HXOwgp;hMi10J*u=ePe{|{j^k?%TpvCG1O2sj`EH*VRKih_6StKio=4;` za}8Iq=TRA}ao0u@CHhpv7kLNpbyUTc zpTlxO4hX#(M)hbI$VB5Hb65^xs>}eUoOQ>@E_rHW_$K$~9j{bzQjowkV`*jF`Vym0 zxiX#zV(6F;0FEr%G zA1O+OI+ibnRDZ|F;Q~-ZHA8)v5bVe>Wo2J4&)Aki2)*z>7i0n!i7*(XNPIlO_6DNCdRl&b0FU`pu>@zdL4`H6AC7 z5?rw|+_V$(0yg%w2LfQRz@rj|STa!nu3?ib2qefzF>MV!aEnM3nZK&Uw}-DDd>ndQ zOmz<&yH=%4E4$gVYs8Gx@-g`NG@olw^^Uh;-8?Um`&2YFGj1;Vu;`kHe?yC`1u*1W zO-Fd`vaKVinI7{^VE<^XE{5!|N8`xxQ-)?rtz*p)(`2Kx!HNjaY|$OZ+P0~CFF5XS zl^`^JSr`ZI>mdZsm<3Av4|uAz@Rzo$8ff+{xDfC`GECH_8`BdDhQnJ4YB)f$qP-{> z8f5se=_py0yF#e5IriUo8TYb(SMq}UB_#vtCU#&c*(4s*=>kLP{wyxoH)8_`TDht~ z9H;A4NWJD$^eAIOo|V!3n+x>SAsP2~y8U6m`VTfYz{5c28OCdE_dFYxyeopz41fID z;(bHs!FbKg8tYH|mWI<&cfJ~`?6Oa5?Dz&q z1F$!9p9WDoq<25Z*|Y$ULjMY`K{%<%K$3Zua7M*_>JY``->pLm{mJ1^8<%~MRdSeo z{azfulix_FMd#*#XsRV5y-n`Z;YDE**u88uyJsZ|&mD58qUcyz|MQhY&cCU_hdjiC z%!IswJ<@!&h`DzYrbSgu#KC*3r$zZsu97Q$e#6MRaox**d_y^ULX|=+T*; z4B^_RF=eu1+s=XRfg0{bV4!2FK|rN3x)$IV{y+d%;Me+;VDL=)yR>+7eNT!N!9z$= zBvq!hE}4Q;Ff!a-Vy;SBEhPQdtHvPidklV?vXS8VaX+1?}|dds9Al9rJHC&E);C=VBzU)Yr&?Vb_eo^AhiKw6PrDSB}DQi%UFb zl2DuR{!XIRccRl|O7jJOH?kwx>T?QzX6c7T|2Wdtvyl zfQ&G1nr`$ug%23cP|vrwjWXf zo^Ji%(mkBD_dI70gd1u3dWq@D$NE>-&FwdU6Pg2}gG7{$%D}2YK#o{_R@fdo`ngGf z&ge%rZyD*!`kbVfZ7!swWxm~dF@g|+YjWNEEQsKmEV>hD@54bouSGi)FWtNKk$ow< zWZQ7qzyo-PPPXB>xgAKxo?6|QO;SPTY6udVP#)J}0M~d5LC4>1Dg_v8Mk=2fs%>}% zQoq<&Pbu@<;%Ax&o5hG{y^X6wsbU&v1-Bl(wWTVcv2tMc^za_r|M6(!5;p(s+cLcLoXUJ5}EeYk6R^5nD8&Y_rbM4eAT65?aUE9M0xT3nDb@xDc*l@(EqteG2Al0h7Yl?Hwz$!=@=( zE6KCVC7FW@U+sgkZv~hK-%P!|Cv@+b3}CVUvZPYL8L3U3%}n}y%fN} zt#P%k!kwmZ*lQ#(_3qb0X3TP?^9~;h9Y`j29tw0VO2K>u=UuFgZtH~|3U}rrPH8T^5 zeQ}UD{PY&r7Pk_r(t8YWiA`+TY6yzMI62KVyODJ#PaJJ7-v2%| zQ#hW?FSa)n;+CJbj$)E5IfGp<)0GH_J#zJTf&VB!rM0 z#xY*cEeebT2~wMj|ACyB$)Y)tE}Gm7{jY{ackEA>0?4Sz4soykr0 zK4+%fOQ47i=Ycp9#nVV;lZrYd29T*1mu^MPxcbqV*lMwwkHTe?7{Nc0B9(Yvb=<2@S zSEfYI(r!>hL;s}7tq<;boVEJdCtZDP9X9)glCseSg2S-ga)HAso2Iw#*CE_JJ~CiI z?8}onO;5^`T8|BK>tbZZ_*#FL*UF^%4FfU2-DTsqv^B5}bQckZC?#+)Zj%q5{-Ae( zBJ3+OXQ!dmh}HK>kmQBTq_|EuNv0O%lig769-0CT&E`Rc8Y!$hlB(3s3*&^Lm#F;g0^QTT(8pYv7~?a~7K=aS^3aeyW7vizlJ@Gz(e9{f;R9 zrMW(1y^xD#p$j#Ez*ccK5AvTypF)2xLbcXS9RGZvq9POc5MGfYIA1bCwclxOgH*$k zmx;%%lzRqQtUAFWtA#kD7fp!nfqYbvtBsLCQIGr*kzN&aDpxvI2D$QmIZn;{eBnIc zJQ!BsR7htN#~qHo&m|9XBk7fHwCs`X`EvrNje~^9D);QDE-2WK)%uX0*(v+{*dG7%s+) zkV&JW8oLhLmWM9ff)FQkCgJl#G#13eh8RY|CZ#KZ@5Fk>pPe#_0b*EIIzTv5jHE@2 zM-`zve*nGUNs8=<(zkZTdfG7bsT3=EFc<2JDe6>I8%(rEKrIs*61IfcG%isJeZ>3b zB0rjB9D>fgeOFr2XWw|WB+|rKdR{HJ5cq1W>EtZ?wZTu?+$Z^8#$Q@F+Cdc0wvK;s zu{dDf9fd zvz+_ON9Tuo8;Qx$ihf6{^#KiIlXZX_hdPu!ypNCg2K{ctn`SBsW$%I{dh*66%+N!v z4g6Oc=?EAaH!bda!xXg}pNMnJf8v0HoqBCpD*4!t^ypFsixBYRs`Q=nZLGQr`fr;e zSq+ADQ0xb)T3e`mB~OiEPpJ+-$D+lV`s;(7qBhOiAIJ8{@+D_V44=_4>r5?J*>}WdKG8!p$#DuC)(rShXa} zH1nRFM*{5Ol8J0SBGC_j;~OpBTjhLFnQCV#P<|Rbuo+8@zn6PNbBAy@P$yCvA2Bvq zv_L<{9$amqewZNUP;)OSE(M8UBlx=l=ruph*ga34S90w0*DTvc)F*uM^4Eu~R;i|S zXkYoi5KL=2&mo)(UYGo7Nj!@g3Z2DIu6uih$mLDX!J`$5>t5xuU9_N=Tfp{%7 zEdK>ayL*%9R%qQlB*_dQ_{Pj~I>rv0Y_9r<;X6V(Cn{c4QT#}S5veKW>uraZwr+}* zLC%JZxynxYhD+~n?6pWcx+E##6oZ+^Tk3lJ7JPlxT`jV*@aFc9Iv>ISV&M4tXxQP7 zw9f7bCCLPH-*WHy+l~AE5j#YtBgyy_|K=4)z`Hv8y6fJj`>aM3QT*l2G_)W!vj|?l z7=EWtL@|gC&g1_L;Wft0LCfR5Uq!csN2>``ie ze7KbUO%B3AN8*iKa9$m{P_>-iHBX>&&GvD^Zl4;uQu!MDNu1=uivl6LE%qaRXpaya zvYPod;QZ*&7yhRItb5*!F|F{Cnl&tjTw})K#Yawvo!a96riRe5T?kl+TXGbFO>)jA z{dASppJ&Ll7f;RsRbnbL1;W%2PS*X1fvF&_mrRK zM|a4L+_N=BBibB_MtAF+4o0Co zp2ftcw~uO?2l4X3BdAq^sM?1H54WoMThn#&pK!VwTm`>+G*;6lrU?&$bL!v3Rt+p? zAJGTB#AEt2WnaBDRC9M>z|vf!F8}U25~2%$By3p);Ts|G8TIwLK2`R(Z4WlEPv}Lw z74GX9=>^+EvLVF$5$aGz+3m7rl0L?$`)e)PM}4aN!I<|V`rmlcsTg055*S*j%prBK z35<=pADWh~@AKj{CJAa%%%;5lwMI}u5?^qt{)3Wap!1+$nM93=&(sbWOA0Z^-}!ps zEg0PFBSimC_m+awa8y4->di63{0jgb46}I8TiN480`zh#FX>CD6}RTuj!5J0$dZ--Od-zqWugY( zMAZW2Y9i2L6n;Zbv=!l`&S&{qNxX3*xLr9y!+RB@7@DUuOUvW2v)uXN7& zH@)QQX1X>9IPXt6I@e*auCoFvmht7Ewt&3nJJ!Zb~u&vw?S_C2jj}T;bRoH zt4>iUcT4Fld8F&t5N_#B*w}Ju!iw95p7ySV{W8jx{y68qGcNCb51BOu0n`3g<+F1^ zS?()*FPZ85_cs6P1Sc6fVCADPIEDz z>f)Jrg;kFhbj8WI3vVH}v_C%&ItG;UVQ$r&5~1>rBer}%gxz8p^Na0U)s(q#!}_ik zK4kV<+?9YQdCWEsZos}~_$4nF<4u46{u~Z)m4{g}p^zf>tA!XMIJ54$RU<9@D}_6y zcqWd0NfA*$SN*LI_N585TX9lf-p03n&CVDk=49D4p8l-%-`H59)w8!fF{Br0(IQXs zb0MR5xk_gddxupv0{s;MDsDHf*s)(#ED<{}denCs^n2-GSj1`4%P%37sn1uzAju%Z zxl2;W^YzGZObFNYb$PHaSxaAeI;A|)0YZgY^=_g5jc;pA^q&DKO~P06@r$3iRKGU| zY&v?_-Ge6A@+w%=MCClNPwhYRa(h;Eq(0uolcswHT6ny2ly4Ph$xQLZj9Tb_+KIdq z;C#8p)D9@J)BkGi^Q5r(VL~&=DPT3V;By7rzlqIja?W^-`k<>37V*QG-Ln~#WFjdf ze$9$M5Sjl|v0X_~-lpHIk4MEtALr;TcAmB}jZlM)WqROjwaK4(YT*K+nd1rXSVtm7 z3ceS&CWZ@^$8wRwI1;?WirpRp15A~yrb~;f4KTD$W}<*zLQQFLV6YhlJ*)7-eTTIj zxSsg4>iOB6cO999u@oUy9*$jnbfv{Z@awmZSQ+Tcnmf@3+c@b5sa~)hEsJ#sFMAiY z$dK-`LJtJG58FpgLjl9`RIHsgwM0cjTp{fX*}`sN5b!59UUZOaP=5w{iYR%q#U}O) z$X7wDUg$9`&8{5|>+E}D>U|L^mdnJYSXx@l{T*-cm+$2Y>gp_38;D+u``a~(z|sbN z$t0t8;9cS+Nm8h_jd|ck;NYh>*Fl^j(i>{3>IBrnos9GX-iMTSf2C;sN?oopsaqm{ zSh$dn%tPnG(p>nrb7tNP5){l);E8v9c%u(y4}gx8&rul&aHPTj?V?_Cbf+lkZLixx z2z2zROzW;>fZwL^>vAzdrz#Rp{9Di$#tEWM=V7C+`N++%qTS>i23p4ofllrfuV(S?qx_%HrJ#UoPz!u_WzVE@1R+|99%CAw0>*;DQ%{u}#9 zN9rwyW@@C#4f%RPvQ%tRr+Mp3;i+d{3Sfef%6VEVVG5Ykb@VDf_(F)(lC?XLK@hCpayqir8#?<$ zTU_J!+!CpIHA(EM_CWVmP_M{-SV8zF!A%m;yudX@EWp(0!h6@or3F5*OB%Hr(L82m z=Mq13Bfc6Nncvxy69uw<*=jlM+>^~YR^P5 z&G;)&nQkb12R~ELP9Zd7xnqmtx_x*w*~*G{cEh`6^9(^S=g5-k=Vhg~dN+egN*A>M zfjEl)%ns3%zW|9U>Vn=qI#a5N746r0>X0`QBq=n492fYUKQb+{%3z9R4O-6+|18Kf z<_Dwy95HzKz@EYPGBKyGr~&)8cJ{yIF9Y+0!<^G9p-%E2(C4Wve zU3-2>5-4k(UekiPqKVvGk`(Jc40iv^O#5Qh6N@oxt}VNkel|hKfzkW{tJonMVQgv= z*N(Nn!m-oBObm&?`wvuapy4zV!5DgeAd=3Hy*6PHXnsNS&n7^*0t=4l+gE!MOtp&M z-2}Qrt+ffGLVqvKq_jY9ICoXC!@iVl$Q_AP3Dw3V&soI05)xwmH6vYGlAG?lveT#7 zg%t_OG(APvaL2mE?RSK}j7`R7&;2CT&tUNp5$xNBVdGzZ);Ru~UHkk%42DhaP5OpHv*>A~w%9qEWed$5E#F$Ky2x9oeyI?uXj0X>}xkND=Lm_jsyV zUvIlMaRJ!m0i9d!P<8hX_)Ypu`!u7V)(7NA`DLpASrcjPEqnh`IFkiPs*C*9fN2RE z-QiIpeR(Q1P7!%lX>q2IgX4GrKI%D{Y-xNwk9{6kwv4j5=_88Vt|=XE-gl^&3a*^m zZp`H;?i(C$8U@8|1RWj~WG!3EQ02FK(34d`g~G9VAwQ3br<3MdWP%Z+mtX!W2nPKU zkvYk8hyGx$2Hax9P~+46+lJgd37>w>X0#$b%9h$h3>J70n!1H!y*0oBxp{wnrYM>O%f zxxhhVc2^RT)2037*4t|vyPZXLm*z0DV`Jq&3LdBj;xksS@qQ*D$xK9x=koJfVVa1^ zGjAFjTq`TUV2FsvN)AwUx|3Q3$ndkKHc!Gxio#%JRv-31k4Kwikt$oZxBzQt08>n+ z*H@U3@U1jp6I3MEmheb($6;tWW0B!&@NQdN99=EAZNnCBx|G>`loh;EMiwI!AumP5eHRJM> z+;8DBt*ka9L6Vs(R?siWOKr+|4-l;WT2wKY0@p{jTwVAe*tn4AoqZ8O8o1jjm=RI` z+OUQfU47Rrv(AROW1Q{F5GTCT6irQOQkk6~k4D1LN`0 zC%`WrHtX&hD0a=oc@%M2e&?xW_9p@I{TJ5n%<#=?0S?jO)y*P*MlI|I{OEnP{rYUB zM-}g`%qm_$EB@%sm%FMRkIoe^l6dI#W9xK&YU_~<`QPMH(^S~|eLUt5BV@X$8Fh=z zR1FjoSjCalSYovxbEk0cx(wufE$nHpsm|b<0WxJ@;kM_^*xo&t5&8g|u)auf&V@Z= z%CjvK{-s8pL)QJjrfPiHm&*Um7v2rjWD7}KG+z0l2Mityf3~6pL2Tlr=NIF(Y<#ro zc~IE|LyZ}I&kdM6n@~5vy$&XXNj~P9+cxy>%?nOiTxw{K85XhvN`z$Xj8cCKEijP> zLnnypWT&<_3dObfQ(<+s?g^A>alTsvTP6{Q(q%~bgPZ@RXK|MYQZ=F`;pM8w2U=|p z*ISzE+|ln<#am(-@>qdzgZm-YVGHQ8Yjv*NZ?F+o*az>EsG%ZJO5ULtNK!sHNw)3O#GEU zS84ldYj}^yJdIXP$Y{hIxMqrE+J=Y%4L~@D>~?q1k0O$tfPU_FF9z6u%(~cme=2Kk zrm#7hE0gZN;5-||no4P8{ZT_@Bl&Yv{MlFEO5~kMm_uye$x6G``NBh3k4I|`c5b;4 z$L0@hB#MqMBxIc_eh#eN-P6z1>gmDhg6{_BzP5%?8~jxmbK4GYkH^{7_(5kwO8Y$V z?3V_%Fe5yM%?)US4)*iZV9Gq=tCr(>aWX|!0jH-R{aQ?GsC*?9<)U~a60q!hm3&5OQ$q0`q3cR-v-TABQ)s)|jeS~BWKtN9Q2ZPo`=d{Yn6lP4?=>y!Gpfrt?omhyyJEyIo zZJO|^PT#n%gy#tfXs`C0r=&jQdf7jsWO34L1&Mra-^M$+Vf}pBeG)#be6CF+ zRkOb!)g#c^4oH&>a-Ok#5!G)B#0N`L*JKM?)I!g(44s`P*A`QUdZgh{f1pKSu;PcP zVglpNOF!}yM^t*C7+q*(s?@H@PqM1u_HB72t3I0w?8W6sr73j0xy@o3ASch#`8bZG~uVSV_R!@oFuriSP5t~r-{R9RPb_UGgw#)A(w15xRa>! z(VM07p(F%{oEQ{rrM>5y6ga<@*?ff{pf}-v!;305c`if>L?E*8Uvp@CZOzP$=%#B% zq!h0B;g!ZLtiLqt0;Bo5L!KDKy%ezV<;UfRln6^Y^Z8Nci|npIh*q$FH7kBy)Hy0XSM;Mg(**HXK+VD(fQ_7Lbj`-? z!c8EvXDbcknuiaeMPF(H8OFYyq>}0mM}p_h;q~Ie(7;_v<>(Tpx~2Dpz1y~KFrOQ9 zMN*Xnfr*P~?|#K#8V!?4h!!Av!@e(G$+t;t&uBP3-?;0xhm$s3f6&$?1mh?*Wb9n7 z0FF0})0X3w0@803V(On4Pye#~&Tz)vE90eYF0-quI6;z)AZ0C^Pq5KJm$! z#%m8kRz+KlcVb3ZgmilSO+=-@rDZ6eCTWUCACu5w_pS*-#>5muQu=8+UA*>W+v)Lb zVBJWqJGCDQkfEU|*YsA6Q4p8*#*{2Zh#Zpl`sWhi8rwgsh&^*>3piMCYkY<^TpZzCjvd9hhhkwtFcv`xRXp3Y^ z8*W~1v9M3@Q99r%5E{i8-0?AQCf~h?KqDJ+>)nAFotm1y=Jm5wgHd*F)EQThW4})+ z`g{_A%*MNvpCd%YjDYfjG%zG`6w0V?|6w@~cnt(pY=u|=Xqxsvk5U&O1Z&i+*f&ZdZb5N6K@cC z32@a-p$xw-B@~Vwok=FHSlAx9-0&$NNzvERudm(>G=^lFrr@* zfU_khZyRc%r(BONx0epuZv-r_uv!S6^dXM;3&33c)FO%R1BW4bC83n$rF%?p@Xc6H zA#VQP6$YEQ!wF- z)cCGL2<-f{@qc7@q3?<-z~VdQB}tO~ob#y=*N+{bYgka>aP(oG@`UiD_PyJ$#G`({ z1D3MeqxP2<2yeKi$*+evu}Lfn-4J@yU8_DbY=ags%#aUsY6pf<9qfYJU#_(OI#3QQ z9Q)Q?qvuC)DU@96-<^#YNIBw9Jp`B?aU+;+vhyvj#n9xRQ_T(Ec8vDQ&hSkV2(!xh zMuj|vreU)+C%}hzIvNr9HRNDGMZFK=*F7q!LLanFRHW=qmYqp6fBxDM?MH?l^xnNp zgnk+b9)&?BQ`NvPg?1jH?jK(;LS?F*>DCP97n4E!TFxk*Nx#+dZwAB54xS{&C&EXx zZmNc$1?aMNB`q@zPB?_Xr6E9crz=xs7w&N!D9ic47nYPJ@;lzMgnjBC7*SXttiNi% zp!7yjO|v7YT%HnfB$(tksmW#j-i8$*rp?a3(0(SQ533cGT8bZOdH={Ub(56ePQH>A zKeubYVI}UrLR?wTOsq-U-n6g07Mrd!rN+`sMQq!gH+qff2W%9M3BJc3-QXe#I`8`kkS+H7ju=5{SUo0|BHuEaQU9IFG7EmjWyS3)bRxz8xM+`hp;(a478Bg2VFm&sZUK9n#o z?O`j?>Z>Ae#SII>*im`B`TN@+E>A2KNLgzJl^PK(%9$mMRk0!AkEM0{c2D7ff8<1m zO8ZQOwh%Z{U->@?N<;*klltssA3vm{^*T22YH5ToT(B@yC7UyH?LA&rlJV=4-tklk zEb$DJBwJZg?A_m8KD2{Oj|VQ9bug(q5`^du*Zd=0E;5Y-nqB%lWJ~7)|Gy%f@X(<# z_HbPCZLX0D8|-_IGRNN8O$?N%sRNF_P_6AJ=ui9>yjq{r{zD+nJ;;r4Sw(1vO@PK!NE#m1&0Hw?JZPm*HVxliAyFA{0u+W`*xq^w-I0D>jl zWA%Z2qb;6^uEDqGSo`7ANZnfbRoB`9#Aap(lp**{P`aBx)A;_ktSS8M=xw;!WXy7T zXG$S!T({hmi2UPhIlZ?!cN|_@)hVi*cZaoUb#0kAPIupq0Hpsf9@h6`Q_sy2<(&)w zLkI2tR?FOr0XxMmJRE6a{}l7>mllM$GPP6HlVR1I1VFxR`Vyp5O$IpS}O@Cqer6>=L3SzKdicYBf#otQ2WdCn0+VaVQe5R}-M-BL za+3BIe7)imSsX6r4=>Ng^8xSdO^M{J0KZYVfWs*L5$#;&8up2>>a)e#t4#g=b^$Bs z+R=%^+Xt?onh^zp&7}4hbBm6%JW5DCCiItys7o@v>D9LYR-xRp^E+`V`R+dcA**k ze7A?&;G4$c+vCbwofCzan1uqRmNO%_u+h3tJ+90x7yajYI|jkogFpS(3WC8e+JLvs zh8Q(~hhZEjJZ7MKoV@9oPvqL7d%#f(H7J!b%H_ThlkkoXPh@G9FGWp&TFGOR=iu{@ zQuz8rZ1;CrEoDHI?MC)v_rZzgsyEgZZlCdgC{zM*I_>SbPOEOP26uCXxsOTBp~1h2 z^SV7sO1%0Y?o=Pdc{q81aA_;lrFOnyjPbz*nN^I`XVKTvb?g5%8g?B*s+ZuO!Vbign@IF%94l%P04k%6stscY{myO(_z{IV2LI49}#yH@f zk`ym1T=Y<@c@>#eqXl4OYp-sVo?;^Fuv}?<0mw=3-mjfnIY(+PRy?I>V$oj_oMKE5 zP5t6h3-UKsw=@%=a!gNzaRk}y3;+#7|1u!h>?LH-p03U57jqxYkW)m&TmbOK{*L=TvT^A zeJtr_dSnYTBxcWO#eHpH!vtd!dP!Ni#)v{Y2G3x9?gyf8rrt`;&seJhY>)IRK3Ey- z+*s64OYR9yDAmWWt1zo!R8RGeDKECk(WhUQV)eRkX)$;7jLw_;N1tZRel_J}{O*XA zx*4P(_N+@JMNT4*r~j_IhCAf@LZ0He?h&OvPqZxROP&ERq^HEfoZM%Xai@|BCh*gY zz`Pu380|V_Jvq+tVOFFfW;wfR$~GtCVOyDa_0q~@+_`r+9s1f|OT!V>Q_{BA7)m2b z+AgQP&^5>TX2!#D|DVpWStyOO0N+(_U4~V=zwC|AXU2QJN3bfThBnSKu-QFnJ0_&d=4fu24q_kVMY=L42AYY*10 z4uTL}lF4`F$jg#XZf$Sh@-h6r6)@lCC>VD}+AFe!-U0Uyi1cj{em@&Ojx6Web;d$d zk`%jr^)RL{UG(p=h;f=d+Mo0M>rR0gGSV6nx~Rx*a65Y4FUp^ z$0)X=Laro(hdo5@V3oqc?0nqfuL8R`xmg59x01oqNW=r}nU}IGpH2e9URCRu7^XOh zo#<<#DoXZLu5?#@RJGhQ2kqkIJ-PChnmBpYLSpRom*3ob6)+Ag&=#w^$=}Fp z!mqoLy1^D=c>uMVAKQV@e14Jd*x|x$r686dn1V)WAEJbhC=C~aot1ge z&S>R73P})?hMWgpIfdwksFTFIyATVjyg^kmJ}UbcEAlr!a0!Rgx@e%Y~tPUM_7kIbY~`B z{sg7LgB~*%0ai~`ag}R#vI)QuU!TIpT9Dw-GP{peJGEY}q@y)mDbH5uu;U{z0)7Z1 zig=Kj3|2B>G(zJ_Y=2ag<|uRWAL;l2R-v!4O!m!#3juOBVqOob`f@WJ4eO_!1g#v{ z)-TovTm*)3TH{KYB!l?(nM|#gLr9JIi#=dw&O$jJx=z3HL9%w8VFIukb!j&hBRk@T z)r{a}l`p;7nyh_Wy84;NPJ^?%+x&V>kk&QnNePz@5gLajPpqw+=YBwCCcJ;L{r_6} zi488@b(BjO5zvwp7odG{o2Q7oVypZS0_W%wI0FRyT`Fm5aNGH_7C1l)@|S-GLM1+h zJbRa-f;v@q;qj>w$%zspiW7o{$$13`v3-98bKtw^hB;Mm9S*eFD-ENACE?wLQ)q$G)}`dSXiP#o9b5!|N>98y zLmU}!EC#maeLYOk{z_)=(O(*ZM}{~51R^IKaC|G?1N6$f)os3`56lduVGDfL5dMP! za!Zm;C3~Us4XG99ARKenJBS znrE=Q2r(L6{IKw;tGMJTLfw05&)8ToqAIIWf1w*E>**`+eka>|-Dm_MH1!_Q=o*Qh znaRi1V|-)(Cdu-wS8d8l8|5|qb%T=$W`~-BCu!$~A`v&Div^cRIfe}$vUMV>uCXh) z79jx{RaRC^;z%Cfm>$A7C-t@k9%HemTW_`d|GrF#bOr&hVBX_5uw?+>ydRD@xE{3g zJ|vwQv~I-}*@F!%Ok4Eetndx)ll~B3bFt+?DolVrkt4K}Xp9-=(%s^<=fhdjKinc( z2%f`tIFt^b3$VTo2>OA-tq?HL0vj~?ot5^>hPZ^QKN1W2#;O?_P-YRJFru}BD}HmR z>l0@dPwFDzjP9({(>6k)J(gA9OI#vU_@C8Nd~O(%JVN2lrJq=vhT$gUmMQ@UVkSaF z!?^_rlO1(@Y#Lng_eE*Uf)f>6ksAGstJ8CWDa3si(_~*E6L6Vwb-0jY&pBJ(`Ae8S zwvZ-)9>vJ+*H`L|l#{ZX9%gi{H4g}G4jVej6m@GV;f|DiWLwk$V!))aP|Q{7L-5~a^Y}$p zo-kSF>N;BhHA0Tjavpe=JUu+$*z#{|F>b`fYA?#PUpRmY1mjd(7v0S%<}0|jRPe&v zrpNyNfZU8Ii*LO+2edP1cYSYyZ{MlUe+oL+-K&iE?4kIjNDE^IsZoI1fwL3%YyFW9 z*ZkXX=N=1SUD^CQ&OG)qS=+_$=$-kdt~UPsCYIx1(broOH`g##`1u;hA zZ0xr^Q~zHs<8F4ck8UjE#`BqTQ!|b;n6Ce80Sy^|{Y%zY_>kF^2gew#NN z*C|j(49g8Yu;H&-?d>c9_!^mlPXc{lkmEkjqQ1Aj6`!u4HyuSLhCl7tGpyay#G^A{ zXfd~JNN-kIUvRHiW{QsyY3;v@_K@HY_;7itW5gk; zM7(+ZrTJ$bUC%hr9SQfAhi4V~en+auI~$d(^@X1ZppI%hEmJhSn~T3vqK3sCW5tVq zi!_+MKYjr$Ri_vEz+<$ha1{-2-pD|<5gX|DqbfUzC*oCqP$Gxr=LA3E<_F5W8Gx2v z#o-Brt`Fb?D4xhJ>& z&2G4+oQnn>-l(5V91^r)rujc+UBY#QB(o|IUUKLo$o9>+u<8tSd|bD3j;UACTJV8< z|KehN^q$d4Aopo8vkx$Eo2xA z!`(MpR6vva^OErGJwx;7e)$yFAH^CKPS~H8mnAVHdOY^cZMrZuz!^Fo)omPuj6E&h zpep^=L{cN5BA>A|^6PP)WM*}C7xB5e6V^aad+SkJjOP!zV&Vu#qx?3Bt;4$2DuyO^ z%+1OgTtQX)jMtVNb30mL6(f=A-=cFurjhj-S^rl=OPSCKCEF%~vW<1Eesvom(9`qQ zymmUY@1r5EuXWBl`s_T``#Ztb@M->XPcs94QroLFp}O;&Ck%2C_2oOC@oHf&@g>{M zk)mrhLc4PpvzCn%06}xI03=nIg!m(ssVm9BX3P%Vk_$e7GLFYgBstifOg`9~Cig`; zMF5=8S*=-1ZE-Oe1F=iCf1xKKJbJKgHTtOOiCCZyZD#Ivm$@cegPo0;C>VBhxky*V zdC2PjXn`Su$A~ZL|9yj!c^g5yx32}t))w$W-*GD?-ZIZSGYjLnWjC-fM*E_p*q!JI z3LzL2#mSDauT1*CH#$6D$uaNO(!@t%=db8Z;u?w-6kkY9!Fxf0Ztot5^mf-t=+qUl z>UAapmJS3wWKW>8vpQE2#T5I_V4{P1)nKCOelUMcsrb(9Hz->?!r{yTHwwIM&MMkV zz^$hgmFsx$a8_m))dIyj+OSGO)04YcoJ{3_XlSmi@^fH^Xl>3T=C7zQHPLc)-tp;r zHa-N(7}D1JC{K>o|2FA*M$FBL1HyZH&&=59Q)+W^$)zZlNSyXz*_l`k2rk8byy2DR z{g~5N+e>%#KckqUn9&~4(_6Zh#1L>TutqGz3W)m&LAAR}*Sz#Vl)zJJr{F)Q^$keT zE)~tq)%Kvf&*oz>JN~8_F4{yy3=aKG@4SxRAl zu_{>8S_pp|xxG#Pjjx_)7-5ZV6V?&k+%O*v9*W~e> z40M()0U{f;*U-fzL~ij6!c<%I8V^uAlJ&j~AUcNoi1X^r(2gz>3r~TZ5VUw{i{s3{ z4bWG)I)#2YX1$sYAXh%ZD3rzVp@;pf>j`-6^@jEi(j0f^EDxAC(e5?pfd=xYmb7or zFFJy3|A9^qh!nRE=}x-m1*_D6hWq!n9w7twfqq1(B}wlw&7P(C*HESnDc7#975QPv z@`Q}}*$gpXB~s^Eai&PbH*{Rw|@ae?S~pU-569WoU||u>^A7 z0!28|!aW}q9tgC(fJ-)dv{b+J;!<>B0*jFprF^J)n}=nO(^SRcQn1j)ME}q!zrmG* zdM76qx{9B-=JTqTg>QjdsuLlC&0lF!0I}HQOV%VC?q{nE7ih9|ykOCy!nZSxl^CH@ z1N)7|k^%D45`p_P3knrJf&wleMvaVmwwm5&vLiveCimY8L7o<`$@9PPdPR``;^4}1+Bw^s1kC?AN6S&O!_tMw=7G%-k6UNiay63eEd3ks zXStQ|1{$lGY}UfHldNS+CC(k^{*4VeDs*pecqE+Hhhm0<7;-1jP3712a^$Gd4jKFl zTsXPNf>`;OtJCG^xay4fjiYyoHe;#Yw{P|w1R_c}aRtO9*39FE2}-R=SU|O+H@p#d*jM4M5dfL`)~7H6 z>ebh5IOM~72`Nx8!z#YPhtPK`Q^!1UkKm_*=};x(F50?o3&f1Gt!BxXL0(tIB^Kt7fGRBFEa%r>y7 z89dSUtWZ}Xv3G&7nW3^${cuk8b)t<#PHFPLsepCizg9Ffv=^TAiNq$j6*7BfV|>^pTYu>T+>Ud7X#U1AXX0P!^EGLw`7q(@01T>a^ ztHHo3I0uV&YiriKnw0u}5Gk*zXw9%0v#kx=(GWrX7fu4{OehaUw*PFJqzn$MgDZYz zg{cu6$-Kzf1JiZZmFpp2e+VXZFzbEhSC9k$CPpszr|RmieFC*dWk21cAO_Kzho;WH z>!!*bQ%0z@B=Wg;o)MpaC39%o8lGIbcc(-$bcjobyl3;EFOfw?6s%!CF@Gv^L}1*0{W|fMOri#wjVbCoBTq&b@ltag>J#Z2_}Smj*)DQWZJ)NlotjG zIFlkdRA%FBmQNw5%<45O#gyosyVC9zFlMEBQcfG>`@^+CYl|}CPH}g9f|R(*pln|y zwg<|yXeaMleF>OJ#@H%vGApoMh(Pt~M#V%-gel#HsFKRO_dZS9_T!5nt(*Y+i1F8{ z&7IFhyY2I|IL6M^j>O4FCr6)5>SgAYJ67yQc@2xS1CYSMQUQCze!tq{%ekkAOpR`1 zjHO%71ob?4;xr_Tl(*lOSg z?o(ocVBL0Ls^qNkbObwG8`iV^qF27Y&YNk$NtwCS;MwD0r8`#M&kEQ)-iO6#Mj@ z0-&y7rlBFjWGlW-B0r>LIj7I%^bo&BZ<<>5%oiRUOBJ?TFW_8CzAs4MOo|*u!`sJ~ z?bcZw&`fwZWe5a!Z}qYZvI)o-Va4Kg-3AGz`Nvl6-O6C0NzaQC%7}HJ8st(EkVduh zVrhuPFUI+LU!I54lQNm_Yqmr7p$R@RFlcBGUb=}#h0L*)>8$;{Q7X>h^o7vFj&Af0 zGVE1QFITVRFPqf&2V#>}1iOYqC_U=>Oz_>)mo%A4F{63&(N35z}?M4tXc3EMCJ z88{DCUA?OHB6U7d3vy;7F4{0-PG3}q#o=oc_WZvQtkKHvj)%ufdCDn63qjc14Gr3~ zx@tGqwS*5Xv3?g`Z-Xgybd;+}J+19$3G`;L)!zxZx1YvZ#5)sgZl%>WYfXICvI=_= zOp2NVWO%9UPca1i@pwl?3-1iDaVijRx7w|q!!rwD%FF32L;h#aY`<;(if-v_06}eN zO4#A1H&!VhVu1wTT$Y_a@bY@IcV51IzZ+gQqtkk;x#B?dVqHMlhf<~vH^GHL_%Veh zj78hH^a4TP(L5nSXD&dXKppUy-Z4O6HiVm3wF{b1(qSG=thD{&B8-Dns4^JBpjC$Y zq=nw{#ztZ+;XN8<;&02_(tlqO=@Rt&>DqT_A{et9ZOrrSv5Pe>Zn-K0Ff}GU8hCaT z2J)h*O0oBdqkD&ZnA7SwQv<$bcZX!~6LX6SyEsygx!YF#wskctOzCD69&h_8Sl>V_ zC~T?lmQASF$4?5yF2ARl#YGQT%!&G9Su}2xPNwAl+rZlGCAWAdi*#(CB^Y&^qI}I| zvj;;jP>&3bUg(Sl*ozK{!I$DQ`SlmcS4?y{SCT^a+IzRw%!htAh<#aw@ho~R0_(6f zB1ncQ#VRSWKX06G@Cb0?jW3JrT&TjBS}Pm#bQwtg;eXN>_bL@35mQxm0bC9B5=0_w zsu>ao7Snom&8lr4c87&G#B+gWH>)~OM}oQ43f|GO<`gW%rtWz+VwfZTnH2ACHCyu8 zV%_zkduRG)vB1Q_#j29n18y~0Ya*nuLU^oeS8n9ZPAuQHzDW+GCz@?o1<2|5YZZz6 zBf{UmIVyj1$$gzu3PgOmcQAM*(}iLtiPOkJBU}Ne+t11E8ZI{Af+Bc7u`Ly^sKH@FB!=Ce>K}0$Zgx*gC=| zl{nyZni;ynwhLqXVeX}1g1BJHscoOQobn}NTPn~qQACw|WpHX_W4y6J|BzujZH$?c z$OKM4UAg6Y9QW2Oq=~ZJiP&(aH0UU7@vrTqjGA|FFi)x1zRgH*m)tOcl@{Dnz=d0v z5laxl7i=&ZN$0`t9$u;_QYwGaesUiym#ZlT zNOb1t4i;wu6SB94Bzr9#rH@s`SLG$t@|-3vy!I3ob%BIzS@DHwhq6}#Q+w1c5r{zS z4t6vpYgr+ExcuQ4jA>}&E=M5a=Pfbl9S`xeY1Y&zz~QpGe5C`ZI2dsau3xL8JPkkKeHV#otw1k#qDSp%Ipj8BR#t z3!{|F`_ibXmMC$M)YtOseU2y?Ob5GAczV1<2uL*2R>YVLR#G$uYj7b(_FDLC=8svI z;kJXnrcd(DmGpQtii7WbdVPVHbaXu4G`)AR;%1xjEr*K70V@<`%Myv!%Pq-BSH!rV z8fp&3cHT2HehF?ZLcyrQCB`k*Us;?71o%|NEKVwPZxG2P;&Pf8{UKI{gE4H-Qr+>8 zEfO28J-Un?hT0EhzOKBWc?pB_`o$Am_|z?IM)ihw`Mt+)T3^g#&{J`KX7kGW;t_-D zn^^#FyfbA4;9WZm8?qdSlvsU#3l(&`TNgopKY2G<6oWr_t8Jo2T{Bl(akCfWSD^nF z<0ZhWG~gb-QsLmYv|?nZc>vwZWI2j-$J+<_ZcNaDCXGqzEfMdrwsLLh>KHfw2~BO% zUC$t@sCbDEIc8^T@cOd!1LbX#v%bvK9*E6&OQOG>w3UCAM)Bg=RBF+Q(6Lwk3#;-! zuK}^?A%4IRse6&gZ=qtLxD8GtkcXqm;nWgjD{scs;T%vY!&7N#(- z=GN-z5zl2LeAw`E$M$D*w;cUSh^9SojStVE4^5q}@qw6gliB@3oA0yB46o~Bo9Mc- z=8H_?!!B=)Cy7p-T=LQ35+87Y1#WFmVudWYJn5Y~k;yIgkwx5h0Mo?a{$gZ`E6xI< z7WoJ|YZW#Zs)eRt+yupd_V7ed(w!oCA;@(`S3T@~=x<%FN^q!>QDtmDi?s z^=yaczg$kk(I+AIQYfkuWizIbfNCgXAlI$Jl{OW-s3qi%R14L`eTMJiZ zk)hWAjg_Lv8qAo874HDLGay#bGG0DtJsyQgUP0>eYjpGvmdqT|+<=vf`sr8~Vi*H& z)8ZU==ym-A%Jk;>Z$C>OG0?xS(+@OsDm?e4SR&mFVBPG9=u)$8vtgN5pBX~1po0@| zDo1Q+LAb{ncV%XR&~-}K%q8Fa>9H%pkJg0ZDpMiE`9Uk6Au&1AJdW4a7JAQQT|sti zDsFS<@xF}cATtL42BI~6i)IsPoAg!PO!%eU@xdTuFaYRFnP?GUEWe~OXu~WgGrxtL z3lvYle1!70uyOPD0#Z_ytglS*<_)f|IawcpnorTpo8G!*7I*SgA<9BK!%|T|aKegw zrAXr}nue$YF66Q4e;^AX{0f!_v?eu}W|i>?&cB|y@D?)SbcX+*c^a(!!)6UKSbbA{jXRJ(i@ z9(PQ{VS5V~$tWsd9vN9f1s6zuFh#RA`t?Wrs0I_mCv&*`#-(vO%MSD>gWb7rOG0I^ltU) zu65n9H&p`sJ6~2O)N@qNx6kDq(O#n5H(-$B49IjLHz6l(`z`^1fQDOrb+gUKZ#^7{+I4=%~0haidArOS3+y zz__w*nTBb8@H&zoVt4YDukh4oF3}Eo0yo`(wl()uo!VZBza;8yWuvc>3nWRLqTepDQ8P%Wr9LqkV_-4vRcmq@>jVtsXo0Pc-+v|^~M>| zfCF^Dw6?c45-cMsB3_n|mbPGee|s4bFwJ*s8@?`k(Kk)O>JP@)b{z}--G^T{pEPA_ zFgQLai1v_zoUhC+2izLY76?X?YF>~@kSYE;h6Bth8aPO^w{|I)L;^9d@tMSwWk<$w z&W2T32Bs*A5J%=3q=2n~ zYj)%1LH_iI9pYDUrSYd7BpXyl&V9k^##tz~ix;sx-1d7Iofy7|DM7hTx_7}`ZHHXkC zV0f4GVo=+4l=$)Sy>OGFIFq`Vzu^EK8C8=_paQ*7 z!u5`I7;rVvn3{`w2Mk-)ZfUp2F60=Zv8+sZVdxr0^yKfi(y<1_afq)Z(oBrX@}4ei zbLd&PQuZwXbEB?#Za|IraH;UNO5M}>DP_r(%q)NFbE{?`Ff@_h?-oWoGW^_&Mrsi7 zn;%~Z{jPHOlDYw4|5$jA#caSp28Z}J6T`yG8 zS$i7E%DxB!En+R?Nlk(TNYutgW*zPR*vZzBil7_l6jnB}O$>*%=TP^pC*4^q_37Hc z+(IXx5=-c8b9xyyWLOP@b(!Nr8)6@4MR#y%4NWxluxfjMsl2Kswr?(qz_7tZ ziZMl`qP8|Nf#@49sQ&OQeA)3-(8GeAu^bx&Z5h`$pyxwCgnd;|Zw@zZvv`i$riE!+Y25p{9T2(yt$vGT_)Th#;kPa72 zLMiZzU03t>Tb=v)Kq)lbrkA5McymYGT4cF{I%H~Y3u06c@e9Wxf3#&N z{_k_>7F&kFc98PD4;cOf_@UnIJx{urY4z%5N$-YllYpZKD$eFf)!o~;vAZ|PwqKIa zs;%_)ohv$G zFTY;7Xh+ifZwbiBhVSRow`V@pK=LuO`U;Od7t)?dUCN$KNKc;V3|&rn`Jm|L=xz|+ z^J}Y6<}~mB#+`1(=TbU%6D+e>5}bAw)#vPcLbLMbP+ewFYPY|egUEE;A(+9}c|8*fiz%+IAnwy;I!GT&6qI@ zWJeVkoBNLqbC)d)LErMf6$<}1r)gPW3DRhI&kQj9O?fTlrD|CN#FDLBqZ3QJ|GTfD zjkmzMve@BAc<#OYOb6`;9(29MpSjdJ2;>Aje<`!k-kfHcmJD`VNymS`N?3UT*L>BQ zK4KJvX#X3$FYOrl)n&ByhLt+7{LFQhg8Z_fh3+qX=m{nEe0aO4BL50LUz@LWYw3( zF0KMLUA8P6&jt>-XrYB~(>C(+39tqex$dBS+NvYInf?HDj&Kiol@HN%ZAs6Y=B#_; zbbk+cg%$4c$1jAuC6@lzuCQcENsXO$0@Lme!J73~#k71czzusn2V~2!jP@BmQzoKO zp7LSW|K z%($jJ*hHk_OUE{6xmkH0g@>t_sNcg%teYi8R!;q+wO~)q26mp{54vidU+}3+z~n06 zJp5*3jZmvD8VSNUE;qAzKX9_fbTkiL6(o;qW9CmajQFL9y=GRzG!*;@9Z-l$4{Ige zdVAz|f6bJUg6ZATs`FRi?~ckDFPqmQ`Pi>RM`>+<&i)hyILald1Ll~y1Zzyo$(U$etTh6PiB_TbKMxw4Uk%yp)dcnUN@}&L zcROE6n8?IKr}m*HKnf%|$W)juH>#UekP5K^s>eRAX$Rc{f zGIOkovGWEv>tFUVvUq}YiGFs8g48*zOO`Hj*6((-zR2;p+Fu#H_HKIheCOu5w@$nI zRGB~hJYb*;X0yLBTVE|d1cs1-4sY`({@DI!Cl7sSWec{=lbnsKb+zOLMIZS94w z8745WD>4wEIkn$w?|2-$^;t!R%~x9Lb8O0jb?@J|W;rtb;oT~GMGD3COook^Q!bOA zdGdC$5rlOow?n+CblB@DiKqfOGEWmZ2lWx|RY#=3P`N(#!gXf1TSZ?sp!a;$O z7k>WVC=K&P0@3Bx*cAV~lM?x3!zCVT;Ii4GbhGM)#8#wN=(}mUF&m%3et}3`9bce{ zNq?kT6y^1<&4V3~6kI#pP6ijMhhhZ0J%4$)(D!K-Sd4HqyPp5pyZie0 zJAP=T6vT6(c^@xTe&;StP(1%k$9ZK*8x(wK0xan*?q@3%VII)m9Bt2jOOtb5dpyK9 zmyKtS=3O4Jd}cHK2=z*Hk|hNf@ncBD?(IcAKCoWB0P^rU;FF-usQxYxI;GO6>vtyJ zi`_x$Pi1F0wr}lHNE!$E*R=^2g4dz?M9r&QMx|JTJAO=0PupwCz9#t%R(Vr-LiyP z1Nh?ccl1J4eM-`_|GLg8YWugSp>JbrR$`ot7io{Yi-fttwY*?kn3}b*n!3^qW0(e8 z1|hz)twJt^DNkJEti5MRjTsS;rK-FT$d6wvDfNxl<^MPF$Unt0R-E^HfpBNLJ&Avozh4 z96I}hY7p;4HNu#svkLGz6#nKRjT~yI>G+?Q%^+-!`Nf>8H_caXnd-{sXa9E@3AW$K9Cvc6 z-ftGl4&B@7x)?3A=$*@|UVgt`d>&YpewqOdndvp>!{p49x&DFRB`c5Ss1nb5ysFzf zPY>y`Yl}cmgwa{awEl=gf$85Xx^?n-hb|I(P?9|{`|RDeY#=j8+?2K8N}m`hYATBSCFJt- zv$|@4w5xAGA|+!U=Fz~*HM;RPi|*wuq-31FLt=07tX#YK@P(8J5IhSl+|h2R6HGa{ zusiO;J5V~kML{Fpt0Y2xzfDG}>=@gRzc$%6e}~lh)F!*``|ahUriPH5vw#)aGE)Jy#hDyA?ZV-a+{6^xQ|j$yMMKEA z0`>Hi)WwRD=aMJF*s7#{6zz&ZfSZjq4wJI5gaY=f^!)Y()>^qLQ4kif*F$EUfc2;w zclY?$&cz$}%(4-Py1rQmv8$T%`6{daCi^~tT?SqB<|Y?s@3mm-7}6KD_M@g5 z|NaN_4$k7A%;v5fI#-MPP7j@Om%wv3T?skjJ2b2nu&LIY8})pC^kSH8rFqPL4BaAK z%;Yv`U-i?;E(JCZmQJIp7`Ok%{uj`oPkD44rON^t{bwCI?w%qdK!R_5UeB)PA1D&n zQYGU9is{k86@h!LjV_~1#Y^d^`y<@(sob2`J{v%Yw11$SP_WD5-9r0cM)mX08-j4& zXqb-mwf??D%RFJH*@Z-Zl zmC#epXuXWJxHK-r^j6RUBP+rB+2hzaI|d<0dnBLnj`FtAIc|Oori&l#=8j1l&h0Yo z+U~EViPf|w0AI$w|UCqoml2U)HsM0vG&e9c3s_5*0Gi%sUI)_8(eln90Y@tQrT4G zAQbc9A4|~ip zNl{}Wm3pcPTJm#St71_>O)x2V*A=#djnTq_P3hK-SKh_&pSc1ms%R;0z|HoP!PQ*?Y1=t#7UAW;z9A8$#mCV19HfdjZ z&7bZCq*&9-#mSW(jy83I!xg+5_{SnTAtm(#t;QGXQa5 zr0;fZc4sdAQW1e;?Q3}$712FEG##qg<5v;8%si$EeE!i07y0>)u{@CIv}!=zKrX1{*u}iy7^rtTe`f1Z~V$R+oxL0jIaRZP1hQ8*Otmd}<~? zi4eS)`EBemVPhlINcG+34G+B(wyGL}0OvtTTwO{mqkEIPM+U|O*WZXXb;2BGXhGvS zgXWLDHJ~*dH@#}Ti`L91rf@(yZM5pt0lXW3&Z2+=hQg>u{P7?1-L0(*QhBc9j?U>O zSKJcZ3t9I^+TB~RaWJfJPjqkK58$r#f5@HQ%L8`G@g6KP2%o5y9(<9+^Cgl0yppz^ zPeoy5DGDa?Yi_@+XuGTHSq1RKoKnj#*4l^cHUm{7a=5?%ez${;zVYelh=A_&8D%75 z*j=`7ip}G(TZ+SnFvpz4sh`!X(g~LMEH+P4qL~ikTwUD}XQt>h`g!{>tSm1%2v-@v z!-KtD_Y4@hXjAXU7BqPDw#`6%&&!N2jS2x1Zw2Sj!p7CjnGq6uWY0C^zSL_SBBG3# z)Rd)A*AhhsRlq^N#{IKw-7DVa_J>bk{P_NK=c~XVOcaJieSgOBna2iUFRrjsEFQQ# z#z*B$_(cX00?Rf3J-B)7kQ18RXjGXy{f4KRE$PtFn?SqCC&IJ;R{~aMp{~Bojc)SJ zsT97L>T-PEuSndW+*H2CqY;ou?u?d0Pt7MK?FDw5ZB4xKpGO4q-2)b*V@3(;d)N_H5u+*~#`~;fXwOGu7iVA18`8J)jhVno9W}D3;9h{q~9&o2Y%7I_zn(4cy?PQM+oXhvIUd7<3XTyIu`K2pldykJ`*ym2^l1o_sy6=234H#6ooq-dI~2)=6gh^Et@q zoO`8^zNjVDhR@%h)A0WHWg-5J;;;5THR9zQs$_bi{I37AO9$GDn3}=MmakRlqfajx z4U51Qcl~Ca@*xcpqwK6~$L@O;ruwtt{+3gJ=`Dpe_ zn{{WM0aaxZ6I!T3z;0OxgmxpZJ^kU5a6KeBL-eCNCmRdNT2iDFkkMI;oSci@W&}^X zXmXn)h81djP_5whZt4~Hg*jU{$65`zV!T?M}1YYXPTh<#@a9^4)$P`McTcr;VeCDB?QqjSWd^N0d0*?RqRH=9;q`~i^ zQ>d6h`zBI8!{b8ac7fo!;+^LAtFp|SP$A9Bq#OvWU1j@r5i`#}lojmeq zw&cbCY{KTgn>Re{8L{!CQmNwI{&o^pMyADZsS35pN%dvyxo_2^F?25M~% z)K@9;N*O}-E@Ee8x&%*bKxF7_O7<=Z` z0Nm>Rq*Y#rG+dv_L%MLX>(D-Rf@N7=1;lJFPTxZP{xxQ9O^N`cujdjxbLqsopCr_R zLn4N2%OND>jHQKn=YUcWmQplIHV`*w{1sL#dLygt_-~~B?z?AL%G9tridVw+bkvA# zmwL0MscVlKsK^J@pmI>~AmcwO2-qDcDp79~3rlzOEQspZ1`y7&eRC$*$r|T(RWTGl z?6*T~`=-D_N4>+A%ZM)Z5naHf+nHpbUE4t~H%wx;cHB$&CJdP?-kCMXkVMUN9%UNF zvPo*&hWy>Kwr)*dAo$VIQfToY2a&v&Y&;T{cMKMuj-|eFs_y%527VOV--EaKW2B<5 zuOqRKl~Fu(3HT9@uF%+Rk}V-Jw`Z?+yx35#{+@v-p?t~pg0VDWo=A-`eJ~rRASgs^B~N32dd=n*ZZg~J+hPq z4#XL)jL5$uPXld<`|6Fpxbv!{ruHr?_|0I+J>=drb_FGQD0-qG_6U9Y zWUQcBd!|EI*)+GLQL(Z~+8+eoVerl20D~*5lq@t-tw)1vLFXOtD5ZZ4V3)~R>gbZZ zd6A;ey3Fh5ptf8yva`}sBi?7t5_-c*7QXO@`>TPdzR2RQXkUY)I3{$L&vl<&N_NR+uge7H9EKLpDYXVl4Ymol<#uB-_40n$Z6yqxdQc$I zhqrEN@xQBq=Y@l+&KLS_Vq=CIWcO|?C0T?R#j?eD3@uIR@TCuGeJ}iY*U|>SztldZ zC(i$9&zEfL{|Yp<^ghTAz}4@#;L?a>?8d~~yK2J~9CB}E=PzvQP%z;k`Mr1FLcFC& z6NQSineE5i&Oq3ESNSqqqttmVtGK%P=HyHBKptbIS;_~HDtY0igDv4+2@@Z-@9~GN z4;uv{?ut2j;30dK_H0aryb`uNPHLp;9-EA-E01r;Dgm%ispiZJWDXAl1<$N5%VGg{8o4Hx|0L{XkAjv!{3I>)sj_WhVi@e z*WZRTFJz~Gau$2_s1p*hRH%QO0}u2dRn|GlG+QWkc@PL>3h%WbDDF74*y6#|pys8W z^r+EiO!aTFvX>e}|BVkw{E-a}luk=pi`!imn%`-j4_5aOS>z!N>Ubd8os(;JJTwB? z+r?YgnsuX~=X@6|YlSNg#5=tj^_ugXeb|U$8xt=8)W%031O3M3dB?B@Yl#9EWHQU& zoar|Qzz_hN+6zn(l=M}-zGYLfJ<(u|`~%4yp^DFJ?8{zQ72iJDp@S>CC{k$1e@mXy zs8xID_SPCmLQW2(o)+^kQMObsHbXs>XsWzQ>379x=U_I~o~^13+bq08$PEo|zTsyl2 zJ_|=gREUF&=+;crJ^5B-tBp*i^gW&?s<7ksR3CcCthY4K*foADPf$`SPX{~fgh_?* zbi$b8ZQX^Ixs(<@n*@WedUd~(RWYU>JBH(VYQV%zz9I!4u|a5udPR|!nv@Q_{ibl% zaK_Ibb2q-n_KW*Fw#y?|liqJqB?X5-y1w@MVo^gXah0`iC0b8b-BI^pWv$iF>)n3H zt!n8+?b}K`9QilerkJ0Ye5BCa&2C6aK}DclY?%YZ>Dx67n=|vx+K2E#g(UerSO*v; zRs6>O)v{r;;AQJ;N^%B?`rTg=+ZY?9X!%Cg%c;|q?oJW(1-EEF= zASd9UsX`CFCcAFxGMNLL-V^t8|Z6On7nZfZX^{zI{EVz!6AEFJ-x0qw(CI);C!KL2u&oSzG`h4w{YyI0)yZ{3c{ z%K$#I*S5#hH#ZXvSj*i;YQ$Rv^Zapa6?25BbvXv^a##mAk6x}j=H)cR zP%FKezvXhwH~zXGDjg+_jY@C|_ET@Z4e**LzC^EOgrasRels3@*?&ySG1S}m>_F#R ziOiEj`aQ^cu``Yx)KAH34YdSkr+C*F<*Dtzrna?j)uhOopebmF2&Hprfjf>-ExALX z-(_Vo;kR+#qVqde{r>gUT)-N0k_1Y%gAehU`W23e_aXIVKzEzn%L9=;;b-y^pFAW> z9QfQUFc8t*WtVw}GJdBaeK~BN;LSn4=RHef0Np%>lL7v=4q>+;-l(hR%T3NJIMPY` zrGA>VSG<6;J{5hvU9o+QN-dK=ktiZTiEfs8SQi>_ll%HpQi~1*A0e+7Q6|L(jSN4w z;%sS&4)ro0h^gSy{%$Oj$%+Y5=@G`_65eYukd4=a{!^R`y7b03ilmb&6J4*qIo`XG z_wNf$E%_fvih~K(@tjF|4RZGF7z%yDc~D^-oXxI+0SR*>7 zL+HO{qBNb&Guc07_S@A&LZ1Ny)T8}AGv1q9OoZ*AeKE5xM=^?U?IZRBiR_+4l>OyN z5KzU^m<0kZGiR&1RTw8!4K@&JvJhhUp3!PlKS0S%)mN#r<&df)L-rZo2~G^;(B_s? z%Dj-*8`9Kq<{5XRCw#ibK4Xt+BDf=Z^5cG=PR0w)kUOPcvr9EeHI?Rk9uwZl6Se4B zZkHKbc-^i09PDA~a~q{TGxBe|d^vS^=U*Aq<4DNK>O}j5F{a&(XsE;_M0$?q*a| zht==3FH};BX!{Un9->)0SkM$;;eHoR7!au%zPa@lBLT((;cZ2}HMe8lM=y?vc&u43 zCmW+mL`K(#knFUrRZ|sznw7}HTC(wzg11E>j_qrV0`=|4{PX^otK~4{9!8~I{y0J#D ztR|1EvX4;QEiot<+c%`Pjg8{poxDr21q0oe9L=ezlrEjFY*Kcs3ww-y1P@Ny!5Rw!q$PH4&W>~U2? zs6-Ji^%rrJrCmHi78gHzw63aV?mSzS{XYKQoB=ikGMdaMRtdRZXHlOUY6eW))gQ14 zo#nE|P@WS{Jt@Acf5u0)NxnWB)rX>wZHUcF#Pe{yh2M6~deIY~R9QP^i!(14`Fzvw z5z5`%NHl#YmCmAu}mLXnwGD6i(A@xpuTvVB!w~=X`;asZ+HcmLIX%!Xd&(PU^B9 q^h=NK~ z7?31UkO3r%fC!>n|gkO4ihy*yn(T5-Vl0~tNw`^a|lfpuJBD0cGs4LAe~pm6vED#Zl*z)>T1 z6m|o9=kPzFofLlpfBC#*%DWtH+rM=PxE-uedgN{^TInF@!5(L#hoB=jc56ruwD|XU zBAg@<2~hw~R9sAyJaM;0ksvL_9={DZB579#0a{6sOuJM0FF;P2yj#{+z>&%S$e(79 z!`ajB=}?0@1Scb*@1T9|0MUSlfcPK4deFnYI*5siA|C$fkp?8$9&bQ)QIhZRE=mL$ z<-=VI>%q2qjNGXY+%DKrLF9Y=1-kC9KD7X)|LIeE!2jN-2(sPWqS--r?Qzn9oyS}Mx&}Z)5hSDlBM5@7fxKZW!7Ya?N4CcSg~lkf30z$ut^ z>jP3klz_waf*sYK4g~|qe@|zp$Nr{I_cu<@v|DF3pwIA^z7PVn$Av&*#=q$^{f#pN zP6Nuk4brjz4qG%I@O^*N+5Z$ZU$HNh9kPzVT7^npK2m=n=0nT4!PX|Il(22eJG$0}9 zuPvv+HKDl2 z&jJp+LQ`;b!{e6MQv*jNm)nzq#A}N%imjaA@}+F_IV$9X!aI z4>f}kv(328_z3DFQ9%o&VrZK5n>0Ww*vTAjZ6PojJX&BtkRv1r9j*&N9IgWa%0eo7 zax;=N6og1YoB#sYYOuAacMez|zW?v0gpHKABl(99%l_m3V}buz;QuTOh>MDe%8H4} zil5>Y7nPNeloiG7&e^8Myr;D^v`kda@~Wxmo-tD4{p0?M*EcZHQiJ35PY9niISr{v zi;J3C{ZZrP1@}}*5iwB-seg$6nL+;?{Fl)GG#-L>R*=Bt@Hz)xpFyBKqToISuZQ4O z#vcc-r~bHqDd+zW!Joo+{C{Wle<%B=ME??i@5bE!BK?P8lSCrHv!XCibo_^SXB7sg z4F@)X<(vSp9&D|h^)B%Ld+s0hg=b?;065=2K5TM$#R#ty;kDsT*8ti7=>BvKTo)Km z_v&Ry`th5bWKUus9U+~T6qS(tYvlb`BNHSBa~50)c&>we`n^e38U%ML2m8R80o(iu z!*%}SU^^fnc%8QEc8LK(8G_ePV8tMck(9tlOG*CwF|(Hng5VX@-yOU{f?d&Gxo|l< z?yr(gBMM3M&_|L5;yr1bR7x^J9D)vlgB8>VUh{zI9V`mL^ATbvEm)=enWHH{Mq$5^ z&`j9xncv_9yf7dd-^u@PCl0{jw6ws!N5TAl4lG4d0PMlCGX{Vgpc#a10PFzZ{NDjP zvH=@f0=@)fbU;T6AQ4~!;5@(=Ab+u!rUdA@gEq~Ae)tSz_dt9FKq){2z%+m-z*`Wf z0Wb;lkAVgxgL1M!I1a>Ff=b!|7z6wS;Whw4009u64fN{(E(5L$d}<))1$yk@`KlZE z!2m-*<_z!z=-dE0zQFGWU;?u1AZ!nKHSpa)_%qN~0=N$NERcT#@*d#N16&2NS1)iLEjDo zeG?!j0oVb(OyI*dnFkmIah#wI_kgY^&^-d+0A%VQ?iRoX03%3a2z)S(LK z2?4D9HD3QVu>M2;V}bvdEbybfuD|n+0jyX4vmW{PlhVJH{!@SbJFEXY**_)v zmjHZgIQ}ove+d5X)+4aie|J4{Srohu1Go!t2Ot?B2_OaFHUKP#fd_aDPzR6+kOq(n z0PDf}@c?jISpFy71HgZrgrI^wc_Q%tAOUbV4V)I%zXt%9Q3;R@0M`YMyR`=#@L_#8 z9b9HQ0GxjY0Gt-~;j&?!7=W7qa9X%LSRc+0t~cBkSP!o2{XH%Vd^q150B|{Qxv&l# z52u6ir=769K7uxt1OL6qt}d((>-?z$9ESD(v@s5F*jCIS9o$wp4%W@v^JPT0(P_74QMHR8)m z>naLcrX|Tu9c+tFFZ&i93|=CABn?AN;FUM*;4?XJ6jT(jLsC*vQqfS;(9lp*Q`68f z(9zH`&{9*=Gto1kP>hU>G;~ZXOpMG7jEpD*oQj+R2@;`bscBLF%MLF0e=7shTf`$^ z3rfU}`(s$}@J&HUg`}pTrDKA~KrP8A$SEl)z)}(sva?CTOv!RsOoeKn;d$f{?0)fx zq%3Ma)rv+|qwcS0i3{G5G_(f}vaugJdW`?LfS}|lDQS$1tlH@_>Kd9_+QufPX66=_ zRt}CAFF858xcXf2_45z78W?r$dUVWw=p^49v#Hs0-FSBzi-&WVwzi<55+yXB%6h@OoAv-& za^mO;+@zfr{r4Ia`TwXze>Lc@_K+GNW@;z^dPt5q43RM-$eGDVL*U^UY~3)EGeb&H z!PmUE>G4%XgaS1;ECY_Z>*`V*`)%C@ribHcHk_Hp3vOR7Q|ss3j#1y9BHrS-;fY;h z2qwDZF@{*yhn2lqR*VrXEHt0aU8S7Ltcy;XWzyTg1y=m!M z@gXM)p1UZT6E;m+7rj z+zxu_{4uEOS+DyKU=iF;mo zNXPbsG3S!ap!kC(Z@EdFN!7=0?VlM+kB79Ncom^aZ26|vTvSibQ2nPj&wZmGc&4~K zWEPA*8t;$Y!dhQIPaaDeh|i++RcLbbHH%Niu_`T+xA9Dx#TBN+AbTCEF4Rx?bcW7T zB%B}lC9zS*Q&z7_3|Aha=2!X^=*P~V(#(VQJKq;tb>y|i7+Y@Ib#Lc%3xaLKDvksR zRwamu-(|>%uDtc-^zCQJo0h+^QM2;~I+w0?YJSh{TW9eTYIAS*8p*V}HjF2Z?_0L4 zbiK!+YMm^zPJ#}_O>DlzM$MC;f!sS@4(My&^p6z2gXCj{g;Hmt{QLz7B~Bwl_~3Un zzOD1hggetKr4Q&UT+A3aY)2lHx74w>7_V{7_Xi8)clP`Bpx?6_@J-@GTX<&rp9>hx zcq-+b@0V4w%#e9DsQpTxQF zwz@y_3dle9#LOWg(q;JW{`8AlS&qE+Gxe%(@V-=!FS$KGa{EA|W3z^QpV_Yoz9rE) zn!M`IBatQx^9g}D*nJ@r+h3k}DXMpcT_Qn@vr-@ITEar|f5b&TpWBGHv-rrlc-ltr zbWmZuMsT>s(=V50tS>!(^7BBRd*RV%VYSD(NzhwH%;n!TWjaHzX$<0%f=9AFNf0G1>@edAoU8J;Fw*g%}{~`P8g&2iEr|tA2 zH}A_=FmE;6rS#8oORR6?p-+2D3oPY6c<_1)nO0ZLSS8w2xzzG%|J68AYP=_!bIQh> zu|F-M**b3{f&^h6o{e;-qyM$+6=*6W;?GI+CfFR>tFpP4LnO#4lW%b0N|O4L%o&;asQxsH z#M+DP&uq$Dm8^(;u2+_`3NDL}6hrSt~h%c4;?trXOsa%iMLc3*o$%0T+Nns{X`xn`u1^n>Ad62zelpErhX@|;(9dv+Cge$-)Qw8o6`Y)F=SLhWep?imV0|po=g;=6 z2bn$TwU2iuVC>ZyE9P=*!Ht$-UY2UMn0ehpqUf~x>}MYRNglnB1griuEyFwVp>EHA zS4$L78cALJg!++i<$UG*_1bG*{olmsV``B3yx)~_{HhN%Mdt>mCbnSMMc;jUHu3Q{ z>#$j>tH!YLAii==`{ZEn=z$@c1836M;<8u!%Z5icqujGaxwd}$wjKD~dGo|Y@3|w2 zQZJ{JUh8j8r#+V0te&hmRbPs$I;(MmwT;3jqh3%UoD)Oju5UV4)$1~%MTKb->c#eu zppr!c`Jk%}jWfLlT|K;4M&}e>HS`)uK>qlM5mXpY;Cd z(r59%>t~k>GzqoWUI{+tA~^gYLF+|=-=wbJdWiNNpII#)nsYl~DJsu${kF}%?uu|% zqFb_N>P(HoJe}l1TYX>Kq(=)!^k<3Ic{7Kb?MWGdnSp-2^jtoTLw;pS!y<#lClhq; zVov_vTEos~Xyg~`-1Cj2F1F);x^Jjp^Atz$^>eDDUB3d>T!$HLC$g8n)^EQcL4;+A zk~3!K#(r0lAU^#!O3Wgj%Vou%I%=OhNjl=z81u17E%Yl&bsQ(QF z7xQ{OI))_uE(>M{#TH2^x{H}LBYx%<(VZ;rnaM7#tSTvVoy`lf8W`B_W_7`62`G>t zI{)9VjC(3Q%-+1UI+Ob`W>kv~)-tSg>2J12qvFj7(_kues)5iIO2Te>i_Quu`J1l!|dZE}&a;4(W?Qk%0} zIFjxcJ2xg@v5Atv_1GuISP*4eNf7@RHvzeqp2Q|ON$VSqlNg!#UyNX6q@4HX856Q! zNolcC6Q*G+U^$`gYH}uY|C0GSF=2((I)X6A4RxQqsB5kn^OM8)=0?d>IJ2S(5yPpO zS9AG84G(wIB!T|)sag4b9;KEy+U>#|>VymFd}N0z&fTYAVZJfGq9c_N1P7Vc4M=jZUkPJ({m$gl z;T2ZltHZi_U=zi0X`uGzwTH5vXK#JKzdDC9MP-mSix*8Jq25L1GXbYaNi|!>yE2`Knzj`A-4e#~la&$; z`Odxl&RXJXl%`>p(l<~1ZA;J>X|~6*1xyV-IJ&>=ote<5D9_&;TCacR73vOKbCwKj z@8=(nPWx>Ais8p&%@w=oemxeQ`*D(52zN5CiaM=EbVz64awz@EtLT?U0xrC3=HB-z zd0D%cslNVABU-K*o183IKGSQ~lV`+ijCar6Rw^40V2h<=G`o`8TWf7@EhlHISg>{} z%YMP)>m)8J^P8Xm0-NZiZxF~cCnPk|a>@9L(6@^5jF_s^gT!ja$Bgq@Ha#KQ{wxc; z+A2oax^VOPd6Sbzv=m+VMl|GN&_Pkgp}3sf{P@uqwHg~X+YKx01@y|A244Q>E#hOg zg&4X-mgKe2Mw{N@Y`CcT#q=ur-%g!1?&~td1nfxf;$xu@LstPR@&lY1^%`BhM27*M zAO$hAs(sxFT1RoqXwG%Tm(j&%q`n>$3?d%NUaU#SEOP~Oe?9ru_Sn4kK;?%b|BZD1 z;}RojNH-^P8Kh$0#39B7Y}pUB=IZDDQIi}&aYqP2IaOQoPuN9OspLJ+ChE&f7Ie78 zq?WHv=dJ~PTD5(3xcw3Ss-T<4tMNPhKaeYQZ`?PAf(Oh7?w}lR+oIM@d|O{@RU~{S z{_N8=d-pjblg~{yy5e(qzu0Ek_fcM9&x23vUo2QFzA6dw?)*|Y8od9aVMz9-@sp~d z0b{m_qe4OQYK9AaWA*zMg5yeENKhrtD&f9#T&9inO)EJ!ThETm-KVedoM3&fL7jaa zIw4E@i}#1Q2;tiHzN`ROozoiCl`4X~v)w#iV1upS(Q3RXtr3Yy9|R zh-V;;!;#Gz?!5e#$+II@-zA2GgjTg~h+Cx*FP*%3a721@-Xt&Spbv^lrX{SbMC6@1 z*VM3_#J*>oC=!(6nmlK2P~GqA%b)AzCR8`dMJrHr5M67UmKIGYhO-<6;~!4lRnA zaW>CZ(kkP=iE#wjk2rt{YhWa>-=O(zzlDFTpVI!Jvr*1A@ocD;+23J@o>EnjiwP=U zoGGIS%UJI|w31SrlHL6n^_Uz#<3g}|oU!h-XsEL)V6#4-7@CpR!=CH-xl8hX%k8UN z*k5NVMdMrOi<%-60C{0pT}5JGXu+mj+&D`!}E)#7lrZTX$sUbzg&w|L$sgjwUHnZY5zA^ z3+at%OKwHlsMi&O`jc8C{WQ`($J`1K$Lo5zA8x-PL8XG%?wloli&whk;H^Y%>~K!( zS$e-oazp$~8LOTLw)>eHww66~J}fSv4=d$yht_lG-fBSHCtfiPBbw1q+ir@>Mj7r? z_sOTtIg{*6-F4DBpI_*hbFN$u1!&trG}c13E6BPp5izN_$^zME3fX*+rkc?-O4_=jv%a_xU2@zU3B0 zJQyu~*vl-AC&Z$OtDm;QGScRZ^RwdxRB{jdn-g!Fv5%7=eJgLBXiD^u^|#998{lj7nqL^Yb}{96m?6Rv!A<@MUCLN>}RLkVQ=%EBQ;S^R}EL<(FUFPo$)lg9Bl-S(JYd8IQSK8`{wtSO!aR55ZszZ7J zQE^zL?eLwgfw$&`!wRxj7Hct?9p}Vu`2H-G?TvU{+UL+IDuEx6^Y8ueTAx|4bq+nr z8`WWOC1lLxp5Rz5MG$COXcfznhn_hnI$b1g)pNGS$4o7|SYL&=RWUm#F!spklJn0; z4K-ITisOio1xuLH%HmevPZ3p9Zp-_)mAb@`g!(Hz_v3SoZg$PS+6Ic<^@PU zY}ExB&j=z>l!#w7% z%f_N3G+JUAXF@%Poi`3_aFK6%Hr_#WYH>047o+1iqNg4s^Nb!PU-tfe@riAN*vloK zflZ^1>dyzFO_2P^%+(I*Qe3KGvQ+g2JG;k4-et3yYRiV~!Nn3%d=4?@>^#4pcP`33 z5DRXq5a7InNN6v?N3RiHx%%Jdllpw{c<6aN^YYrMm-SjA8s2T!lhsZ5!DBkj)*9cu z)mCVUV5Wr9iyIES>)&TK%}r_J#l;FZiXMpMK6_Cl{363d^h-#>S_xkXI+p$JV}Tik zSB(0B8(&OnXH27%efdNi=C;&ItQ%$83}zn-lSZeTnsPJ)cB(PtLC zMTG0#wo4yoQ+(L>o~F-6#*8l-InZl99lNl_F>FW?-&tWpk3rI7=)(xEHBXpK??;nU zbUkQZKNhd2cKGA?RK%B0=U(FpM=FxSlf`8kHe-JD)Kx!x)*GBuGQ#iXq(D6$W%(rf z`gScsV1UAZ3p`%vSf%%bUA*SgenW3X*eMa4*)UnQy45=mE;%jMa&g3bg2PCVvF^I0 zTmDpyGh4pRVPaJKF4V+FR*~cH*cSg_Tu$67)PM zMAr08i8kba!Gx1VB5f=Fb3G1SiE%q@Y(pD>_K=~^W=^>5`aHeOCviqt+yv(|5|-Iq zza^XDVcL7;4vpx@u%?2(DuZc_O$z_v=jDWR!2_-ur-lUEL=*Slaf|BZ`OGtE>iJBR zu+?PP*vTFmH&!Z}yynD6aX<5Fdo%{SJa7K^a*Fe2d8mB=rtJOXfe^Z`CsHq&M1$hjFOKlWh#1y3wI879VER8}kF4bu+xX8!rsC#4mM+lo5#JZtS3 zQsny$i-MN-jB4xIH_rG`C!ai_@}OaZu(}_;UcSBc==yt+OADF~bUb*}<4^q$C9=;L zHvc%6n&_waV|G1%%K6K!X@1L2?d8P`^)Tjy)mcq6^X;4A$46t>Hb{`dyu}hn+Ogh2 z*;ZoSXp$H8ccovUf||aciDHTPH4HIC{VMC+1iGh!V0F-3_|#9u;TvcQf5dYVl-Ze6 z*`It?C>fG5H)~cRd=~oh#rf(fKA+GxhWn2DC9+^fsAuM_1Q*&8y)<~^HKu+Ey;O9f zSi4BqOpM&m+?IljX98)^nLzk^`L2~5*Rl?FBHO9J!(uki^}ddm+Uf6Ju^7a%~tsp5!X z>rJ9uuOKI->lmF(tID_CM|9?VjAE8M+_Tlxu4P);j&7FhJJz6Ul(@YRGF$P8EQADU z-z?POBd|4zedG>2l#L_}W+VTUUkZQmdl7u?>$~&qFC6;o``=hN1dd1GDB9)b`Fpb6 znBDW=WPLjlaE{&6gY%!;L6K?Xp6te_$UM4HIbG`q*q}u zA-&o;|J&1V(kEE{3O)h13_emOk$&w8cO<)U8zj=kFE|{wC!E>!!+!4gpFZu0VJ~c# z!!hf-^k2@qsdmMm_G16|d%<1r=knjH682AVAn*zR&gVGc4=_o%LTFe+{vJuJ5k4 z`JZ9*xAc8*P3HI7@yPVA^dQA=U$~)mdmD&w}@3VFY(A^J_#R1iD zYM>4h?Ir)C_D5^S-!UD0{exp~XO9BjS0jhF^T0L{B^51_3OITi8YC@>9&FsvqZk;O z5A0)PVr6DP?K`xO_25Bvc6P>n99$f1TnE_L*$@VbJ@cxOnPW4<5qA40U7SM#9lvU~w**+q z$P9P&x1O>DxK^xwbzhSF+z zmUAWKk$`dUb;I}fW-I+9?QxT6@~&@;Ip>9XtR%8Tt|*bZie;3f+ecgTDn_hDT4!6% zHNOmcU|ZF-wduNWq`8HjnsEM?Tlv@P?=-G-FKwd4Y!A)^iU?y(>=p^9FF!vd4Xr7T z)fPD1al<{+yT(a7;g)QMG3vUf{frP9u&tHHjQiCSk7AIze@Bd()V=Z0|Eq>x9$ORN zao#0&T)4v3#dESHW%p)Jc~@4?Wsftw7gbR1&|s0b4!H1e<%^KqvF}p4lD4;IR0cd3 zQZh!jdaO7Lrb5q0bLdkQioKi;5=U?*hEH+rpLlLxWkYc{T&_ljH!wu-!&G-%-CP*y z!$uUxJ%1;@P-WAGmK;R^Hhbn(pG?=yX9v<1q}I?r-;$+PyW(AITHEX`X3)|;TDkWf zR1)rUBqn+_@XQ+tpQ%fxrN{jmp*0L$Z+?5xu3nS9naU?VwVPW!UVVx)zBUKlpH)lh z*(g^Qq&uNS&M@MicbL|qqPa^G;e-DwG;O*-Fv&P7QqCmJXxRVYP57|p`J^@0?2!2< z)^&W?xLY_xLu=0Fjm`2Pyf3;pTN0|ckeyE-&@ihBVK5x!$o&eoT?wS#Eso`gfg{nk zczIGM<25j48Cvzsb0XA-K5;tmGS;`~Ya2kh3qqEq55ami-;<=sU!t)H!Km%>PWTStzlkw-%EWLNV_ zxr`61v|JitoIEE(ch0zLaKy7KD_#_H?zs}D3H3dS@&;tQx2|<`?#)kz3sIhWKItyE z5Vc>F*C;MjBnybioV!Cwg&_Ln9p(#rkD1V=$*!UbBlT|`$6T3s@?upx)Dx+e(o-M2 z?Vey*g@bl+($s*K0KomI6pv;D@UlSsXq=7B=o`N^bcosS1)2`M^0lw_4t6Ei$6?Bcb z{nd-9$NVAD(Sr9J{D2jTqKD*hQ)T%|6j{RiAViGalKF!X~^<5aRHw7`pm% zizZOuow$6o8&Z;PO8RM!IvtdLM}mHd!{vbP1)U^bKEM4;rX`?Ec zEGotw@O@S9wYywPi3X}fmVin02j))@b3}aYMt1*WT^?`&ge3<(7(}Ox-J$Sc=#E~x zN0_wZyZOltI$!zi4Mj#JrSRL*&u^0hI+67ZX>MW%-9$NF&(u<-;x5K({t{5&N>Y5} zF@qN1%SFm8YMKjcw0p~-eKNIm#`aXm9v1pTvvoX1ISuIizn~^4P`MJ6`2eQOe`U->OoA<{z1sy+ry0W1V zXzUmM7K|<#ndw{K-l-abk$;6q&!R8C+MjN)ejJN)stQohSDBNx=bLJ5ZTXNI?oTG6 z?|;d_eSDIgyZ#Hbq;!l*nZw)1Xt@3jR+OAR`0e^kqCgIfG0t0qYj%kt6AX6E=GN>) zJ>;yO$-8j2E#gYlIoZkFnEblrWJ^80Y5pSPd*R4+f_6>&7FFww!$_G6+T-V+qbl!f z(yMz>W7Z#;e>whgGD7GZky~ZkrK4-gf;;HL)9S2VFx1cSKb&owRnhao@9T7Hc-gvk zpMA>r_mVjF*Rs`BjBd6Pue6QN(5+(&G3V{hrKVJ(rXPf>$WUNor7PqZF6gjg+KAJ4 zRquGeI?kUZx#<6}8w_ntoEY)4jkg5uL--FwxhDGsSto^}nSR{*NiS2z?Opoe zx7grp^J`plU9z9zxIFGk-a`de_+~C;iP_9yd@86Z12KB`#*#6NWQe7-%?!N^IhHh6 zPsw|`S)E{a?T~FPM_;Icm-cspK}Y+uS(2Mm5L;QK`qhFfQ!Ut#6+bBsnb?qpcOp0XVGxq4`dP#9*!An z9wA27a=BomIcyfmf7Uc?A5We>V;fo8Yx+izJAiF@R+ZJjCYdKABkkZ*j=)&zhISUH zQ`A|gt+f@?NYH^nQj{zB;F#_9TUE^YIBPshNgI+F z__Q5+#@nl-suShq6RYdJV7fqGSHp`>4EUUUW-_Dxx{zcQ>q7JKqkT91mELmT5X-uV zh!(Yhvi)OQ2hyFuXh*t?z4(UhTKSNsb&(+{r6rdkc(vO#u*~+1=~Q623PzsXFb(si zrscEXW0BVz98*yohg**-BRMB!#=FsuxA}Rb9~SA~4L$81p$v&Ck7}^DEo;wxc_qUc zsj^ngY2E9RN$T3@rC2m$56cIa4$s4h)A@_KmOOY$BFjzJ|kd9yny=BQ0XR@L=V{uB!}jD&&x>~ij9VGROH=m0ke;#Q&-!* zFitEQzbCdF=w?<$(275#&zv_Df07)}de2%=K|jCHZSly~jr$i28otVM&dA8e-n%>F z=S|&=Je7t|94jV$_?{fJWQ0w*o>!FSbuIb23NIA!y(~S-b7djOUGuAH1U=&gMjvg9 zv_$5V46#nv>`XE7{Va-RgyckI_lX5ng^&%&C$1lO} zeeTByq@M55C;T}l^!dpPCUM4^;wZLqBY!fVRq#no`^zw=tVMTGzWpQOCM+f2GF~<( zKI90yu_2GuYLbgnY+&-^B^aTfW%k2n>Vr1)tDlf1nq|>H zb2|NSzVq7I9&W53Nxk2hqfgf0u5dq$H8tSER#?R61krB3UCdJ(DsRZqxo*gNQATla zJ#Sf%=J3rSji2Cyt=gY;EbHC3QYx9F^ZU=(QBiqou6O8Ydp4E(e_}Jxz|0h6%a<$Y zQMa*wE~u(o<@W#=&3A^ZsOo@GJW5%zNw>Jk+=s~o5f>+1wLr>}EmtJ^Om&7zE^K&pa#$zINfqHZ}vjinjClXsV$m`Kg!!A5%6qxkI z<-U$IU&M%!-S-G^*_5%HQ5lezf{g(~opKqByl+cMfXA^?LYY?4NVKNqjf-A)*KMZL z7l=u?^nF0Kt}@Z@`TtlDQL{#v_D(cMePyV|&i zXinb_4SYDN&)p#+`RcNzc8AcM$U+!tAiSA|TuHP|o-<`um%^8SiXgvoPU+qLU;0nd z`tGAwrLbewR}KVRX6;SZGVHiw^kK~D=*6Wv?t1b|yjmCDB05g=4bdUu2N&Ej{PkLH zBd8l&Ich2JlSj%e3+pQOQ}4RF zd?B9kj*?v(hq`IB%*LY+bK3PyxX1>?MvV<8tyhxe!IT#pXrldE?tOj3_+T;g65*Vy z3!>Fibc8egL&w|=?G`YX&`=9fA%q6X^Vl{nhA-o{1_-L!Ms*6@k5V^nzFlo#o1ODH zn<$>}LKRQMMsIcXROg%&7rA!4xrriKlUTl}dpL2t9KF^O*j9A9H2cEYJ4Q&pB2?9R zA0E(aejb0Fu)d1!{5JgOsGJni61M^#R~quy#PSR6`FIw~;ICvzF4 zFy}AA{El&1nj3r!KybXM$ z^?*OyT9Z8DsdFozg6?UHK`@cXI>&!#2!3mSspwhTv5}d}zU({?f{8yf3LU}^-{hQ0 zNf}Ff@)*7Sc(^t<6Pr!bsY&!->(FM4`$(zJm+>fvW#b?lg$HIfrAg;aqVoBz3neaD z!eH`Hci!M!LUTHT&zw%EnDS#bYt}Z(GFINmKdNa=5tJGb4bsBnXP$; zQr#zGozAhsM6grkXS*`_Go=qVHPVlqz9rDeJ5kecB(KviQ|*h){s|%Hw;!Cqf+p`Q2l1trPJ?oJ#!}Y}^P`zyuqQT4RfqMnh{b2DE#gG= zk(&dteVx4@Bh;5)6f&Y`s%RB$4p7$42^uJz;k(|EB?*c_`|{zrw=?S9n!9Zu zywh!de!z%&+#zY+a6Il~XIRd$ls@`PniF@z-lJ3+$+C?Q6bD!Y+7mw(n0GT`Czm~F zX%k2M+m=;Sa9^cL{eGtfSF?hfPS4g&b>$Oc38@ZvT4#ff8q}y}F!7TU8YbIFbm-1y{B?~=7IYe^Jp<6SXqweU33YM&XQ zy{DnNRI18!pmj8!W|Dn-E_lWtnIXHnUfefs-FqHm4uuaQrzvJ|SiKQxM~u)H1=s44 zC@|c;r1WjRvn%Baw9`;ltP!-ox|jHLCq6J!Qdy8;pVf!RamkAHg4Ka>xQ3Q?|DdfN z>@fQ>KI7GOsWPwU`#jZU@$m`;_vmSOj}M0&V(N%B4VS+L}&fdydl8R>jKuT0~q* zr#_O^&cR)ET+W+pr7QHF>wU1JkvAT{ucF z8heXL_PL-QrRV-sGGBsBDtp!_nv_J5o~p#PHr8X_$`_~`*_)L(yK=ZA!P02UbaQ0? zH>i;>R(*3+XR*zOJpN6d_SX;A8wz5#qN1K=TqStQ8Naq?cv;gp8Ed%VF4bh;wwie3 zU=kruzDL}fE#jH{>URE=VXq{cMc#Y1!0E@6_=rxqULUzHav#A^mrLg0Lq6Jm`B*+Z zbm<2Li$u6Y?Bhr$5{;p1(@xV*%Gd7Vxgc8YGl zwexexEHu|c)IEMl(a9L}_*V_6&$9sxZ+UgKgX1$o$qFtx*j3NABQMywi+T;D@X7um zfwbyITD(i=+sF%8)kakCDe<~8TJu#p_Fr3YhjjOeG&PLu7i%dM(Ca6x>`PXtpA|VB z21d2gkBBdMc;;B?Ukgsj5*-0A3b+fEi%|;)Ff(P`sa^MQk?*amLtj=gp4Ktrs9BUd zmy#&8ZmjD`!`^@yZVC1=`J7(sGGg0Q4xVpyXC6C+i-x!9cVz zE+?FOKM;XVFxQQaR6!@|>FY%eujb{kJTs132>4xzcVCLterK5T?AwbnWLM9Fn-JMS z{O35<#Nrcg;y#Y!$Qaq@TXQ!r;&7Uqn`~=G@YP)z4y1vNw*6$q(k$8bsM=Yhw8I=z z7xaZ9@B>l&Zjyno$FK9dv~WE)HjUKu=ShL~J(UOBn`0-vRAY`)O-3)ro|958ZdW|t zMLsY;t2e6kw7!jg&?8(_B?3pp#RvAVkzsM1_TO{wf6+|QHE{B$9`7)h?M-25Wl7^#O?9x)qJoq$|mRPR0+cSCF25^tMB(Sjw zRcg{Sp3mrAzI>%_@a9umZ28QiJSZ&1@P+*nT|}pBzm^V><|C>5``NZbHI@nuLP;49 z1a*HvL?ZTeyt+csw2g<*+YOGh@?EI0tIgO>A8j7bgZvPpr>x4QWc^@%&Q166>q;Ug z#8uilHQAP2Y(=g$KWkUl$0)cYeA~_or;S+k?XZg%AnOH>1mzmMkvYhY6g>WWR*KvW z86(+vYRs}7)mBM@%NK|#2JJJWoGS^?&`^)ytxw&q@MNg2u*&9 z)zw3(V)R7B9AmD&>*m|i1ngW)IYX7D_aG8ColZQ7dB@@xF`E(Cr(l;|I+=PmlcBR` zphoh#>SWAl4?pM66@yB5>(Qj@@wf5WUy+xauZ0vd%Gj)CtmpBicfm8k`nWpeQP(z8 zHZ7p6dNwxpA#P)Sz9V?(NxJiQP7UyXP#k{d3bH)f=TFn?ExwmSboGDT$d;5+=Bz+x zPK<|qvWj*jXMd-NZJ6ZBk9#}p?w*up|3ZwNpdiRXVP8JZb2e7j(&t3ZV^Uu@5qENQ zHe#eFb1Cd0r+d1)TrsM@B2aqT#IIM4`#bm+u_4Ns zuZ`p4vy?dLQo9WbJ{?@lX4{Erd_k$WfZP#RB<*8PZc(HKpBrIzt{ z!a@7bCU-c4~bjZSQHm~1n? zbV2Glc+lQrJbH^og}i*SN>HYm=I0CZ_Y2EiYa6w4_ECvG&t#hTQ_1k2L~K&L9M&p# z_}j71Y%A^S$n6z_7tRP2HIk37O!9$rSN6J~jXJ8o0m+ACZxYpsMu~Fbobm!+e>nSL zlo32@UlvWdJ@kMrd+aEK%S}Wt?c`+uxT`$1!lhFwzGfqHnDIqiD&kLQvsMvxUz;U_SKNL zgt{K1G?D5bDZEO4yX-*$9rq*0r0Tb)M>dh329QIk8OgE@%9NQpg=1mh; z(DUgK+n-pR_59a}f1#m{A!J;KHDY`f$WB*Hee$=Yr7zdcqKU&Tc+fzUhFu{{FmU|X z=eo2zfAF8vM3N#}`s4V5;#)6t1g4I-5#}I095W-_KU+0<~4Lw)iK=y)7SEBxrZ3DN~GX8iQSGkJ`ixzs3bKIZXL_@&Pwsc~GqPwy3_lM2pt&IgLcuDeHEZ(Bz@Bd?==JXwH=nNwNVam$} zHJV$U zz%+I`AC6FxQulY@x%>G=$TK-6E*u+~y2i#UK?iS@k-<(4uHy+WZs|Cxj>lY(p&(BQ zm&sm5wujCxTS&JW+1~8eozk*!8jl-_7Jo9(J)0V8668W>KZ3MkK1pG;WrM2?pVeZ&TQ3c*{ERSUE7>Cs#TN zp`xEwRioajOH$TcPJ7PEmqCI$vkjBIhgFbC;Z#U%?*tRmHF$?1j_XMtZ*X4Oc}iq=`z}hCXw&D1_*gRJL20qpE(>e3|Kg^0{m7!oA6=VZw*F zwez9jgT|G?c*bU2RI-|c7Y#4&Lh|$>&KCkgczncKHs5U|Cw*jy>*TbM1-J$*D)@L; zw|ERS*!8gCDr}wlu3t}CahH!Hz;;N2-n~2)4v3z)kx*h1$keyC-vQx8!`@=EmOn^E zbCK&6V_?w@-Mbie;>?lz37Nd(DAd*I$H|>GGI31J1t@%Tq2|6c5ji*)BB1pxzTcnkqHqirqNgv_PzdVb zGO0k{9tF?utOBRpeTZsYZBmS~yONfc{s zBYG$#OzM78p*ET9O|ZiLK9M)waHK$z;Z_uGNv?KAVOA~py*^4E>>1o2?u9qePUp|K zP)y>sx+`%|JDr!fmtxukf6%eO0rpC6sMBTe*N_L@0A--PL0{USkS*JY1Qjp+3>!g3 zUDOL@{gO&dOHSY?yhyuc6dc8gp^s-ro8?rXmK{qxOV-YJWC zr9fAN$!?fg{&J#ntAc)BmBuqy8KP6y+T#vZA27gq&d*vNd-MV(d@>EuqdxbmU0X2mBqW{JKY~xKCojvR?IwTuIch#r{V5C3oZ1 z14Cft^L_f-M0>$x*^I7cHCYXBN`|b{*vpV6tJd#jT`sFq`Do$0g2Q@Ix6b+ zlw?=*rtR&=hep}%7+z&)B9?Q zm(Rzac3!G=w}%2N6jLebYPHOU)G-7lt0L)I>s$ePHWvN@Op^{ZtMK7I%NDp+wMTga!`OXeY%i7tepTvID+ z4?ZQ^!xdy2~PG-FoEu?zU-uK00udFQIGY~=0@J_SkC&qV* z_I6qJtX4p~_p34Yl$fkmbOB}4vo)Y&|Mqrp$dPn_Ku5Mc-Xd<|yNO|(qVgStDw>tY z(Adlon!{Y!YC2=$|KMsouSezG!4Z}pD3{)6w0n=UfGzcXRIStnt?c$kcPv=JpLHtl z;3T)%RGV**AGZ@6h;+q(CSH%clB9I7&wUBPsxqzcwC`iwo;>iT_}r%&+UFLrzW2DW z@Uz`|zO;;`8){#_yA25xBHlT0Mb0A;32#z;Wdk^`?*B@_N>q&e1>OF&bq*_!cKarO zqtNfI`lRKd`l-WkTA0XhCGyieTYu?Q%o5r=??p1;F<_7K1h|9!4~S`#HqFRa(qK|d zHYVcL>`Z1>_0o%hbe-q6Gy#PzDK%kk%_?8UVCEOSo53cA|D9sY(gArfqvJ~L8!kZ4 zduLbou7Gh_TEcv3-!QxyPQIEKaiXGseH1l=U8SnKr858=}~zUFx|Q3DYZSd zB#8t6y{--H>w&RH&%6&wgUPT#3F9lVtqiB!&1)^v_ry5Q&>kPy7#XTH3o!#+K^f+3 zcwek|7*{85;K+0r{)v(<#5X`=Y0NLja~&E1ic!#l`{LiyJgtg;L|PQAclNd1-O}*c z8mvk&1~EP6`Y`{MplwzII@r*XEK;IQYC#v!Dxm-HmCOc|7CT5CNe;oPcy+ZsmtG|8 z5zJ9=PdNF{iR@*L6tHm(?x}@dD9l*BJ&qTQ5tUV{zG@JrmkszPW``*8sG(QfO&khwE3>YN&T^t)pbkrg_d(i;3 z9I$7ZIpnz|by#`^##$OJ)*G5b#GT9w(UM-dyvUKyV2!@lKWiVX-^_2q!=GY1Wdab5 zfo9(`g3rt*6}bPSjJq1pS4n%ey_Jt>xpTYuzow|s$piU869&lQdsY`}?FB`#0?h33 zVqacR*2BqEh8e;3crG%jm+QuoX&2YblZ-pMKMh|c%o_b)w6fLF%rWYVRJxePjr$8y z3F_7F^=2Q$<_|7jqxi(e#u4LjSJ zSddoXox(?wfo$haCUAEzH&pOSUpZa3ic?~1GzGke>UV41k?+1eDp6x_nf9HB82@PTzWB>RXWgZx(o@d=waHc>AIVHs&JJGSi(K+Rzqam+#NC{o23Bkzy zL4Q4;*RezkNXK_t_PwFr-)NW9u*?&B_%R=Q-}f#uXI?tLTq%$ z@s`FOH~C=Zzwk!tOGUJh0bDNb!BN9b1!2}Z@3xlS?RZ7rB#0?6m;D90CMO`Pf*6yA zmt)#v-pFY->PM!4RVRFK>C$%_k+xQl^K0hD^?JE?TLZ;9H4xGBsD0&g<7V9e?#WVt z9@0A4uN&Vl9(7byphU5&D@AVCVMH?W=ZLB)Dme9otL9XH{;(6-*=8Y1hZSM*nRMrg6O0y{Zd6m}_awBrLdC zzA#is_wDN;QVJWy9l74h+P%_H;sV%&z33MXc3DEtCmWJL49Ojzmnrm|w*~A<$6GJY zUglaNmB?loSUHERx$H@BYdCjDC*0wDsY(4dt*BS01hxNrI1-Z1m?v`HZWGS`Vn z!FK98|FTL*{G38Wu?Cm0PG>q~mgUtiOgi{0Gwu#Z>0Bc{V5biKk-7nOV)AQoYZ=joNS2~9`BSZUa)ttj7#A{9@xQ!L@2gE!rbU=;M%Py-=4ykw#tGJ?pn8n-A{t3 zr}l=vv>~PZ+a5dzmFb-eIc9EoytJ=>96cxiH236Qh;z9{-sj8}e5Fz!tYWubXzK|3 zOSiLXL*P_PQ1`2bRUuFZQ=Sz3`lv75Cl!U9^;>i9??CuM6_M`^{~PLjpRw8pApe?w zPjuq9XZUiH_vxq(@Eo{2R7b8T7qQsJB1eHqNfM^KKW*Pve!p0lM3eeD?(DSfU026$BawRdv+0N7v1^#r`XnIsc<&d4**qj8Y_ z(0Rd1DX%B?JJ6*h1ASF!$MWr)2a==7!mh9V{2P?-&crPORE7)g3PP{UD_LERwSU+} zgdLJsWWByZb$8bUN$(VUygJm&20(8Tpvs$Kz>-TV#O<$v$t#sYr#QTmZ!fZLY1bQa zibNWGUTvUSTbD+%c5Lz_73s3zE6K6F;e!;j{k8y#DCzOID8XPRwV$Hr`yyj}OhtxA zsrkv%QtuK+0QG3Y4*1yzXz2D5&g6H$FNo9U_0!=_5vk`uLoyAcSOc?9NLwR*y-ahWK18lmFn_6+f{+_(!89nGYU*B>9u#;o&cCf~cDL^DP zMNg(2xYMrlqiEvVLsG~4v;H@H1BO`VUb4`NRdW8}R|_T@025(o?|2&Z)tOL{9DBEz zYyV9)q(+6YrG8vLm%Iq*d(S=#Q%RgW!zqXN8)XHUPX5CnH$MWcD7)(CwTCFcKDWPH zdH!yvSP~wgGUHY!dT6Ct2PQEcS8?dXZ#)2ZbiWo7M6jrJ{|l1QM?P&g-KmtV9VvcM z*zZgC_YYWFFt=!%-ArtI+K$zrzNrp@#`_`TT!{ip|5-*AK7V-1jJ2Q8>TAO^NXGp< zPZ9l`=~%_{Yl^4u25A7de7f+-zg2>&H}H~96%Io5Uk#3~+t7~vR$*8vX!cEgu%!-q zE&d{i({Zk$9k0tNt%o=Ci=D9ONlj)n6s5_$J(z+;rskA82H7mjT|k@+Q%(Gp@ETJ7 zZ9U%^v|?2&7rKBI26WUogWcB82YdNcj+NRd=m@hZMa|HqyyfdP(pIMqNPt+#s$MUC zi(H;fP+JIsbR@2>M!DoA0k|AzQxwViA)h58H|3gvQ^mxKnY&MnJ3gv$*hK{VH4Bwf zm$=C6CF?=2*%rWy@86DAdY%3KYDV>q>y1pI$R7^Gn-8Ts0LS3R_8jI}u8-1UqybLP zcb~IUc#>$A+JsS^sGWQBMk0NT(dDda>5#l)eD(E5FtQ@_oY~pHE~o7_pC+#MFvj=u z*<&_vq@yexe4bml^DV;JO6)C}z4VG6$P4L~_QEK@`8w@c#DoCz^>xaCF=+UjZ_^z+o!+^eXVB}D;Sskt)tG@`#Fs0|4vo~O_44oy6(f)0 z`W6+HkHW%a2pBnCoW9UM7+7{uh;D9&9(Rd_m`>9&jjB7JV|5{Ac{tgeko zkYLWj8zOg?C{I@-?w6nDq72Ea?)@5Exe>T1D3fsqEURoLF&FHRFWm%hd=eT5&q

g4wM}nmR+$@5ON~nVi4FTeA%( z)f<>U{ll&Fz6{0FF_%M|tMTcy`!|Vpz8T`NP2V&ER-}d0pUxEyzU{9FAIp>}&#grN zsUxC?>)%WXM^k^|(DbsIESvMhRfmM@QxLnm&6~SN4wS`9bB*n{=%;ILIoAVg!%lRq z-e#)>9zSa(^;P_P6muhdyOMfkh@)}guvo*L?=c<5suaT5P;yrn|7vbz5UgCMrv9u$ zcR`XVSejw&AH*nyYUp}d@I;M) zgdup=4IN(_Qpmgel!k8&fx4U1FG3yURe0u!N|QDH2dfVnza+w)9pk>%^UeewD||p< ziegOU?H@O4YOdBHyK@oHYPqT6W{xFZSji#XHo}Y4P2eD+s z6Mnj{lKhC|q}^*o2md}^mcIQ}W@=y08r25Ya@pZs;ln8fUMiKN;o?|{Vsl>FE_xtz z8)m8tKco@SyKy>Xafj1&#~1*6*nS4W^`V7Ub?I?9i1)`j4n}4wM0bY;dtx8`y?1+> zUxkp`xm_>@gsSc}Fn+yM30PXnObuRk77K{oSl=B&-no`P!AkmZLHYpo_i7wf+R)X% zsmXcMO8TfBKpl9#=l=fcojo$9*Sif}MM3NZrvnl7m`n3Io@m$m8)2{?X$o)xVtsIL zX#6+5zneQ9azt|Gcee+EMcw*i%?}4F8TO&KUPb^Bi;=xbgs(^|UEguQ zS0TGC$vC#Ksa>IYya^WZ0+RSn6 ze?*SEdAe5oDO$hq!qaCLrvT_Do#fTO{59Ta?NV)}NQ`#s&@Z8%L*a7iZAJ|#lF@58 zo8w*U?*|7S%o$y1(3Q_vT}3MqENY(ezL9};!rZEV)YdJ3&=Idv&MrvGfRX2@bQRYq zXJ>J0(9$Q@)vP1Htomgy*&?-*N4kq*Dmi<-r{RpFzknR)hq6ZAJjR4u@LTrE6fKND zwaYcvBjlaFSyRDL6*@O#&D{e9_~d0MzF3}75MklJq4w+G2FZ4`5*{Y>DD8Ff=2Il^ z9sMWgZd~V*2aq3F3BFB7e{b~ja(P0_dbAvF`|!&Gx1uW!0xmx(Q)QdooX3!Pd896B zZ|b(Cn^5FEtF%=w3U)^NWTFZv6*S@^dRF54qZ0W7JWDvlwLoH7sw80FzU!;JkZ$qm+i~8+cPQ{Me;L&vBbD3Y+=j-Y#+ePy{_lsYB;a`D^RYX5P<=trM=qj{AM2N~g0*2n@ z(ldhgN(gjm1wr8ydwHixYM=wE#V zDI`P@Bj$mBIS)_iQctL&9p`LGPkw4s@)$*wby+Bk4vGwVG3+I9TPDg!s_(n;zLu`r z#<9FY!Y=<54@C{jD)Kb_2mvlGiZbQus{yPVr1rrPG(~)o3c=}s{S?QE*>KXJckP}T z0hCa(w?v@B$ zFv{e+kwdjS0C0oEf}+2m3~n3>iX3e@Nk0l2?{}8dS6V-tx45dn_t0`N8)uqdDwDyJ z+KDl#tTXzOxTxg?xXAM6b2KgxB%xu!URBjr=)JnHC2pl9GL4TZoSI{n)$%Fa>mC4Z z6EDr>4g9=kxg2qd3=@Xb{Wy)cDrt{;D)b+(Rxw;M1$?UQkn;SHXRfctJC6x%U;56z z=ezslB-1-zi6ZW-2orBru{Y3a>0Wu^4_if+8;Czmh!WP^?r<5Z#d_pPUa4U5k32M zsss?#3U^r2KZ-_2OLcsYp56eNNF&K^-_GT-(vAkF;E0#3k>!j#q5$R%lEx7?O%$SA z_bk=oI=_cIhg3rLYHD)RPd7o_Q+7@Xqc8Maean$;Kj&f=ClaNm1>=;M zfv_+!5Kp<0jiM71YupMbK=5w^1+AwU`h!Cwio`{Z3<(&5_72P{HYwqWsNxe$J)2fc zN5`j7HBVZ3bW$>vhmx^h6QNk%jQ%J-nnc{P6 zL`Uw8#vl4Yi!XaFXBcd`j2m|Apv%RiexABEDcl>v-{t!V+*cyQE;PN6xbfFlgS2_-MRAj zx{{pFLM}xapmkv(m0Ta6S%+(H33E}0+*2HgV%*=uCO748Y)+@xeo6E~OsHT zW6yr;<0-tmUAh>4DV*n63QM{VsMG@L12tECV6tqeRI$bc>}vgS%P^PLNELWfD0?qxlgk82sjvXP!?Nu{J39uNm&-a4`nsYK7-x*Aru_CZz_O$!uG$9)EooCgPk37-R|Hk90#S0CM(`a87c*3O0GiN0EF zE56FhGbT^k@PK%$zZEUL?sr79bs{!EOq7eFzc-vGH9eq){YrM82`s@)sMfbUCYTOj zH?h3~Cel2zHQKj|F2!5Q@TGC9j_nQC(%On>vkhmtj$T?Dr}y5=cA_wyg_-_fsmHOT z7jJ+`YR9tesgDGID8q;I$&3QOy1S9;~-R24*Yb_?)t`aO;B9-qIBw>%;zaP+aJ36$&J-j?ol)33BPlFiPX4Fcd7 zh=J6#N4o~4$L>a%&TJif=R0youEy5>sYPt<8@sd9=LS#*QVe!Fr1yqf>+{u@Ts04n zodf!irA50&e0ZZ6HIn6>v8E?vAdA%nHn%RsKQ-Nr#`JhfO~wD4s`P#P@d)vy=_pA> z3<&1h%vTg&lrgLD(`UxEreVuCBA;qpq)!!5)NjcO)pX=yl&uW5wPPtkpkon86n%30Tn?m~2lot3Wg3K} z>j{15wvY%n5KCmKPh)ekiS}wJ+i-~*u!MtG&!~Ll*7+4F<@tP>1O4*UXw=Wh;%zdg z=eW!($p^+trkq#eueoS~7<9Wbs>xTx5ulPFp{KqEpsl`h?cid+@=)y8u`RpSrZ6Ev z!ph`8Tto}#v#^+n(#1KR zKXytZ?Jz=-cYQ74dfs#6eoQ>bdg7PJ;;}VFay8@gQ@Qv|%QiPIA__cYF9aK3_GhpBfGS}A%DJ$(Am2vG{Ht@VHN&1S6d=@W-k^F~XF<5olPEf4W zl?w$AaF-)6B+HB_-HkJMRxY2|Iq9o+O9918gE~L?khH2ab(b!IURp`8aRvepqkB}B zZud0Td>&+R0RAdMta+W*SSh8)4)1?p_#wr*-bvd!M$OPQqQ+4IU#=;Hjqeh$u)gUp z>ef!k8AwwS=GME?XFf1==3K=M;7G3CKJl4XHS7J4h=ms8+JC|ChX*@vL9c8|d_{52 z&7>B0zt>cy><5fAU#PF1ud%>)N&2g9P=&*}%=Lqe$SNol>-43orHVoh2j~}hoyCD- zyQM2BEAJy&lO+?8IrdEoK5ltOYgS=1iLCvTx+)4{1s=58^3uyW0P@Kag1rLl|2)sH z2}!!Ms^)cUDPQI_&%42S#n+aCU*uHlXChwfffZP@Ij>mIo(Q#GqfQ}6ev zP)hZ?qROrixG5Kx>UQUNyaA4%uyS#vH2}bU#Iu<@`8*iHDE|vxSm}gu=vq(cb0@7Uys{y zMhBA_&|c5t-yVn!oDL*yx5Db*`VdO&up?8(XM{Y-HSFL%6{hT0z5Ke+^0BS{Ir6H$ zS}Cptx9E9gCyH-(DM?^|w=5{~rxWWz2t&I=2~j?rQ>- zaH;kIZ|o?H=9T?L0fm66vZt)l@iz3O=~smxT@rFrn^#;0Xuc!|h)G%!To&3ajMs^Jt5z^FrzJV_Frid!gpdYb$1?_@W4Nx?}0Fg2Od zP$@An#qre$A#AWv?ViR5n6c!UP4dv^%VO=?aXxYks_>wk`Y=U}kaVwCDLWH!zBmA4 z;z(u7KQJro=p>rTU>B%E1uxVA>|aR&(PMP1eN?nS{6xa2#`jOO%n*LC0xJf!b?(Pk zf6$Iz+DyguriZZg{(w$hF;#=3lQV%q?GCbuX0BDmx~YBWJuCUSnR+;xCxH+l_#^;8 z{G}Hw^oji3+_l|wLst_->i$yO70W5k1?P_x2ud1FFJQ^k1V>ZwB;2pZcP^X?1y^zk z$T2|0_o6|sDp2QW)|M~3VbXH0VX2>ox~(^?TSF52w;W$oeg7WUyub0w#)zIj25tuFiw!I}1&6!Www z9zBf*U~Qy-<_A$yEU#&YUJPTTHi*+`@i5Nb=mXh6jLh|TBv0bh7RIvzLLcMFX`$iE zJ*B=pG`@Vl)O?j498L?!tgs>=Zv&qTn0tJKI9S#Hp#BY0J;$oAP)mk;1<4!Kx{%P9 zesQV)HGpi9j5ywk!yujn3*u}BQ@r1{*M5SQKWG@819-y&uRks6Kr3EpTzAFGRB) z8rE+k##W)vB0q1Ygh+S_`CVk9W;Q=r+ge&y4GO>&h~O)oB~!k#nrxmBSDoR>OKO$C zap!jNqb!0@f%}HeRnMgTwxs-&aM$KDPHy9m7u#fs#{2?_!cS}E> z^rmIQ{x!e?+Y1PVRU&6V>nITkf}_mKA@6^`hZO$<&ZhqI(tzB<+&!n=uuks48#y6$|#7 z8F`5t;pYH^u&rp_V4z}P$;EK`?fFE|@svk2<=yaR=VtrBYPewXBhbBU|L*Y)=^r_N zsy4oxm~&qE56lz&2;{=JpOYC|t@NE9)wsdhEuUehRhHSFYIR@3oG!Bzj+`iCsQ5@g zrW-UMKW$u&yZ!z0K{!}gJ`4DzE0}oIsmGb?`Rat}YY4w>dIFJOzot5L@Un?eYjq6n zvc%nl&n^qzFYame;NlN-fJaB3%c!DHw{ptP7&;Tz%gbFjrb5Vd~xDfs4XtqVfTy}g9j5-`vhEAi`6P2EtR;OQ^gG3#+h zKTCo3>afj9)w+Drx|?>_=5?-}yZ3i+>uRT?dRR2!NinC0c@Wus7*5d{&77R89(bl) z&07`cKWU@_<}J~b7BkKw!C)%c+A0>#!)J*o3%jK=B^ho@c}EFjMs0I_WEvVNX%V&3O|IW|MwM>AW)M z)S1~{qA7UEs;y0GIs_)1I;h`UV>ZcA`hXYnOPdWLLc(;1oUTkQH)TITF4?+kC?7O| zgMh0u1~2fni`vR|fmVf}H8V(Cr*|Ikyz~soa%zke{d5(V)+wINrKGURoD@O`=71xV zCnw{?Y7{Hl!VN)kOI@;{(^Awk)Mdl()p?2A59rzSTHCC;)yfRRaSKZ zw;XC}&EMt5*lhO8P0iqi0^Gyg@KrNKf@3E&ZemjdbjTYK0XNX#2s}1V<_yoFTNp?{-^h#5%|4v6O`~zG z`*C#!Y`stNH$9HeU;n(aqGxq@it=jjAeAe5$vpJk)jtvj^WGPgQ~w`SQY#xnE9@*- z@;nLYyJvJmxAtG51dDy9;$=Y+7aG}e(nr&f2NPOEt3P;(rx_wC2R3uNNTCY{!s~9= zeR;l@40Q+w>WE_~>SH{Y?H+5h!Bw4f?z~o~x$0zqZS5JrF&Rrl|#sirkd9pSM|P)E4FOM$-{7U{sGr+Gghj&Y;ii@j`CGOh9s+Zsf5X z^4acCS(Rx{Rxl~O8ep2+H#1UC3UQ%)v6yQ7`P|Yegr)ZOiDuampuko*j)A0DZ|PAJ z+>`DEqo)eE2H%s8d)uY$iL_qnV3SFiDVlJ&5=CdjP~(iLUj#Nkhbrt`&4%{a+3?Oj ze0Be=bOTTzuvhIUMr5WGV;XzVCPy1w1~HL!Gj_`@_u&UpP;x^h20$1M9=(9KKHaM4 z?D)htG?%M(^(yaPfB`)=$#gc$?{f;*pS1WS#_=#Pn7oO@f~^49H}g-~RipPKN(H`G znPMI?6V}Ja=T-8OW7Vs9*=z3An9!1h)Fiy49O~s%VM7+Ns}Z3E@>nla$lbk8Z0O*r zEWbG{X&UL5lh~8}QTn&1KNddA<|QnQl!pC3oly*mvX(-~9Uyw5L5X?Sa7;Rrz2pQB zo?4p<&&PnN093DZt$iBLLh zajiqRvh}dpt_d)s9NtoX$W3r_xT43%l7C)9d5o&>)B^|osh1dnM^zl=zL2QgqkJy^*# zQ;eZrToLx%IY3GT{552BPFf&8?Kmvz6E1ScT>J(`hE{s!_wVsh1TmnGWPw8bBrxi{ zy=yL7ef}Odycn^7v)9nU+RT&OBRnU1mu;H(zXNqr(SsCA(OOcNf}7s*IID#A}`Z9NpQQgu0^QdKuzVNXdk>taoWKb zl!TfgWfoTC?i}edHumrSN}9{GQrKyoNwwTLE)U?_2}c%0F|HOT86tIIe;VeRHO98S z#-%NqLc!=>pp;1YL5HjHy|-Zdl9iJ7)x}63kA=+JV2MKt`)&RKv425}B`vf(|6YE> zuWLqpy~cK1ty?d@UGe>Ar!!S6CoRpR>bJC|6K#=uW;&jt-IZ#F_8JgSmSE zu#GiZ{ho!j0;0i2F#_gdjO|taguxsXb6)*;2%MZekPCBQD9cy--)(Ro|(OrWZ_iXhx|_xu0eIXXRI_^Y7RDBB5c8DaYluF|cA; zAfH8Ov4>~+%mb2iJANe}baQMc#57ZRM_qFL# zouW^9M-){-33;2J4iDj9lMA`nft;TLqa4^fSzVfzbfY znv)L6kz=ezY)$$ez6~D8w3d>27q~z%Ejz@ltk!koNhyZ8+|%2t_;!YA0^IOSwx~KS z-#+;&ySRj1k12(rnUq)*5_YTh&Zb?CIsk5+kZDV%CLu-*q?fiK7}12ardqTLVyOZr;mUV4^lCO~>$|Xst-rmG2wW9+nGnvax@g6@|^g&Wy z@$^m@bj`w4ZOleD7`|SJ^q$u^4J11T_r!^pDjbR(Ir|I6NWJ&H&4+Kl3c*~`EAY`) z7X$4YJ5UE+t5v}WD@hm4)4wKE%_{mc3r= z5^a?PTGXa)7ky36@&GU|_GUSzrwOt74YO$`*nyrJUvlEM9pZ!>RUA#hg`o>nv}``J zbZK5|LAM0Qy^N|JxHa6(PwAoXA+kws?`}UOzaqn-tuFO6?Z|Tjkv6(XXu32@N+QGn z7*J8s5;)x=KH89%~~&gYBe-N2>=d& z?aPgSWCBX)cFp4FWPI;>Gj))%Z}q&HP84DagQjEoYnm)0 zTFddku5gj7W(pD!D*3YbX{(P13Zu-X&2Q71L;@`u<@Ey6+XeI!jZ417!C}Feg%d08 z%44@r8J|xFS>d$z=YPLTFH?9?7XM<%;Jv;J1@qp_D`lJssgv<)oh<#^KbKb3fsnEg zV#VS&^9?sD9I`*^1}!Rg`>H*7o8!A>)cn&3WZEf7p1s-1`lf49l>ct_)3IP;JO;JD zu%z5>EO3@Odb)9ByD=+$;9$4wr|cR=bdPSY-O5_~MJ@43V8H1}yzl!_o&vEqOAdy-2D-(z6bMbL z^fhrWJ!n@o~P>;@}|R-ULkeM?xT)R9AH2EL46(>j4Y4JfGF zC;5#vQ&h>Zu`9MOzSYAHPI-WA<59o5*B%dAc9}~(Qs5>e2`UgKHEwA^61uR!hcW2f z)sN@CWNHjmfkB6$Co1OC(Q0Ufk;pCdl6x&#`hm<%UhOnwVM6B?RA(78OZ$`T6{5dx( z+{Wn@>||PB5Ad?fG6lup(cp4(GE}bl*Hw-3e&82o zz~Go}l^raxd^-PI^w?RLJ0&vx^eYOym6zW}*l=Oq(@p{e+H;5C!ma9(wn^j%+N)Nv zgJ2+g_g2vn6hJXi5S_(g5hs!XzPRWhuL?>X)l1uAoI31>MtSZ2@s`Vu^I?aScCvN* z@!exA7}wI}g{pd2jskZXzdy2i10?3_~_73 zl?gx>r{E{ln(W*ZC`z|uxoWixn|S}c<1jHACZ$2H^v^uW_m>&}m4YC-s%SRKiac1a zDwDhd=M8RM7|463WA+-%8+Aa=5VoH1{6byDhf+}7L_r|KJO|kET{24C>Y7x4yiS;v z1%mkfd*%o0s*{QpqDPWe6x?feP&}zO^nHOifYg?4&2#|`p>jRK~H;F*oN@*{AWS%^xWk0erB@S?hZuQWiP8{q$8iX;d0nf2OI3Vr?=)GlWGjWgT)1%}P4j*>PL z1Umn_uuDdR)k<>sPAcWOZuqZ$H!f}hb>vHk4f2-3l&Nt)Vqrcq?bvJs)BR2- z;2GZR;+(>&X=YEFWxo%FiT51qe61VXIRhJNDv#|3C?ife4vLnG=K&2DB;74W@6o>D z+nY~fYe^D`4D_zxZapLx7IANHXioX*m#nx_o0eM4He;roC5-h&NjIAD-D(2+O`|Ep zz3;E2I3GeS&=rWD@735c!8GP(j=81pgxbi5n##{f(or(lf{hrOWC?mDdUt3ycJ(AL z#PLn&zLH^6p8gpk*yTcoUnB4q8UpFSLBbNJNSj;D;6!uyiL&06gVG%xouF-SIa=_P z;L%B4=Rl3@<#zLm^Bd!`5a6)a%uWIa5$p}$;*{Hz^xZQ4+#G!8-G7XcJby+C)T31I zUAn}bW842svs4i6`H7^;u8#_o=O|r|qI>0`)e(KhGx2e5uca9k`ojH^zS8E*8QM48 z?JGMK7oW@I$9^Ol<9lcv9?^{0G1!bpf>mOoxY8jd|3+SCxh^jcwA)iB9M~l@V|>2D z+aU*d7X>H2G$=hlM5*_1g|eGGN@2E%jnn&|81N#{ih1&ZXa2cjDChx(uj1)eHFa_M zlVAi#m#w!opWMmge|5&48dNYqN*jwl?>i5+_qTX}1;r-EppK>bZc71qq5i#R3k=tQ zkD_KzW^F)RQABQvUiy#Y<$V{ztd!k+p|MHYu6eC4b39elyDf^RZ57X-F}O~LdAzr` zz$1?WevizM-J~iF3_G}Hc|x~F=4kXE_(V!d<0;@7Jh|;`o4YN^R9V(crbuw@0I0k_81(Lr%o)W-LQx=qJl;lN;8l zR{zefmOnguVm;B5)xcg9Z{Zn4TQ zH3i6LfG`-(cHFj9dVCym4mt%1-P$SIq$4R)Go-=fllNpzJ7%at#_TUx9^SyYCn_EQ z?xSKmY>-q6x`@z7F`%yvCH$PO_b6QV(YeKZuLg|t`RJab=MUU1Yl9fD3mt<@ol9_P z)*h&K(}g8`Uk5}0vECRS;T2{{QVrvAb5qhsz`~FCB&(hZ+b=EjjtTyk-FA;@M9c13 zK&(Mv1!8l`~#)FGSz$qRwYM9<@En^L%%@_t|ccG=~i>lI+xtG|ANF z|8R$;u*H^rSKHssHFYHeSOoK?KbiwbzaUY3x?@%K&$$K!=<7pWInMX?5sXv5U{{q7 zw*yaXvKcOfkM2qeCnY$(a;`UAJ^ZYl+YJR1x_Th0fIEOj;uK`FT!Xp467f#_)7eC9 z0at=ES-dymcKUF}NIU>`JTzhq40xRWyN_J@A#=@qI-jkEobCJ+Ygc9Py2A2i+=>&> zpAI=LOZL8pj=41RP4F+%4EyTfrOF#IT4#k&P=?vv=8{(-{5P_zTLGWplU5ATz@ry1 zeAb?{7mZ#3@(`nYx)Lwxi67J9(!Uk4S1m|MM8dbaQ18aY)m7zLBQa(=8wmKKKg!PM zmI#14F`H{x#&buk9Z6M(XjaozxnB4D6#HVi^bV`lK680IsC z|7;SSw8R0}-vGZ-jYLg=&5SJrHVO6ePs&4|y~W5Ri}hr;Jw@p?e~ICmY+dMwD9PZ@ zSNda6OPQXol2o3BKA`{Ucqu&RN75_c_Yut)$uJ%QmGDBM*a=r6z>)C)?)7=1qlzmC znW@Jqf)Ve-`y;P1Ia6DcTveL3P~LS@{;zG7vJp|mFHfuy3Sjb0F#>GNJt6ZWF{uG%957FEUer| zsK+$Y6?XQl>q6!ZT$ct`22HD+(U5W_*^cH{N!kiCpa|vGSsM~<;>&?Kk$p`lEVjS@ z`-OOEg_+r=p^KPDxMkldDGRj%551fRaAO?wm4qsp687@($d8t~V=Bo{d4RxQ4#`dA ze(Vr|9P+BRoS6EK@pqKfmLT=!&G6~Cj=t(8{L~ECU3xtFH+4@o$FEnf zzBTM14lB4Psp)t~&M0NTX3iR;%UFo~$T{klEB!WUvDu%7D)6uh9oI77%CF4huv9*mp9`Yxb%)_{W?}jan`1?)`s5xyj{`R*bN?mcwDcBy{#+*)AeHRL@ zv7s?HkcO{&|F+i#_%`dWtMdt3$1Pa>a>{RheinH|!T!0r=0(dV8H zA-b%3w<~6ywXg@1L-*|CzIMedi*<(`jS}g^&|f9IG(J!1p#qA2=BVkic{1?4Ou)LE zv6F?UgAGsR?vvaycNZ2;i<%52J50q61(>ACAfiME>Mm7_jFlpMu)5!g+Tp{_LT{k= zb)Ve*3)))}WIVOiz~@$XXnOz^_fo3PI6{(+)g9H^e}mLX+55tQPJk`8gqy;t0n>r# zv3EqJU$nA#F*B;0f*7EHm%g6c=|ltiMtnT2L zRy5mg7Nw#&pq)ZzpLp{nqZmjM4)5ufUW5AbrNuIiIqMEZ`L7A6h(f2r-kod-dYiq_L)l%H+E(s$&B{uL3o7B(KT$ zh&;e3=IqqLy+MK94atLhqfyTS2JI!<84r-;ttA`vt`&?LA-d3h6vNFH%!1kQRfaFAG@PWp>m`YZ&=^{r#~+7=MRn5yPzd-Brzol2Pf;H%Sv_e#^#%A(0NaAas$2_L z!$nX$hG*HTFVAhE=kB6S^&)$MrLrWN9TEHz1cMm%S|oTWT5cjh-13x*4@ z6gEc_Jb#sc^w(FvdVI*#A6&BUWzg*Fo4sx;Sr3Gn2KPRLg9pc>nC==vTER2x9j%qe zWzAi1uEsZuwc|OWXkyZm<`uZQgAXAI8Ih_ z@NCCBYUv7PLos*&)=BZazX!NjvYtc-!~+&Z)#(84)D}sCPa3R{;tzK6oVBh$9mVgB z^F9{TFvb6bV$CYoG~G#Jgt)Y$xJ&hNYuz*(e8=ef3#?P)=+iqbi-@;m0N3SQ$_?aS ztim&dTIUcnvM#h*XZijg&86g4HoB;a>vd9CyE-lHw}IvxA=)?1fYv+$nZq-5k2+Mo zEVM21{J1g6gSeq;Y7N`KBiQFOilUwah<+Yle=dGDc~5QiD4{3x<$_#Hh)m4kQmAZ` zyq6I-=X>QhjS zutZCHKo4(eaPqTf44%oVt)A1{CtYJqzqYpH@8*>2!&f&1Z)7NTAlC~Z7vZAv?LrX=so*nTu@2A0?Y->g#|Bc+#5%+&)+z>^1$7cg+hpwH(+B)n*^f8dPA$ z;?5>PM5os%gcT=={;jd)faG=)wvltxffeT6&!agc;=3rgNZTFW89;3RBN;fMW{6%U z;70$)(Utf!{r~YX8FEKW<|tz!yF)YNzA0x?7C)`3Ja`X< zuAB8r3w~d+S^uc|BML^YojLC@WO<|5Iur>ZZKLN^k~fL*kLHB%j2|(=c}yvYg%>E5 zvJrN?Y3r~+%6WYaj^xogRDngqE!)zXNFvz)XscgOO$8rJ0hbQdv)oqc(peT9{Pr6J z>U6Vjdy2Poi44h8DqN8>@RI0ci1f>1%mUvpVWsrh9i1~Ph1n7AX^L$Q&>RR;nFb3@*TgEmBjv>4q3tQnk$8Bb#bq@gEI&tHjI; zE=3>;g~;A61Wn@1r9xoGsR7qttToC)bUr+2+5|Qzi8sic(fTLR$`^KPIPy5#J-rus z76lY{-!?|_ha!sgosYeE{u02s*@;~;7*AOPLs#PO^w|Vwy%4mQYH@rtysaI1n%n9A zbm9#~oFtrbbFk@YRoBW*|M4+XS+}_~g+ctYwxe7-rGsX#Uc0`4RG`)}ObyD^4d9zg ziO!OBkJEtaAKkmof5ng?^C_Qcbh&xT8zUC} z6vj{=jpmyvJ^{ZD+W@xk22nT<+BR&as%?$-v{KtYbVx8o-(rvkp5K()5E&^L45 zruIY%BGKUs=6PknZ#}rjuNO?HO=BdwqP>G}rL72TMB`J*zAd(O?BYgc#R-l@B)ceY zOpK9&k|T!}G|%S95gMux1xN@2xn$^(?(wItr62j(Ym2+gsJ96Luj5^y0P0q)-d09x z)Yv^{MljY0_^%G_WWJ=q;^S=IN|H4q)u^>UYKXnpI{drair}1T3kH|*HHwHsZz&*1 z`R(U6D@702nS581v)&8&*gXS0c1T3e1?R$BILzLY+8BZIIMiDAJW^cbDM6o}gduv! z#Ck|JTUP+z_FpkaQTic*F-s${T#D|)o;j7=7X9ouncJde(wMn%PKtXExMDA{rr1qzawB0D_3Y|RWRWv zIRH!mcdzBYS#0-+)E=;_;B|>cR&uVgEALKsYH#3zJ*0}8Ua*AqT(?|EH|G_pCo|t}*lCA&AS1;as zuTgho_%11_+U8L!h_Z8Dkjzaqj7z zS{nE4?IheFX`RT_&nI?9dCr2ke+}SA(L~R%`=I&Tx`nb`m1_);`?&HjubX=_fO1hu5L;bU5EFlsK^U~i{b?s2usj#IRT{m74zc|gMO$J$Qv zC-6x>U&$HGF(qWgBQf(nSb0yXP6fzTa6M$cOM%`UNjrSVGsje$i*Ags#5)OKJh(#ZBAXjWJ$H_op=`N~WCSfXD&5YbHPw zNskTFIhlKLHpwDIlAKo3fr}KyE+i6X(@` zoYNj&vKyv^Z;8#VpfGlQxz99h^F+##Gsl8qfK{|lgMetX{Xf{|6^xR0#&;vLRh|jx znykzVH)$-ESocs}lZ615pybfkl;Owt0ids3liL(l7j@)#qZ6M#nqp$KCD9S0qCk`n zCXpg}QLsIGdDQfdIl8Fo+oz;pMrrXB@jsB9Z4ob-67i8~`}|Dgz`n35#)&^OH{P4p z<&ucmQn@Es2(%RYL%~yq-HfAX!X&w-^KrUz19S2qM8^s=B?(n1eB|vuRKSfq*O>7o zj@cU)>*sbB3uth(Zmhrv$<763R^8u3Ig43E{iYU=i{KQs0Oa{s3AInmfJSlwaJVOo z#XGpSxc6({o%?Wanp&}(d=+myZCZJ*M15S>EwgZ>+<78pOxRGDK>6$4TF0QQGE$Ho zXJh}iDcI(GxMAi_h8SO(ebEB-18Bc=;t=70ocb`*VpXN4ju)1sGc1@_C0h(*N#_Nf zpP681M>#^iFJ)kGME;Bph5B>OtBS31vU6#KbjxIb_jKO|5zftLJ`o7wCk8{8EL>u3 zBOFljjsNUly*&n4AMM>`f*_*Oq2TyL5NyNL@>eCtot%Y}_V`44zAj2B!Y}E8erJYn zcHX0CvmUk_YVWxBML13({f(f{_xEM;Reh?ukqEAvMa8H5;G0l<|TU`p=~ zTbTRAn*xx|W<)ay9;CCV&yr%WWV+?d`+I9X!Q@#-=xromCs-2;mc)Rd5;VP9%{dNS zAP;IG$zW*(gR&V8LWguX>>AwR8}D{uBUgn+GVICX5e|@)(45d%IsoeOM?Hjo6qAw> z$PST13&EtnGMoFC)C|43uZAH%B@%;Fr#$DN6Kz>7|L)$&5m48V2~;;+Ag4=Tl9{>O zee${T)K{;wFE`$mHR`-=Wy%3wkz$*)#c=MB*NYSJzYdNB8FD#dJj!V#g1~B&DuPf$n2r|l`OmP)-ym#|M zhZd!WoF&MBkb>ow3Bj-3^!#I3{K0YHQ9?(YFpD@tfipxUE5CJdzL(TYycEuHvlVrV z#uEAdjVcpJQG~-**OKSGKH^oGResDl$+t$ax`9`zN~~p_YB7PyxDY7gR~`bz<|i3* zs{JO|{u(d^ApX31-cYJTk~}DhlOtno)?AM}4sU5mo=ia@7mrB=4POYb{Gi$f)ur5> zdj-5`VCqF?pky*|WSGiE24WEqa(Ky&*2jxjj~!D){eAnJo@gy;cCABY!$`)#bICV| zLl*{cz2dEau1)>AIU4M@ZSK(B;z3nMD^6xurhCN1NkFBvJw6h@=)LwBG2yB@U6NY_ zrjXMjBOCa;W}#?PXWu}lcv7&YmiR0gQc1)ihCLg*Bud^i9FVe;evBQF11Ejd-z@sI zDi9}oBxb8p#D{KL@%r>>LpQ?~c+6y-k0wc2b=sWy5h7+X)j@FbRdh)nCduTVG79=I zL<5}Tl+I(Au;e8QbQe^Dh49 z&6?_koWeEL30~wrHo)h??Eo|IEbBQNG5E&%&0FYz7ALfrZnI#bnP@lW78O4@YKYhL zKiiZ8?a)mLnsvHW_p^KdkIg#?0QEL$n(9q&`_c0^-FT5sV=E}1pGW42Pn^6BLRo;= zMg16_-p3u0Z6n`TGj+6#Sn;i)b&-&Sjw}{b{rvvy4UlfUZjwlM`KI(E6j#iC@k5rX z@-RmvMq_DZtDy7XP;6EAuK4gqq91E8nc^`^|Hh1Q=ZHr6YUxlhvnXQ0pKk~FL@$=p)YFbMA4M62Fh)B z96Sc=a>>AT-C)saORuJ^=}H(=fD&eV=SF~*3{t_Mn*+Hpx$k8=Cdez{!U!ZYnN19*3P6}vX_zd2Y$o5OKe!4hlN_21V`ZRb1pNqE zpqImR{aWJE6elK${3GRoiwHn=v$JDu3QUDu9x~3pnnSc6Qho0dFvTuc5W|v%kLVcQ zVmt$sE$6zPhU~860?~%WR~N09lfO=tWH!i(bT2A#@|~=6N|%G*qnFE9jRsl)He>jh zfAnUvOP>7pKN7(OVV)x?7Mhl)foj-MxES0bN8x`PT=4j3W1Utng`?l}hb!3MnO$1- zRHl!2qQhJkh+o-gzd!lzuJpd0&*!7l-I8k6r)GuZBo_6%i=L{VF)^ewlx|6izZ>?U zsLi%A3pClgLr(>MtBg{8POsgon!*CJ!*$A0D1@p?Omt4aNrM2X!}`7X_xF4WW8QEG z3l=2N`tRN07Y+MB=aNw2JfMFgu$E+6~kR%l-_JrDuHlS)0eQegNi-TBrL|{Vu(v= zdSkHO^tXMwk$s?MbgnGx=I#9Ul%t%MId*9asoTZ=cQ-ZCo~t&!bV@(fxHJ{BhE4y~ zE|Gajni+}8wLcTCJ2rj>7bvLFf?^~(7vhOi$)_(mBwDhA3zV~_$Zs@bU+n-sNgFEH zuOIzmEh>M5&@ZSqx>?~fcsb+7>L;8_y2=;B%I9rEJCt{K^*+=z`XBkQ-uVhfQovGz zhofsRrja)I#wCNaN6ZK1sOQcttla;mPd^rV7)4Ot~n>ZSS3T%>&Zhl#Ocome| z0!dOM``~gp4B9vx--!+oBXduewFs7I<1f78m}(1J7k46#TnbaKZFK3xMi7sT-ZOio zehA6mMIN52tQrR@&P*JBX9{8*?<*?9&%q{Z3lVpB8W+zj+tim7_Jsu%ay&Xs=(J z(ZM|#=_`^uC|5(>2TIARJkyVKi8CJv7wC3@ZbT0R*E-;5Vdt9~*(OJEsE8hT?xtie zTZf`A*q967YwcVPf|g9BjbkoZHPVSEgC0k=QQu2vHkKg-?rM^ovVbmwQM3jGGv$WUcBB{7p|wQJCL@jcv)b*$;u+6(apSi7&Qd!!AAeUv>2A}`6}O2R z*hKXcscBiwCCA~iUb~-Q@aC>?1 z!XkN-Uj~z9VdLCX{l=JVz|V_-6wc|`shi6Ro2@J?WZbV$cw+p_DzCyJ@0Lz5PGh^2 zuTA!T$Gblc7dM)KUDwE7?8~&&m@X-tcgQ@45=2h_W#u6qeYru4nPq{7$UJZq9lN2J zaCCXUv>TCyE%#Y&Ro84`%N_h?CVXTpL^hQK8_^7wII$~K5Bm?K?FJ`B(LWXr=7&aK zJ2*-EJ9>4u(h<5IBadvkEz-NN#Pt-k^g9tXP~wX?5R8x5ZL$n=OT15>dE=WjII198 zefrT|gMTt)pL_R7p6Dg7OF5}S?o!x3u}D*^so{V!gKth-pyWwdxKdta@hPC~JisYG z^GH#nb)91{m189!b%DO@uY=VKt2(l)GVMacc4^Va?#R}m|J9av+z9Cf*N{Ho7ZUrk z=1{v&)#T7&UlsNw#)5v3s2BM{B__8oPbuxz$N_h=y#XDi)ZxmAzeHO#R>fgXBGtE7 zJJKU+2ogL>-dYCJx?8rpb%&epad46ZC7!hGh!*E9D3^-k%iZqhm$~GbG~XT5;2F zcO60>Up}GnY(j4V?g@e29EvbS!9_t`AJ3;xj_D303{#@*nM2~RmvHwqSXAngaYC;t zfcA8kXfuTLBWOQyxVUpB7&PX-i3^j~=UZp2G|p?v-p~B5&dTb4j&_NLRA^^>@b`n8 zMP2p1_2NjsDJT&bIC&rL8bD$EcXNCjPrKYQhm(N>9<2atCzjUdlu*=m&RRh0FaZ1} zH?C>{GLjApE=Y&R`8P$vf0!N4G72y44q*F#`_VGF`Ih<@*RY+>r0ll`s$D8t?NFhy zK!)hw1uN5W>~z{cm{7i;vzK13Ry7a!C^8ICJTKuEfcg^_6hp29>6mCHu_wZ8?el!MxOgXAB|d z#|pe$CDDzCLg(^J7vr5dSxo$NJvsA%65jTugwAB*^Q?S@S5pPR4r=d33TB@hwfhE$ zTWa+qkvzdv-!^j5R%givjm<->u;au^uu6T^9?^bzS@X*YcN*#kVJPO`xqq6Jx41^g z9n?z9<^68riEL4r^rJfMAzu17ux>aL;2Hw%;-h<{bt0IP@nv~^2Af&I=@?izM{5}s&j7x`V44|@j)yM-0;6UbBl(k5qvWj*9j zhmHjgzHkKiz0F!>7XBiK4w+4+@b;Sm5ggVW{mV>hCgI95K#d#R^Gi+XGjn@oC}p;l zlez{@5whGCp9!TVb@6L7$)|ZpDT+tjXMpMJ>=+Bj`EzZ!fR)x&wdA3E2oB7T;nx0I zcvIl9{i;q{%1RS8ursNutIw%OcK`kH1@WS$ z*D!8lhx)(m@7XrLD3b~_mrQgwP^k|ZvDqE^)^}h893nNYq-RIXEh>1!K8<1Mh|~2S zFLZ&w~cjJ@E57HGrFZBSTf?shEqSPMeSD$mFgwOp_vVodX`V3~kGLc;D< zRJSZKPyo5=1_)=zaNe>9GMlci7trS0Of;e#ewZgUh1kz^7su~ng ze5$L!a4?#?Oi~qxS&k1->%@)CbCg^2^~n6CP<~Fl=uPcCgMY4r(=al|u;dLUPo|Qy z2M+~?w56EC>yfW99fX4;u%twge-2K4jMgHxyK`Ow2zT%p8;x8Oz+&3oh^;qolGx`W zqrvJ8-MVM=`Ft;5m2ULAg;g?d=@(GiC}}{!sX|*t-g(_#3F%i<8v}qqBsP%R;T}^D zrB{_tU`^l$ce6tl``=Xo!<86%t)g`?)!3i;bGuTYR5~T-q*`VVVKXWvEW)0oekICg z)1wHA_O@zr?QnVW+j76J^-;sV_Y3Qsn43G>+^NAAOG%T_pIaeR#Vh1tImBsR`XLkj z&H`s2Ex?LmN7lsL^{@z)+pIXL8hF@&EAU<0fL!p4bZuts@h_n+T)m#wc26a|R=R%XxAf`@iAMkV&pbpv?whwe3)6Q?O*%umE9^Vx(-s4r>F%9Q>iW$XFfJb&sDfo1C-O<4yl0GXHQpb?Duj02NFWl z7OrM!rm76)inhK5hAK`|UB^s|N9XRXCgu|__FVlB)Qa|K%eYb-F|QA z=W6PAIX{n75l<&WkTV6ZTi&G(v$UrP=Uy1buKHZQ^>pHwue8IDq<{G+l z-h5LO*!_RmbM^{Ehior6=+;Y|Hk2u@q!vs1BwC!kr0%dgR;|QioY3}hBo74QWbxZN zC**YC={BR4L}C>md-nD`2V6QV`jl-WKi{+6Ds!ep(dUL!hI9mCYJ@g_ZlxM%8kUo8 zk9s|0J54~_z!BKqz#IxVsj=aA_eQ!KvUi4z9$}JYn2|TXs-C|^ds+nq`tF3YakXvZY&%5 z7h?)^lzW;V2q}#+imZEu4Sla#IdCRZH@BG?>lr?y=wo-)a$7qY9gSe!ncR@WsLnNf zRE(o<`6WwVc7O@D{(Av5jC_fo6XHsUZ|zF83BaTZy@~FnF2q+@|awub}p(ddgug1!oW z0g9jz>M?uBsE$CzJjlfGPLc}~JKw*cAv1%HNj}+q3d5ll3ngU$GeT4+deeR+8h%+< z+Jqq_b?R`fS-$~jAU)Ez^O%GL3L!_DD}6&!&rQ)`0f(`!SGF?;RnQ=e!SJ{+htA9L zBNsXi(E=d@?;5AwO{d+X0IM`pxF_lku?ASk9=c^6J9!3ZA5g)qGgm<=W>6W6%XMwT zCI&r?ej9;2`;B=w1*qTKQ48_qWA6_9wyRpSKoGm8U4EsADGMP5TjY`20G+fI|RurQxLLJWbmy>LjB`GcnXeJ@>lUKbQKH)btiGMf@k|puB zU0ka@$ywJ&(ldl}5h^54%FSdOGgXuX8$$XjAKnT)wMX{C<81zg=OrPx@?9wZ?)^AF zu+7VDqE-WAQ-80-TfZVRqlsVTt5u#a_@k*VD7x>3Eblsy8KO{IUtdhFVy zfbUK*)!lCaaTUz~Fe!rwGb>&L?8a!-74gPswK-$h|< zx+-*Ub%2T{2t%_f-8*8(SRBtFL-i4_Gwx45S&WrPHn9hjU(Qf?`a^&=G)QdXIfg*= z(R+8Z%8{HOHF=~0Kh&(a9cVg3Zbe#i$WdFEBdPBg$>ioZf~)8b_JW7zMucHjgsM09 z%(Q0I_ezBtp9x+S?pUraT0dq6BJ&4zuy)517u4Y@2OGvHqRQZ`zE+sG{`J zMIDJ^i2&-a5|m(#o#ym>(5)-$?{_K%cF+Rb7sAv{c&<&)PwdM5h6HM7`PAR8QGV6X z2+1rSr*opQcyNj-cnb$QA3bh87`qDKj z$BI&n)aNumZK&$)e7xS1yRoi5j%4BO27HYHyvzTvZqy|geh4q7UN;i5B`hm<1gC#l zn0j2_t+mV%d5yI)p)q_sh|v@$=|yXSD&%(*UlGrR3Bp(9d{cf^lk_)KIPY;F+-Ukntk+jY*Albpty1x(eAhv;?AA-I1 zj+(?Wj6-;%WGSq1@nj=obmQFD%B-XKU8){r=*DlB2^s^b?Ms>mlbI zx@HK5Z+36xLq8SiM1cgl=DL_yhMlj70h)p@JHmV^V{kGMS(wsc2tHK(IpjE;eq~Wv z(@dU>kLGFRPJ_knKIz1PKv~8asPeh(roWY_xahmGzZ^1I=_gu~8+Q+p(AJ~j_0O4!+H~#~T6rX(Ft3&3cb)ic; z2Q8-Q<$>?~Nhyn_j&}uO4^?Srl*@PD3nv{xz{xn@XO~`&{^O|E27bbRn-MkJ#^XcU^Z|$_81E z|2~#ueMOYL-es1*KOFE;Ri)-0u!&S^mJoc*0r&5cgI4M}e;;P!!!;G2Xhvl=bnB!y z>h3udu>>(I4H67?rO(r)fVOe|qw&j!{U^Ji3r2Err<@X+QH-xvek1eG5f4KC`?}0% z0K8kA6m72te}ka4NAiwnyxw?3N!Y~DAs_U?1e`Xv(!f%(m zeE9No$QUqfbxJzKM4PiKtgb~W!_;WtnEmE=@qlu`)6bkg%t;GDelb^INs8I{wNrN1 z|MStNXGwu-k&tE`i9ZcU2z>8r^J%!r(tCGPC_AffShUmTd9{=Ya=%0&-V&(BGg@A~ z{HtA-!#`rc$qTCy>6`0cu22)+CHLf*5H|;Qwu;2p$KA|3Ip~~k?|*p~o8R~JiS~Ys zet{M8tV+ubZk~Yjf$5nE4WB;4js3isNpg0$Pmffal*VA%%}V#0gZ}fFGD~P>2WZ=? zx99$jlKPvNACmCh_`{0&5y_k%kGDA~hW!JR-D_lxD;whpO(enhGVis*LkoC09y9}6 zp`SJisb_~X;qcVN=e3t;5}g-)k9~Oz^qMd)k8a2;Y;QPF7Ih+=w{;$fGStdXG+}u~ zO0~_wNH(NX{WC%`XBP=JVQJrSry5*Mi1tc_D7qAK0lVUJ&Wb7#$}Idim{e^-n>A=< zP%@5>%&Q!@?0Lhad1a3b=6y<~)L|F8eAeye9MQ@?r)(`YvOTiO&lST1BisRRYm;Y3 zXXK=E;uEI+omc3T4QDp^FO0<2<@;xo9wwOuJlrn>sx1v?f=_hD8p;7}=9<${cxFfA z`IE4F9C7M8BG>hgO>ILq*aY=eIu3KuWY>DE8@a5Ja<7IoDxmPExJ7>}{-G$6DTUGI!`ogGSfXsx1K9aTJ^V0l@sbHpsgI<)!3=U|syucUf+X4PHV%0 zkZa~23;~FY?Bxlm;7aBE<|0pEVSo&4x**3SCe2?-aEv#D#pM-v;TG9OPWCz+@cnYvqZRI*Ve zQm*3LL#C9n@>r8-j^MGRV;`_f@f;m2 z_^kH*V2Ex40olnjNjY-h0CmBz7$8-5bZkxX?G4sH3EF4Xqt+!ZNsXW*-8=cWw_hRx?5Qo>Pgwdg2XW#nUXYC?Jb!VULov!v^_hEm$1O zf`)m2cz9B0J%*DwCP~Q_<!2f_!n5J_+sR$o(rpj(3*E&6Ei?zc?=EKH2*(%#(*-p>>Rumh(E$riZCa|OlyP?u`e*R{ zO02=-14a)Y2!i?dmy#aPvMV_@gd7$%;-B8Tb>JB6arQa*76M@R|F-~E*@$W+W4udyOEOzj<)Tt|9iN1GC1Nk268nOM z%@^of1ti%C4x83pQ$y2BF;c?;Ty8Qs9ZE6%JX{3&Ir(tf_7Sv6u*lLxD&CgGkQxWp zoSBkd$29<)69S*v8t7omKkKfxvZKR-D@9#@&Tlu2=m0;srJome+)Jk}#Y}mL9R52e z_R$#_kNkZ2TKoGJ#ugpX*zet>br$h&m)Wefnp2+xK-?2LJ@MR| zP5A|wWqp;7>o(9AR)peroJi7PU?VHFq{sh(zQ&f!s%)y7SR1A)~O zILROrGpQ!Z;Ogbm5Tx!+8@I1$ApqJ=N$ocQ;$u{YMmmx|5XY-HipZ`MxRkav5${ER?RR|~Nk|}nXrq2*qEr$u#OBx~nf3&h<%ghAd1IjnuDc5pDVM)K ztCa(}kvuEKW_Tb{R<{Dmo~>jL;+*h_Gg~={eNpDjgz<&%iJh^xpVp28;1;3WQQ7!8 ziip3X)J|^ba;@E5be2wk^mhGffp;Iq;Bb%vq`l6=#MOr^-I;;QnU1T>`1>Vm0qRRnG^;d!3RYk6JfguUV zlBGcUWMiaVGWAjX?zhXCbWeN`MT%wCCZpP~muAkJaw2vjzmXW3lN!RviJT|6dpyj6H)#C7;T6&YRi4(WP(Vj6$dCvGaRox-HzK|) zbkdHwzjSgFNiy-FA-LWu-~4A(gV}ovDJb*!R0vpU{|Bng8me{BEKtX+VenM%;je)}z zy_^&uu9B1I;d7!w&`Kf_qBox*x7pi88CRcW*1$>rveAM+8Wp$G;zq4HS?3AAmt?F6ySh&{GI$f9VkS@nO42`n+q0tCKCNZG6^Bq{D-%mNI@KT zuRJpTmYp(H{pY<0iheyG5mdvqY$Vq1v_A&Nwc|US`LCHRtt2klJ~z=+W@V)@7-6j8 z8>V*O?=-NNIxZzP-#vWoAP>=Bz8jtn3N$~jFtZCq$Wk*)TbsAh+~xS3-Z}#L1=l8Z zi`>+knH`9Q-6&UndZXC(Nu=R~T2yPv8ELe~zIh~Zcr>n@@X@_6a+l#TT6^vk_)Q|) z&cAEG1*Ew-<&pE*WS|PZwe_n|SuFFR-Kf&lA|2wtyT33_ha_4%iQr5AZT1gB}vK1#!kD`jTKf3h$4- zMQhTMk_go1i-Jv$X*%XB9U=&Sn=8y)w10PBDwP76hr&KGO^x20YOS5C8d>F*`D9*! zv43Kh3=VcvA*!9r$#E@H+J6=QJQcq3f>~dFf1C1hp<0Xy*V1*nr|$YD8T%p*cOX{C2%x zyk3TZe!N}u3$#-62*phFDZR$`>xBP*pi}eg8#PH6pCtIWR=8shEggU6Ix zoGytKAaxP#QUsi22@~&iHmGr|_FG8Y`(G>b7mXHbL`@7`5{Y%nV^2l{Fh~%Nr%UW< zBnPw$3edf+|BmLo9;=H=i%L#sctGUD&uD>IocZs_`W7{##+nB+L^YG^s4Tx|cx1^+ zQ!yA1lTK&St`nMlu!sHJ)Q`kab>=EMSw%FOOssHt$9ep0zDU>RqR?QmU_0143I-viw1>nPvdamnhQh_?7r;4PArpYwn@BWN##qAEmc;|FaJ` z*3i<(&$DX?rUoiL6cqX#l|0&fa%IfA4W2|K4%u_Vm|PyINj}6V0LBAqIy4S@ev%g6 zayMG&#oy&kSN#c{0Q1Rc-oJ{k3}M^bTcyo)Tqh*!16yAKE>C~0R7u<@`Ac`vMR-N; z?3b;&{4oP|J3%)>_I(vH3=Tlt%FTH)2R+8FS~L5?IHiOk^c$XMq3`OLy!UTV!00~? zlKXyMv{)rM)|E&V)JxYbszLGci-|N8_VE6bZuY?ipPJ4B9ClwcUl?FyGd47)_Uw3Q zf<|c|rY?Kx@*C%aRyDZyks!xk`2f?kgt3XD?fv}r{`PWkH6JUpw6Sr)=1ny2$elme z2-^j9$Dx8jkzn;rK)g|HFaHEZV^e~2l+_ZFG0U8qdqH!#GzdX&{gG0ho3v^~E#*7@ zU?hI6j=Z6c?1#vm`^6C#(!xZ44taWwZro=i{M%VbI?LW{z+qtZlvDLD6l5@N zcdyE0c(Z5Zsgaolm}=Nz((-zH>NEK-s>o*<;1qt{dwggfG=)Xz;thXbK|e=_GS){* z?S>i}Pdsk*)Qv-c#TY!cYMU?;)pWtQ`fQ)Ki@z$KX-*aO%r^ekXy3dq|p;cfmj+ zfaf&9(#EPT^8OH^hEfISntZQaS#aKa+&vX%&9i9iNo{$MAS+!2^^jPI*_nTfYU648HNRY-axWd8$g&re)==-=*n^6=8A&?xaUAfwFE^P zs8j{=qB?!sag7U=Y9&D|z@%b0d{xmnwY4W2%)gc6r&)or_B>?Tr{!66?kLyaFR3li z{)?jbCq;Ka94>KOY_L>FzMC0W@=9`i!bSfBrH_B0su_dq-4^*drXJ1@u)&C>a?SIn z{PS3zazp1j;j$8L>V)mRph8x!2V8bT9VAytOol>C{4{~Lh_TS80>z^7r}lh2ZAWME z23+Ne265Z^Gyt3wXRA#T{8ya0;|{kJ{VLqqV_A zQag!J3s(9>=Ax3Y`AP$!OCc)>%kheGx8v~lV1>y8sM}TF_!umMT-y4pq#Ep2@{As_ zKN_ECsF!U{h>md6roLQORD0eEI-^D*SWJwCiIlh-ZQxJ{($JNMu{}F*#u?DQ&$Vw% z=S4N<>5n1zz|?e^XWW>fINfdC|3JxBW)%Sk_DCF2_MSkb_!#%M#zpUMH(pBOY}@aT z>9?EsvhyPzmDGw{d47hQ0UX_5fK++9j2E4#!!vs)k5_f_mo`B!BRDc5Lv@odX06@j zu+;f;e4Kz$sYds9-lw;!U_I82jG!^cQQ!ozGoAzSo;ZAu>z4$}A;_w1Eh>qRwGBp9 zN(=!p?^v56dKCFl=BLO-3%L?SPuV*BXm(jXX7Xs)DZ8eS%Y@A4z<7O3O_|`8CyN|V zDbsR=A!2lp$cs0e*8vviV*Y&)Z<|MbxzKC*3c&Sc=Ll5!#T2+(IdRG1n^YcQC4POB zFotQlLNf#|TP=LX}qrZ?RqTe_N!s)U{VmCW}S173OgT!Sow zz|q+I8BcOi*1XE2@p&_aIADkKWgV^EzhrNEi1#umkrItsx)%59hnP{h&Ykh@Ux;tb zP@8YhaFt+aK%aBroD`&alW34yZUjGN8Dz{N?X~&zbv<+LQ_i78pTCRZ2D%t~0{Tz$u zBaX+9rdwqPZB<%4Xt;dsLEn6-P4B>egQM{Sr#)stFq zbyTY4TY|+^-c>#OE4(_8p%^Ljf>7Z7ZC-IfYReT zdg{9tV(M;bk3GlVHP`Q%A(W9frr9HT49>oYYoGsqQ{?%?)-gbXmh5h~znF=XOE)>q z+lA_0F_Uwf3TOTvO!<)OdZRR5t-800S%+MS4^o%>V5Un=n<>Js$aZ`c@8b1i3fD@fxMPvI~ z@SUigzrJnb)bvR)PC@R&M@YXo^CcWS>U9~?ZA<3Y1GVH{O2r6^3G4g^inYHxB}s~D z1BC_8q-_n?jI>+vOvl3ORGYnX13^I4^It+n$5L?#VA%k~s3YVfJf1_r)UWzI4%#F!wL-iUQGO#&UNH@ufJ9fh?ma{@G% ztN-KZ+~b+<-#alm2gPviZQ)D$s8AdkK!d=B)OW-fyC@zq|gcyv!uc zRk#o^zTWDk4xD%pXI1n$AbUzBd%NuvLS`xwzJ z;pPjQdlVtNHk_Y3e88nARC?^mcV42L^BOUiZ)?c@)_&RrH#+HrHsxf1XlEX>$$kd* zxe9`xFYcKZTy5h2{F%JN1{C>56!=cHvvmR>0<+m1Of5ZA^To<>!)bR+#843k{l{6y ze83_!rF#(+j~5NzPx<`Rpyx3e-D zfyRDAOzUC|@6;agEH6xgAec}bckKR?6OU*J7S!S8YyL+nS*(fgDlH`s!4JHsz`*H12+mc&LV;dXfdh4dJ7>+jBsoqs7o>~#P@cosuREy;C|ZD6&0ey zE-Nd+RO@?tf557vwhYtNG``+>^b$;iTs|Vdu!l zH`ifOu}N0qfk(b~Ro|plTxxS>x@YLyKYR-d+0k zDv*xT7jIhrW&#_+%SieAt#aP|LnAUgc3V4rz`_{8^ z=Dr{Q47dBri+C=70{;JoxrI6&Il?F^K#&vcJ1xM0tCU7nVjG6MJ|_sO)Oz@nUC@?} zqS~KyQ9Y1m*7@W|zA74a27G-u`idR9P*LxhgKkg8r1_S`A%tx2qB>D@%tsJftMK~5 z?9~IMLvDhuwxygbq@w)Ly{BPzh7q+#f45PddK1;1)|78}{#p77^-gF|$X!)y)-Oph zg`tsn2~yVk5A1oY)g|9E8w~5P$U{8wJMTFr&Zs(eJzwbJ`vJ==oi*S44EDOK{h0P}W&cXGa-88-LL6VGwdj=^;*+FW>_ zPG1F`6>}JW?+c4mX`wj;mOc{e^eM>%oJ5@?Cr=-27^2g%==)dK!ARY6&gN^1r%`+B z!S4a5YP+PDk;jCvt+daH@w-y+zPlxa4{0Ed)R%{@4KkK;VK!`*@o1scO~eUnI)G@R zq|9OZa`tC3>=8@(PS%hj1XBIC`8!~D%zem_z4eh}@~_N~a=$EDl?CdJT+9T(8yL8R zq4Kf#<{th12k&fs2x}3FfF|a*E#0w^>Dw!DQMTq&1BUzCw(5N#dl@Pp=5nJ&3vr6k zs*@%N_q1#*y=rQ~@3^uz4s_A}7a1ogua;-Z>pja|R9vY9)+A2W&+; z`>ppaBRC^p@;}O;5z9cAipvihGF$i;cVD)b7WQ^b$-(Lr;Ia-*bbiJ29N3ZHfE60~ zIS-hg1I2kFy06!^07gWE-1w}ukTjV)aHCOQQ8|zo`AC0Mt#nh~lFrMP8<&J*gU+0A z1u=PuyA>IOM_T^Oy^4`ou@l+a9`uLYc3$ERej$t{$z3KNX%RJ3*lFcx5h5~EBAZwN zK>SCJ5cIf%9|iaSu4CSB4!64p;FSvs*BNn%&1aY&I|UIM<*M>j^5xgv5j|^Oc>o+n zX>-M~){aLJfJZ#lbQcRlc-g_H;YIOIW^%a^@_#CC7a7rj$iG`8ENv&F_zfYgpiQ9b zWs((s|8o6raHE4-uNofCPLPu^e)~U=jqLZU$veWl+dD6YCyu*`Xg&U_=PwKV;X3Q3 zr{N}kqHzvSFvQ7Emg7#;aCli7FqokM;K}Ff*0(Pk7;*OsD|;@3#EcYh4ioCu0lmvutGHb? z#V9@fdr6!~g@xJ+^#>beXY}<+7(w)qvV*{W-P^{w)m`LK&@0X4kU0w-ungx*GEWOF zm-fyh9}_8BeB*Y65X0BxLu@6fH0$4d4813cKR#N*1Z)!STKhTe%MKwy8+sSO*S|(x zlMX)UD5Sg-n)Ek`+JDrAJ>+NYoeVcUkFVp~0?wx0bRqOx-S8ZJ!4{dn%xhe&mM+pz0P4{mK zWRVzKp-IO#R?~teo}-7(*LsYtQomI8A8q*)4nErAa#S5?mm^<_f z?KXv0^Bh7k@cT%7E`<#%Rk|=L7leAw0v~GH+p2c{U z!#e%OS;yBG-ees$jqca^qn5Ie5o^2j_WBKrhLPXuKQ1dOc@R+ERQ__(#K!?kxQX+U zN4Une{S#af?Cgf(|Av+#52>Q1(6or?qIg$&k6=%X7=DYpRj@oUX(@zD|7@Yvkozj- zYWyIun>*{)mJ}D-mWD-QqX!DBtxsGNYVeJM9`ayPUL)_|lermZE;vW^rJ9ZBSSM7f z5`T>Xu1n;wnJwV0SiO`t+jfsnf_5Y5by4{(C*3G^Q!tI#7_u|{Y6NrQG4^BC>(kaS z)yxeSf?wuF7)QB*_Rg&U!WS^@0jM-HOd+JdWA&lQ*I&YAWe|T|&_VPb%v63;)1-s- z`yDzLf8}8k&vu$QleZYeDMeH;t{hBQg=yO1@Gpn``F7b16jJzcBGWH=7Vr-Y#6xSzUfk$ zq^YMqn+Eb-a%s_`e=>=gH*9bzuwfq(0j|D>>o5(iuYu|QIQNWk_le}+c$ZSN*j*cBW01DMMHK(#hf_vuc3lrN2es;mCv@9SnC@2ix{zc5Psz_0v3X{Xyi?4dsF zQW}r!0N$@{Pyt7+*8 zSL&cNdxT2*?(<{jLG*NMKPAwzacGIJEOXOR<`+nS8TmPI!QcCpS*x7tE{CE)On;St zXQkv>-SW5wycsT6uk+{XPJ+Jw&i9z(aY<&xpk*%m9`>!r{qhqg2hp}XEDk0hN(7EdbOX>xXEV&C;ton zq$LKt9;LZQl!(7#MRc-Gk$I^+Z7MQ9;D5uvxlK0=o%ahh+af3PLDMiUQNM;JRR?1stNN7eA7ox#WC|5h{lG0v5~K9#8J|O^7QWF4!mnSZE{M$8Udl zY{1G9g}w=Z530z}QYJvFAE?1%1=mn8VKKOh%nHzI4Ds^XVXa2$%R+P#^fw9oF_+hh z)eZlvGwuYCQJEy2iwfU)AlR^7CZ-#{e=vrs)J4HE>9VUxHLq)eU^VNHyS(jwzbMIF ze#HBWc;G%U@RocG^Us&Yr>=Lq+%h%Wa!d@fWqa^EizaS)H~yrj-sW5`XjHDsg#0!$ z+U{3RrGqe;lzZ91!OwxReT>`pY5JpHOPbZEbGXUT_YS6=sw9N`N{?+fV=ahX6u&`< z4L}%eX2#Qy!Qqv{zTmck51i&*sZp$6f*JJAN`CT79SqSI4z4sBmSU5z(F^&~2k|~M zn%!%7^c}ssa4+I zEl4x1T)6wP7{GMRZfrX)e54;;vLiJN=7ptau@}ZN#be*OGlc2}E~hP!qNs!9W2w^qz6A zS0NPBJ&K^G0tE!`f`8o06 z)#&aa+7~FVohc*ww|eznGJwC&Kh%;E6V53rk>T_6;%Sy^uvvr@9?k@syk8^zcH3Rs zv_=QuA05~y6wy>#knl1Vr{A3s!JT-}hSa^VJCkGNKj=I`u=X7R8?lEJ-b+k|@nv^z z41$N#pJ=?mv|%7dx1NreiG&-9;>xKkLu7-AdqvrGU4y>t9r^8* z2f0XiWq1vCn>F#OAu#8C+nb1k6;xVVe~) zaNmx55(J%;a_@2+a6hfk+6ngrt@Pk8KOD%JQJ%?=b!<8N4R>|7_LjP$4i)q-i~`VX zA`7N`tY8}oIOm8ThZoNe0u*V?$)W%m-#`$KR*NWW?=%$la`_&!5U)fOVXXBqe(Wq{ zJ#W2BivW6!3D&ov^>KZ<`fW3)W7$02mW0>)AmSv(QLUmbs%Nx`|r*F*g5ludy>NC12t-N zu|tU{B?EiMwf6rMnXJ(mh6k2hUI(Eo}=4LJ_N26NSbF?@|P+msBdNR;BpA zK}Peaatko(LEkIKH#JaPqvG-gg0`+Lew1{R3<;4mWzdv!0eeuTK7Y^}48*Qg*vX2% zK4dpE8B%|)X%zxd0oQUIP1$gSipa{fwjS43*TM1oQ={{F)+S1iDaS zH`dvjz=vjNEq~Vibjnq-SLVd;{Hu<|p;P-<%XzUd-3` zb}r@jY6cyi8^NA$Qj<}W#r&)eatjkh7{&Nxy^C1A7+4@*#mDpX@GkspMT(4H!S#Vea|UUzq4XVVPKJWly9wCuLK-`X-1J;!-qIlC_M3C}xD~qEkU$kU zlZg3x@>Oh#%8{%SPX)@g?lXm&p>tW#KkIQp>L1@{g}pv03>BM$uYJkv({SZm-&JU= zLKPzqgmA&$8byy7?TQw5vJe?3ynp%GxobOy8<|)&pVck7yHKEG9Od4OklOFO^;dyq zypzQASK<&|lXj6OHBe+xsq9mLl|Z}q!!84`>URw(3VX5f#B729i2Y;3?#^jd?*yI4 z3sX=LF&1e{uaK~G{2 z)7xoWP{ldL(z3rELvKkPkfrxWmFFmD!s)DK&GRE;d5zxte7tf9$dc_XK3u}Now9=Y zqN7KQgm)LR!enluWkfz&!Ou`KTGkInLTaL{JT_q9){ zwn(e^*E$-XpWz!0-#0|5hJuuhz@$de%{{Y>Gbx)KRZi-Y3|nQsO0Y`glH1fpAJ2RN zei@KK1k6aRTF5$672Kmo_8l%BjT{7Cm!}J1k^Hoe+JHZo`S{#huP%H6gJKu;t=*+^ z@k=-Mm8G5NyvT%X|G5-=hIZr;%H+~j6v}3?y7vR|XXuByxA$<)%J7xWE^)i)fhD)@ z=L(6FVY4~=51dSsPx1^y4!r8t_+zUJ|_k#(AHc z*3i1NeO+=Lw&49b6jCcwUevR9i63SXsq`nnwzPt#O0Kas5=Hd`_9Hhk+0?L>&MMDM zW8eRz(s^7oWT3uf>UaAmS;&~7fkB|#9OBkDt%FQt*rLf#6r(s8FGF8wT;n$_C&Mv% zo}kEx>Q;2W$)9lHyftzJ-{uDDJ^j@`_fag735A4`k1vzfRgVB5nM&I*M^&$l9#eS) zGVoK|fRpIqn^Ds-LPzRPbAa^7t+}cV8G#JvGS@F4yg-LXe@#44Tfq&t@bjp`)TAU0 z6!a#}dKqtTgUS;}WMpo2d-||60SLGau@hmLZwWv|2_1TW4A*(DU`mL$ZiTX6E3{`--17HE;7vpbnFFiQ(2D0l zaL9ynI5#Wze;lH{8d_EFd#ZQMs~#P|q&(7;n%qs3v_z>qdtV|fmE+qfBf}()5MKh; z#iLw0@02Wk8R8ir3nk&>(+9@eBP$u!c?{Y-2wD&2aSD?=Y|!`htJK(9rKB{;fntyA z)|RFZnCg*Ph&5Mltqb5jncllGY~aRV`4Ytvi>zqT19IZ~pOFlZaYtp6L@%E(Ha7Tk z#JO>H438?29lPzT2eFy{XW32Z40z4Olh<#^E)Cuy(=QRHRK&=& zkTb2dbufnaAuA;o(w!2ZnNa?21abCXTgzmxHIRYx*NP3Bl8hO1KH8_&{Y3w2)pg*0 zYLMGO5}*$tA2j39zORq^T#FXcjd4xs|0Se1ks)@^?UH=bQ7UvtaXf!$@ubc-k@}>- zHdX$ClX(e56ry)MW+W@-Xzs}|nZBIf6{7FT#TH-XRwJLXA8rRyo#uL#3`>2|+lAae zu7wVU|JrOuA|;RVwIJv!6EYsm(C2HbKi92I@8LIFaSbj!ST5v6$-rS1v*S+!g!J0d%;+2Wn_8h_sOfLK zhs7@+g>zaeeVk;HuK0VJI+D|u&WAHD1zNPeLV+`B#=l1*)-L!+HHjJR?mVCH(oSam zjAuz1z&5ZtJ?eM32OT5VtwYv(m`vmosf|78)%sRCm%y`*F_vg90JZ8+8;hcUm znQs04rbRn|xWP>oMnTEjl-#oB&7)3uaVw*V#FzlQPgoxsjUVkx??J7QaH;Pfc35_+ zuHXGm75=V$Y*NT!KnXVAd*baaDtA2JZS|9R9+XJr7-#j|{w9{Z5x;Udckf_%7C!37 z!f?oYr9JwbdDS~T5N7cE2IR&6a$Nd&D5g;6ai=bHFI#NjY!IrdK1@!)3#1(X8R1g= z>!pFgN9scMXe0kd;pg1_D3iyU^27W_+I+&8_$996NlFQD#xl-LJd~MELCJ>rLKjMT za4kv@Ukc3SIXyIX7g`p!~4?Sz7{tW9BYde<|j}VtQ9B-b~h8 z7*zDcy}Pe=6F5?L0jJpRoX{)=fW{C^{Frj*|()^ z4B&zeab11LWUq?piwb72;j|a!*Js{5yL7AlVgNqa46IvqFGiu@vpUm1kOqN`hF3Pe zJ|W65lT~hoA^%it!-cN;{u#>e2s2TBSyF#9qs`AXn`^Fm{o>%sE)@8FFY7QC< z)5kBPmC}jvTxZcSFj;X!(ZW+JZ?U@DgQquyl2CH1mxrWtU)IQ{R4YUz2$b2cmq|L8 z;@>|BL#Tr9bGFLHnt9l->c6&l@g!&q?v^Nt>jH#1GuD~8ngl>^Il_h4m?GZqP+93K zw(N{92xR3;J0j>w`jYZfv?wTYDU*i31-yIq;C!3K7y*u~id;i{K1$$<#WqN6wg+u% z_+^5R3ZEr53k%Yqe_}@7L-nsmRH{@O=KdOOJA6JBhJE|b7TH<%Y-Gi~Zc7Y3g!#j~XDniIF;82fOD{}?lqZkI4~x1hPXt1F zz8ddh@u!R3MV!-6%?_up2?*`qQ#A1gs@ z-}scx>#g=zBUtp0IbNUvjn;AnDFaah=@a&DfNKsvwdQ?WbA&fZXXgwxlFS5Y8z|oU zAIK%ZVod0hVh}l;3M0nan)-HnCp*$j?*AK*Kg9FD@u>f>sZVZKuH`4vH9lFNLIN+$ zmH+0gzR+@5DH0hBCID7V*6(2!C-!daRD@ctBAiBX>yNMf2s4=lQv&JIaUpC9zZiJb zrvVc=+V9Xr&vR%6#^HAj>>5v{Td3blKc+?9*+}_#J6oR%9aD(_wh!Swz4KB`QYm}tV1X7D8&Yd1~|YgW#tn^!!or=7c7 z-x?O8ktPI4JD{BDN~0LUbU7%Jb+UTB^-W$$PHC0X(j-qm7Ozw?8{X8H1yr3X^7$9W8bx(tuK;MD(Hgx!g~iw1Kd3jVDx^& zwj}Q76?8=QhXW&NXQCh0Y{@A}Sn6gUF#*@fb$t*T7TGo#tgKvz#&yaEsy-xAib+;y zb^nuJD^}58rmn%mTD;If%~K5h`CBKRVg`)xmp>XzHfjdgLc1YGeoU9n)6A(J9%S2} zprVLIh{AzJDDdXjt@v%>SamyVA5ppVk@E3&wP{-64kOp=w%1fI*!Zgr{=QO}QiAnL zO3SLnKT-WWOV8YbM2J!?chw95UI9R^U3LrlK#pAiM_57})CG7*$BcL=yLNlh2aidV z61@NN9d9ybr}QQSu}>NXZ4lA8FYQO)4kP71mgyCIvU4YfcUv}`y5;i4J(!gqKhg{x zH>z1|^LW)D3}yTI0>`@0?S9OJVrgjX8RfDE5C=h{$Wn*4V@k&WaRNEz_0-V=>_Ggt zD@?DJ$Cb(_MM2Hb{wyI))7mY*u|+a36X8&A7wr4q10VmbxqJp>+=_-pa1MKZ`=BaeE% z@cck(QYUu`l68}JI_aI?fQuuBC@|x6!+P|yV36CJei}b2#o5Frv_@wAQ}Kk4UF3C^ z{4&gWo2SKpWcZOK7XZzTbJMJ?!J0JLjm{tC=v8eqS!!>sgdycW3!;17MK9sZMP$$s zSrruwr$*bDJQDWJ?tQ3+K<^5DDJ6=P_&R$4P)Lq^dH#_r)r-r_fEe8=?YxOFrc%gz zRjzIl!3S%Hx~-QVZYJx*Z;eXQdKl349xKF;TIG`d9SdmGUEX7|Mmt<5;m|%P6u0}* zt-k1_;FZovftmF2XHc>>k{|JM7~=&`b4Bc%B31*RHZ>d)jvz6C5zf$5{r{gG<)LMB zA@m;6^<8b%z21RKIM1U^t&8=OGaaTIZDk@>8UX2npI>Jg&pBKA!Fu?$A3S0d0?ov9DJJ{ay(zbOz>WmM-H2ACIAZVS)ka^4~18a2*|g?kUx$E^^V5~SQp1HWfJ0D$C0iyO7j zkU;bL8#h&UA4!D*a<&_Jf(N~Hv9HJ{LB~Pn_tBap9mmv;Nw#ZBQv*_-rfP5L#2-Enp}eyIiha+t1dEfr`x&}9p_V`fWI?f7aY~L zervED3l^u5*AZoU;s)Y+Y4)283J@YWyC!KVf(r)Qxx`QkMN~YL<3+#Y+ zclO>og@V;YBe;Vlew#T^oKtDwkpr{awPIl&A1kF1A*>FiWOHPzR=>C4NnNhc=gh`r z9+8P^ctSTC^!JCIrm^E!$1-ia8jqc6IkU!WH7I}hy?1j>%n#!%o@`k*X%+YRxDX8p z?Xh?b&_EG=#j(jkAxTtpq*t2#I^WQ@>Yv&61e9SiuC28)yMbV~HxTsM_=+>{{)vaQ zz~km-W@=HF8x3U;ffJI5Kl?2c{WOClOTE`Mn@yh- zii}VfGWMaWX^}YP9VdrpUx`Mn0W~D~cB?f|9K9siZ9k?$*>|LRKs2Cb$}nT`wp->; z?wpqi-$!E@3K(mv*+ad(9C=&Vq;ERyPtfegUZ;WNS<5K6x% zRbYTE25EL>X6~*GCvhbY>ZEGftLI)c_wB``Vo_xxFB!o7l>BDO=IMd+rv1)* ziSYz$j)|lF;g;+-AibsDp>OPn3MozskQGXKg&2za}cg7U#Drjq-o4#wbjl{=^Sa zJuajZ`r>J71#I)htHwdk)yI*-X~#BYS!dE8mxz2q{iw`zmoAp7f`oDw0Hw72QfHi# z0Kx%_%djsh50y^32Sod0_7x*_KGM?`qwo@Vr)Mh-|9zHA$<@&)gfc1WllugXVi+2} z^m8}@!oce5JuXjuy7F@*w<>f#^0eJTSOPiRuO5@CEqOVefO+=88SUX)9;Wfe!}Xve zPN!-;%Ti#IolRxu-1#!1zqMOMD?HAnT=SAcLu3*CIBP1DH+qfcR(0pNL^LQvhVu?$ zGEfQ^w@3#h`94u#X~8Wv(cLMPFQh_tK?#SFG%_V@_L%Xzg!J3r~q{t^mm(;)KS?x0nl{@&`l2at`IP$B-_xxEM_1x|-Duj{nHDF$vr zw&-@P+1KX>TZjOibfIjc^yX(47KPJhp!rw9^yc$;1q*=ZAgg5XN&h>dV!*b9vjCwm zA}X_PS7=#gePrg)Hvpz@$=CWkcfJHuFpQF=2~3M_Bh+NCoBy8rMO}EJoF$x2{9}LC zXaXt0RVJd5b_@b0b*1D5bcde0 z2jqf-&dr-(1Pmdsanh;N@=q6nRS4hkJmdDZytw}8$hNi-T3ctgbcRr}*2|oGb39Me zP$WIW#ab|}v^qd%$VN%`I9*E&sq1i{S<-(B}!ha7cYg2pY8q@;7n}i$6+Z1><_6rgy`a;`nAv@qgp(@#mvLvKQ5t{9@EB5v3{Q!>#Y6sMv3V;_4qUbzC=5`&#(nP8UcS59Ba&@a62cjCL?uXbEY?i59l zxC!K*QR<3~-Js4?hCo6?&ingDet(#;hb~p#_w5V&3l(il7(4U1xZYdhd9Tq;JPcvt zmT8D??SvJbJ$9uffAwJ0q~*VVnY}rnGF^Q^gLw0S=kFsoOpcDf+?hVfsBVs@_tF;w z-mk&?r8p$bU=KQm$xMja{gx{&L;^4z_sXkpebpS59DFnfA;@;rlm7w+If*hx`iLdU z?(N|G8x}w!xmk19`V=hXC~>bROZ>2<4lFVSz=5yzRxUOdVPX9;958Tq^z3~!6~Rid zbqZa!a7&Kl*%oz$@03Y?v@*B9Q6%lUj-*MNO9PPyV*mPEpe`sXcHtCiAD5Y5UU*PA zrJ5-BM2A^2#0F}43r6SdKk6a?aoNMB`o)k7y>|hFarr~80o!SZ?@~FNm5t-YEniPx zxbvO~#nMVI=~}DKKl*K}R;Am_@1YKW%sQ7X13$E2XFHWBxhBJz@6LArzjLCW79py$ zUo1=`+zF`jATr>(S`m~x0Mg2;RaI5{n0@y?&l@svN71Hso+*l81IsJbAM0*Dg*R0b z2Yg9Ev9tUrCp^=C=~`{1S(rqct19PIoQE%OiOxFRl`l2-mzd48zP0NC$vbHWIKf~$ z$5k(c!8V}2jZp<+R-Xc|*nTM{ApgFW6`=JO#*={h^6B1H$;7di!(^ZU*{ytk!8eC* z&B!l(gcOvy0I4Z?`lHC_n2J`~J&hPkK@g?I2zRCZ;qt*kB8^s;)=^JVf8lXDqKfZc z$4a`V)JVsGCA+@KuNT4k*%6Dgc`5IT_R{%%mHxZ(%eTpgHIDIUY!qvMXb*jC9wysk z$NHKg&#L!%`8dr|ip?RQq}4Lt3L}OQ$0e%DwhayipxSh? zSW^&j=UeszDAWyid5rgnPs5s6^BauvsN$-xr#133oyz2NBG7smDJUsD+ z*R+p^%o&k>{tx?vU@z#-{(V!kxq2eh7j~AM(R9D;0wKPE%&KD#^6-gF8vhoelpdV5 z-ao0_1-lf>42ojtGeU*!L|rHLT?u$wF(G~5FvD-TRE>fU>qc9ep-K1o!ssqb1akt$ zP9&#ydCF+qb^Mc{DU*^5{XP5QA5fgTQU#nziVeO1Fvo|um8`GLfdV|Tz*NrZe&_zj z25`?gAE0aq!h<8~L)hsvbujK|@xmd~Fe?YV__?8Dk>0DPO7w+DfP9X@{dM`0^-LQK z;gLAV8n1eSQI_cR9!)bd#1Fr|->b?&7*Bn+tT!DxXdvYFluq%-#hbknV z{YH6M`Y}070JO&(i&vjMrkq8Hjph!$ROmnjzC!QQS>KCjg`MEr6D#ied2&db85?mb znG_j0P*<**_Aa`2Aa3`+RL#Ni7Y9?QRqfpqxy*yI^rfjREC7AsVgs%8Z5=UOWi2gOh{^yY2 z^D_str^&bY3`H~m!2XK7f$n88clnNQX0;VmdSuJ!+_pq?BeSBD(t*GKuH$|(Y3InT zu?r6Rz9O0Ap=Qk%P%O{V`Q|~_BdQx<`4-mxk20d~sbY)_f;Nu|I9N5zB}aG(b2Bgb z!I|VlO5=PPX>|4c5C=|$)b@=!n-gA|>-i;4fFgQdZd89Ms0hco9cjBWgDh2{un_{B8NqZ- zrAIzqJPg&m11k&sGoq-(cXOC^~x)1vl%tJfGv0z(h^Dw-SRK0Vi%Nlg%(w*rGMUz;N7^q2^B z|9bh_`emuB+<*0*9wNmf@?7VxyR~FB==|fr;rBkg7k|@0EPzHdh}-hcPIa&X)TqG3 zh+FyUGs#Q^Y3OWvd(I=nkTg{*x_1Ssg!stuA*(5YQ}Oqd2WDBS3dP9EXaVrKqZ%Kq zFW(cB`I~j@f1r4;G)zjz(F!fEnTd#FN^8CS_cXjsFDm9)0vg~oi?E5~2N9oJGt4v* zn}}kPc8Xh7!dSbtfH5W?iW8pB*%RCFCPAeCRYC#B~l!dM?L%2C+;xBuF!m!>`Zha?!6 zoc4i>v5l{rg&@6cf50JQ=fxWp3n0q#=pqF3%%y(Jcml#i->h?~J8C6V?7Rh;R}?oQ ze=fXCmz}}bj8AzA$OI)C;sM_k{BW_jOoo7``(VuFnN9hfV=+g_m3)~?@k=(M{AN8S zCe?0{L-$`FbPt4z&grW$WO)G7&xFq=h?)p(J{{euIXudaPw$T^Q{T7HMnIqSmvQgc zAS3TqZxBouh|G8*mtzz&cBk&lX#+M^fEFflGMbrShwGL{`2!IiZ#TJ}KpPGJC!KmI zS*A9GLYN?p4VZUDNW(y^YV9=3JwUMdPVr-r)+tX`S3T8;(9sGeWwGyW*?Vj{M`U*g zA5QS7G)f%YL-ykxsv95P)Jj&ogZp{BWIrwcAiyI7uJqsQ4@_D$jZCn2K*nW%>#)BN zU>G55X85GCb7fsUQahxcotfhq==tk`dqTaJU8JOaP=v0FY466*5!;iei!sSU?qTb? z(QB7H(L7%Sm@M*eW=qb&QsMS}4YaZ2;GJ@b76ULWJu#sLa5Z~d9=B@g35IURPdtlL zFU1TseYX=}{#dbFpNo-rCI5h65#BpsA9TXplG6#Wt?l0@BL};$$Xmb_3gFyf{{_`U zH*%XVJ=@NAMHL-<*&S~n%{d6RZ+_e=RxdOC@$a}B_oF(_AR!VdFCrDQw1L4|X1=dR z!HoMS(3~}*+v!P1;hx;Qw3kQ0j48wFQ1s64z-}q#=q~~1tRjy0|`+bl8R_tGC?5**TxQ^wBgb zsgUzeR_6R*6)=Mu-uqsiNnpd}K){aPx!I3Zv@&4x9UwCs251;FWq2==n@}uL`{=_@ zi*`oc5FYz7bX3V&Flqdd1H7|SWkccXV~u1DckDWh;qx>j#KKy}AARgAvhx1n?d-$k zij95e%*ZQ}L(hP8;F*mVo{y*j(Yp_!qc|!j+b>RYN+vF!btkZDuyBQ}iHC?^ohf9= zbBV*#zW%e3cJ{>d9*QbRtpq! z4uF}BdtMYuZRtI*95s1Vq6NGMV=D_`H*c{(|NfKkEDF>zONj@#SRX3>ymzs5yuMB zX>Vhf<{*b&!51|t4v*=Txc`j}5?ic9ixQ8dkK&xp=Y^;%wDbgbXROAStUh1$)K-^K zJ@UG85-srJ$x-@C8D5><-u0+&b(JS`9#EPiHIR9_{D89+`V&Z;|evU1Hza>%-$F%6PJ;q`09G!TEo}5TU$iI-LbnpOIA`@I;1LXfe z$Lok64R9k+O5=9uR0;l5y*$-BaVrN|-+k?I`+EpP?vY_VcRXwU)2(qLo`A_VaUL&9 z(*c3AAwNlgWPIUuy*lxlj6$@kZ?$c@%4rEpT|qYcxJ_4ZC6HnF0>WMo@#Y=li6odF z)ZUS0s^ar+=Uk!U9{|>ID8eWnZN(C7mJv>gu2*z9i%oxXyezG0)9?55=(M;O6{t3?B**l{#myo!vwitWP^^w}HRdF1;<1SI4)ixY#KU)`3=jzz?a-F zHeRZAoAG>_;$p|(8T5(^KXp%cyZ3MgPqoC;D+g~#ow%;CFG+4@6KK>AYs293KV@nq zCy;y=jm@`d@;nfP^gqpT5u%^pzhTAFih?0ePBeRg)5)O&^Nz2*BH(M&O&xzOjo*(J zc>F9_(r&m|vCHOUmu|z6e)GTC{Ts4D0sn7yRp60UvNkP>8O^WHV3UfMdNjM748J70 z^Fe(#k!{V2|Y^5@cc8 zx>s6_FSAFtqoXSF<}I-UIb8NAiUJZLGo7~ZM0}j|T(wK;1;dO-hjsWAvHZB5N_2aZ zYzmoT==@(@?hg+VjSrfhpL`ys(gZXCg7!}QmPYI!Wf{Nhwor~e!APmc2BScnXPpG# zDa7!Bca4U|?qPf{;)$FMQGB8&v=}$MrD`RI=H-x?+ZO3L10StC#{LPpprjEMB0;ja zQ*=GyF)b2p56!%0du>*_RkydFU+mJ6ucN-)K~X7Uwn*nLta-df3-IRxfc1b&+>2|d zE-y6lPlMg0fxj|VZ=gEr;~z1z>}g%|x4L8nSH2^Z{Sx!ivDA-vzaJHGt2@U}hzdZ3 zcS`mn(p%Wi+5m^~|AAiAoO*iZpF(JSf(~_Qr>xEkvmJ_Tb6{bK<1cd*f9th7I?NfrvGuUW=C~VhH z3!d<1Z)Tv%viLaA@6mkW-0_?@Cjzv$iEGnV3a#Z5X>p!bcKH0K*HSCAPqi#Y14BAt zQ#v$$x@KbVAm%?0)wM9F!oZaETz-P{Kf26}mx3z$x7q{Etapw`C|0nH{`Q@Hxh1z98YrP*ytm*QovRO(JdpSTn#PBD8FV zd$5-Y2LFDXfym<~aLEK%94Qh6k0HVF* z?n5&#QwLEi&1Ll@qK;}C$Q+Q^ix^gmS!3_^i;D}c(?G&8Bc>2l0*dB5oF6N5HAaFc zktS+s89-eYbDS7XUVCvuxhSd!eQv~^FSOs}eI?EOK)hdIJ@dE&mtl40C1L#lhI+3$ z>IJQY{?RYzFnz#ybmGCO7qbHWu0&t5!=E`uKwmP4?-$nO9D4t%f~iQ$9z*9^->gEL zWY}s9R|wNT0heKj>d?lk4HqxGJeaMPXNN>TfGdgSeTLn%kZ@Gv>ft zI~2dPuHIIi@aKX~+U0_Bl|%-R`PY?YZnQ_y;-a4a;V~?t;WWjb8b0EZUgv_}!M>jL zXaWNfpKc*Gf?%?w!fD`J5%1!3JPnrzEMhHR+y__Pd}S5#NBw0|1(WS{l!1yEtq2qtbkvyV=fsg-+bF*ucIMOdReNVWJmM88Fa!&P9?27}Et%$X-9v-0;1 z36^4?tis|uVgq8Xe%9g>ejzHe)`PXQjO(a4+=bRpFPK_eR@>rF1tK34s|$@!Bw^JB zrw#mx+mx|yQhT_D9xxYQA}e zJlTa)@3)fIzULMe-3yoPrLH*rnJCf{kZ_*A8PKWxKptl!9xe;0sz*X6V}SWts7SiC zloyG>-M;8PD*(j2g{)FqtBjGTSO={4>xqWU{LQmAi#ra#{|A!jlR8zHP1oK|$9W&9qoZHx6Ix1D(>#be@E!y+ zXIcGxE-TF%$sNWlYyAMZpd1S8yrS6Tp?Uz?ehS4VA0I9l*-M%N!k(vhmSn=+nU_oW zA1DgSLE1)zYrR4mKEA2;e;i$jBh&x?AH$HNgPP1yCZWSoY%xcNGn1nT$yM%STRA3$ zMddTYMu{0h$SwC#ic{9{R!srd_P~$=ksyoWC1=BpocYG4grUi z!j8RV%$xh>9j(8nnq2g_TK5ela_7`cX2`OQ~bMqskc~HA% z8RbSuVWA)TV=&-iF>#V3tuMB*nBkj5lDH=Jhw|6|i8;!;*0!NX`X$_r4<)jK+bpX4 zDRfCkD7;%O^+uU&*AM;NZRI~kO<##NSLl!iOT0)9WjfsBb&Ki zacF&VKszGbFx)%ZUoA`0Fl)o{>6@qZbw@yXqRk%fFb`nvmOBze9Dl6IBl2T z>G#4fnNI&eA3-(k*nNMQj2kQ(v^dhV62E!2U87B%T7UazaYHg@ofF3K9&K8^_mXhK z%NlxvC1E|Ak<_n#tPKT`d+XRL*PZDN6iO)a@srSSnCJOZ1ii|S*nGKslKO}Lu+La4 zPy0051ftPGXw0guiScy&fN`O8@3!gO`Bw{GaR7ii^dHSDVEXCS35`FDXx5Rv2uRgy)UPry+H-2)jmO1P{4t(aQpMVAB2` z_x1`W(~a`zQEKR-wE*nQjE2S$7~i7n@w6JfaZMk`PB;}axfaj*dG z7)_nwhOV?cvcOm^sEcLjQ=Yr9^tRp^Xo?c*9J`2`5)EsR#?{uPx+_~P35b)w~i|4PkfOgx> z@60yLh<%>i(@;?{Mis~5*y`j z+1hg~1<{xI#zaU#1@*fKp)9G-;lLF9>SY&ffU?LD<9FPp*FsNkMFU&LpTF?5i z2W^{}!P|Dm$y|s486ElWzI7xSarl0ZB9S(2rR~?qxPt`$e*YqY+nGfeJD;)P+ez^ebYuOkuxPU1e{4)^3r7K=jO@^V#$- zIZC+r>Dcj8I&KQCYUH`k;=;xZ1#LmsD8{@k;RnvLT2}5khji201hNjWdS`I$3#gO- zEtmt9P^0!tNkyefruz{?DrMGV;LCMuRzS>vW`Fo_Vl9vi18+yr(GVxJ2Iw>iH=kiX z@zgjP6zUB|$YCt^d%gTB-zO4FC2&)l70M-9^(RaMk|hy6^2~L;7ofI0=mqIL_Va(^S`^BL;=?*4L%ijp1aSpD0klX&VQnaTAb$ zS9We;ao~cHJp@U2@r0Jn9?m!gz`IgiYPx|m4s!eJYqni&24iQ>x~idM6ATvj8+U7y z%nZ`=Cqp{bH4SrKT_7lhd}I;JXYg#m^=YP)s2Q*^5T&M5c}vpNcEGs~PdzY61#A3> z2=Ip8c&0wCV+bI;Cc4yU`wxyTQ$&TOkQ?FN#R@KCiQd5{@F$7t!GgVH94$c{Dbm)v zj$BN!33?it;{j5;)ryU>-YB*<3Dyvcmhu#sBek74q8_XDHBD?^%FqES{%HQniV^_v zA}r*~JcD;mu;(v+Ea4CB>0@b#w+iduJ-8P&rlD#EA1Ru;6rB`g_NVI!7?M+Drij(B zc9B;-Kk(nx>)xPmB(rX<5WfJ*Afy()BnALFoy_`4N5Xi9PQLtC+^PI|2!uZAO87G$ z{6z{`V#ZVJ$+`sfrki`J64Y>dRMP1F|gM9;`HwOqkO=3 zJBWIh>I&E?jO=7%1{Jg=B+tF%i;rLvx1`y-rct#=nPW&_FWb=L?lod=o@FYRk|#jPSMKso0x-W1eIDR$4`i^LKe$H^ z`uwfioW)D$wr$5gzPjH?2DWbgx(Mk?KJ&!_ILnlsy$A39rO>HitTOhq!0;F&$e5uf z`R!WW+e1UX9{Yq&HxCiR@=sxbF@W={U)LVd}4ZJ;G#wNoTZ2&*~M4siX&jTnrvl!qSKeGHa;p%S3oSTu-YvVVr zUiqV?0JyJ-armv}vljFZ&&IQ03KiSZ?3-_g8f9GK=?{n__4|bZN+iqU73-tF&2@s{ zgVz_?BdCoGS8YnHG>#U&+4DMMnjihkV?Qo7EF})MM*Q>VT24faqc@y{|7;r4{9}(C z0q=g$qOF&l!ym!1ceN07(>;ttE=gis&z0jZZezCNm^z@|Dg>NO%JS2&*rKB&nFdRt zNBokppkQ>CkJm~19EckN!Vu=K6-Gf_tXTVzTosZpU4Vqe3?O#ZGToT~?8T#%wJwTL z(`1gP$Gw!eDGX=(00(U+9egCo3q{hNFy+tCs6JG)0%XBtJDnK^2WYD5Z5`2TsgwY% z_8$zs?pEMPH%MxRK`G$gK_l0F{#%ccy+)bA&vbg_&PD8<2 zd4=D`3QYRu$WxNjKX62Q3*D{%oEFYYKPH1p`P~kY#07WmJjEjE$ezDy-goXaI#^!@ z=HsbETw_uYbCyMvaR7paqtqGC-@Y{%4Sspf_ZZ@Av@zm4nH@}?n4Eozlxrq6duf0rPQx2X4L=8Q=i&f zklF!@IjpIi-!KEjg4;%>FoiN;l{gs#<$B*csDWjYk+Ip2oz3k=03vgjE=4whx8s@D=(cs z;|-!LJxpVxNS|}a$DrTpU}8zPKjgj?)(=6wIr?t)Yw=MtW4`~~+_-g7Z-zn^sWFrq z?`UhMr#(yb(^s?-7useBL+jy%>fBtGmX=<$-?y`oX%zprKmk?8aX4-;-2Bxk;93dX z^~#_4@pr|XrBFQ$-tnUOCuS&gr=s4D@vziV(Uh@b#+wnR=Sn_;NphIWukvHeUr|2v z7Wy^C!+z}|a-oIx*9Wh)s?&gUgiCvcgH?@HaDwd+TVxOM9VzYC(29u zVH7lAG)?L~1*gw9lXv$z1~nEUMwfdAtVS{z{q?iRsSONev*OU@P1L@sia5PY{SXQ5 zq&B-(ZVuub-cvHWY5^ld0m&r-z~kkhq)Op%vNR-hQc|C|U)`jXC*H}Btrq3ngSmMo zTt+Scg3(fH%wF5bO*QMMGy@iz+V1U>Ibm%-)I0Gjcy(ioIGfMr3c9FAM;&)gDyKg5 zmU`7>Kv20M2+U}NW+LtfU!fbKt(U`z#qr*>KE)q^jzPep4AJD)D-Pi;)%zZFg0n5` zoTiT)3EX=#J<+?4kJTneuGz(NwAPDEzDJVP+pIQGI?uxR^J8UU@(~JgvgXNW`x%+t_}hL`FD%6n82c;2QZx`YEx%0xx1?%1fF zQvwR4w7Lo*)6KUt>|R%oY^SRL#u<@$pT>Rf-D*U-UQBvqY)P_|mVE|+Q|Prv7U*+O zCGiGw1mv}Z?>}#~a#pdL!8N{>lc5(`lsQmhCqEyw=(pR2WR@L%VUNAq9C;^Zz&=ec z9=YqgpsRKeLk{;GA-!%f8(%%{CV2xCGqlyyb&L;_Lb>sMM@oaTtG}^meN7nGOO4w? zEu1cY<@pS-EI-Yf`D0toro@SFHL<0ZYTN)K+}KXzGeI=kNTpDSY8J5DDvSKJ+ z>1S(%M7k%oGGyL3?`@MNU~UAO2GT{$v(`+bjR(i_!5mVvczUh`hQbMxZ`3{U!X>f> zTI73iO69k&2*#jmcSZ+9i6#jj`t|nc{*x-c-PWF8Qqn==A4nPyn`ImMHsD0_X=h@Z zz*SO$*+2AA8rQY(OQU zyIbntnBMJh(g%{~+uJw0^l*X$_|4L?yQrT1EBj)hG-gP1Xk&z?%rSv`+)>>|7EctVVXVWk7uVD~oc8Cw?Oo%2qYKOVrJRYDUAL2;#&iODR zG4fJ|ARqJgLz1zzRg7k}XP=>GQE}lfe&COnw+%eJ#o@*fER4ICxO3d8$M==r#)iwOmdV@CXebtOHn#0X zik_w>OGMZMip4{#7S)HhjgD0Uast6ipI9Xd0I*B2@6eaZH9!iH30Jl~ZfCBha!KRP&hQK^Zh?VF^PN(vTHrCGm2f&L%Ex_ZhO>G8``b_a1wCy& z^!3*;E`SXAEu?>U)LdDPK44X=~#~qtv@Bdbx#>xr_+$ zhT>%caCT}`$!g@T9t?R{bN1eoqD*UWSP$tECIgLMz9<5Lj0~4x#xEbMFLLiTRZ-f= zha>cU3cGxZ=qadtkpe(JJh}IJqnzp`*qwwB!zVFyErB{Hz6lvOXJr$6A?N`9pMB4v z(;e6dz%IVv$frbc?kwQkL$NXp=fs+AzJ6hgXIW)#!;1j(->-{iYgC|ZZM@D{uqE$d z{-)U}q+%F**B4hC;uynd+uKy%Ao4PpR;(bwclX&S)8F3&6Rlk6KF|JJuAsb2o3I`Sj2Z-huQ&o5?$4vPftf zFomsA?Tv!>)xPDomf=sk7>|B3v;kf*(>dFsnvUUnXDoCHhZBShIX=+U;A2sf_23~DPgZjrociNN+ zZA@OMr&ONkLH}aY4!&@!fhdaH12REwR|b5`oCb~k6lu#Q!P|7{W+i; z%p#j!!8N}k9t}1duWy*{Z_t=-A)zq8Z4=+T*NR^xZUT3X?^VTeXKp9cUHGjBIAVcs zR??N9$zsTn30>&kxn9QqhNt2lVjH~o#TqGu<8ijj;)-rMip%q^R~j=?e$~qL-?@Gr z^t3}lD`#WHUuz+1L`&!T5vWq`t~wcQo2{n5)jecbIQu|Anf-;*v?PRe>r^w|J{OnE{C?zZ<`_UbFxc!*!M4MH;Y zK|if!-5{04*{`LLILy9BXe z%JVoUkZDy767<|xTa`OU%JMz4U+yQpl!5##dFZ;dtn|MA{3qG-o`=bM%)H0PlzbPN zRBpY6`$Z6a0NLjSdni3qdoQf8h!v>ZE~6A=`jA&Bktaa>>z?R3T5M!_)i%*}NgY zk0x+f)Ce(>ViOIGX~c>E%-#@W-mR|6Dn@8D7P7r+yPeQKAPqe9?xP~Fx0g5`J?>-F zZ7QDJ_`?rEn1!CA%RZ%BuN9MTi4ymWwQuGrdLz&M$j3AzcT2b~5%*0?r~7O^!n`&I zbl53LPT}y96XU&&1J)km{-KvHMT28?Rb}K7wGA~C57o;)`Ib@g+WMC16>2$)E3l4_ zv|s3D00Q13(EVIJ@U6XDbE){Ytb+5XbCVgG@R&3ucJ6`Q2i% z(=@4N6tV{h2zR(6A)qt#?M1mYV8a7s8lKr!q{d>yzo%a3d9@0|6myob z!S*0WT~~I=X>XPKQZw~#U|aS#rIdMVSu@%6>LSIMzK8d}6}~Ra=N)lx*L$0?*>z&b zSpT3og9muhIUoOPTH+O3Dxuwgw`cUySEvNmrc~Y;LR2?MRxR=%2*#d_e|(7Qoqd%v z`rn%q0P_zJw|)0KeoxWs&{sJOWu!oRPS~Yf66PKA@BB>fVeg_0&r#dLdek2#VNbtgS4yD9uBvHxP*ue7wT5Vj7M+I}h*Y%96eKu^LFmRuM5=F+`+iXLRbnyL%Ue z%Hq2MyE#!yxQrxsR-~#vn>m*79e+HDY(s;s6To@R;ZQ3Q;Gdos1bvpv1^vv05U7U?|`#+!F@%5a0(5glL7R>ST4GP!6nr zwSoL@y51`9@SES0`9m@Rl?NpCHmh^iq}=Egr6jbcerbP1m%j49s_PlbiUSm;eE<7tE<=1L&V` z4>)VpvNl?hid44X9F%=$%BxT_#kyf?JmIAGuAepja#dCj&$IrjBfhXbYTTad-$&4m zt$g}8&kaXw>Tg(eUr4i^5DWcz0cTT^tkD7gHDKZ|bD{vdcPIGf@?11@Z4iPiWBcv;lMVGc2FMB$$9;pF8$z2%^!qmUn9I&>?6a%o` zZ^og-T3cK*zJKX4V}>mw{r$@dJ*pP|Avy<4JP?f%)RkfMlqna9i_TWdG`fgYwiTYy zi9bex@8*NMtm2_|AIX6r9*7*Jn)%RXbHEfYPx=5{k9WG*igk`D^JAMu!s?2rbosRh zE!OfWrmwQ-N{55Lh%L{PQrDudH^8ul1s0#Mm7ZZlvdP7bnBC&y8dW81qvB8VE_D~P zquOUgjwR{y22_RNx@wJziEZ`h&w=VU0au{UJNfZf+_dbmAa0VMC}HR)0+{2wCdPT0 z3nynAJtPo?f(TrEfLM_Tb%(<&TRI_VNzRp65<6stRPOlMa;NI~uHf~h=)0eL3?teF z9yE$?7V^tzNxvj`#(W*7H7D(T>5G|3=e`*uS%_aVqMq7Z%pH^vXx>%LrCou0CF#nIA8sztW*2Yz#7Z{7L$OjT7KISga&uJVE-O%YEC2iRRN9a+LwbpN!Zv--bC2=QAA&XUycbd9Nv6 z3INKJiy`7n;-Bxy;`v$!^I;U4HMBx{Qmb*^mCeH5Oij$we-wA_HE%)KhWeZ?ZF%dm z;whreoMyc~<{#d6?Kvp6jZJ#8RytXi_tjm8tW!KcbiYf$y)tK6<$PF^^o|06^;8Ol z%JqRRA(sP_YkxL(-|%XO6o3i{3yl~=V-oD*r)8JblQGP@EvK!o z1iN=~f5*(o>#Z57z~pjpqi;@I8ZE&8YtlN3>dR_lQ%T=rr34{J&RS2wo1rZHf!(5l z%yK-XtpKGZiF}5 zB6!ezLR35h=sZCf-nmh}x8!{iNI9Pg)}goiCDY4g6?bf<15e zMQ-*A9;yXZ10n(`DdT^}{*#W9ME~uvwW4D;2qQ&*eO=}Zj3mr^Aoau4Ko7?+2b$d? zG3HEuw&HzJOp3*p&}Acuki6XX0krla+JQq14iT1_iNdO$8{Ug)Hqp-Nz!PfjX!his zfx>3kdbq(^*HgE@<8>N_!J3MJZpIK5H9wV$7l|BFeBuqZlaJ<@un=p%p;MAx{EXIx z*bBHXRLa+!72TBNPHy2tN5qynB+^RU> zS3YQ^*`kU-w!v)o3oV^=uZQc}EzjVBdFAVo6Jem$#qkxx!86r|1s0uid~^BbzQ!*g~e zJqCcj@YB5AM=h@u#=h7HxplS8f|tY<4==HO_hyZjqSSlR3f>sJYf1GmkI=M<4;(P! zaQ;ctDx05sU>Um}ugykmuo-MvXaI1*Y^>X!AAzb2)xUZvjnMz+5oT<%LlzuSwmP5nnF z($RZcf2x!+)ibRMb!kK2SrTDqg|@4bixzrIazT|ylmAF?X#Z@ zhD76J6zORJZ#?D>NmsU7`bl3_FK(pV7t<0eLceYCGazhofCK%f|3XD2=xY;1KJ}@& z%%9H9xq@k}df2Uyo3SMAvBC-`zqlK{(fMRL%zLza8D)I=B@lS2-LC&V|8^FbUpVNm z{}qp$KuM+2Yf>mM#^^O-Ca*MZmIy6PYR2K|V%bs7uQ0R69r?Vd| zO*AL|iswLoOtaNc6ok;1UZrZKagQ>A>=)5Ci1iHP~6_3A!baEBn(T2 zlwFx+Bi+nZ64uUHENvcAwqIl*^x30SJ{F{pUhxobYkA{@(r&|){E$pKrDyl#O)YaI z>uWf5=YHv??STtgD{{{Bqg3G@m_$1FM9o+Gz2oy+y{EFNx{CPWirE<2mGI`*16w&y z@Fjr=$k!daeGj_gjXg{OiBowpuQG?<+3)R1klggfSb>EOF)KljkM!B!`QYZ2P+Kjn zTiu93>vgqvMt2~g5lS-4j=l34CmsQg$YiqxQ*uIb}@JVHIoQ>Qq&#jOKFx1->f+Li^V$}^A=n0twb2Vw(UvIBYH!mX61r3Z245;#G?Ez zT59N1NE&+flOHb!{nxRnPclU;Gj@HZ$Q??=p`pF=LC+E5eMPTf4fuUJvMaow#XDPk z*bK;~rtvtbCE{(B3Q5wkdas@xyscTU;W2^i8HifARgex7lxy}*7?t?*vex79Q&^A- zcnoEJUZC+>o4OcZpIDHBlZtEr5ZnY@4h}YhF(aT_bZKdaK8Bg(wC1U zu@tUdQFRHN+}4+u(7>VRpW<@h?`117E7pE7_Jn2?b%s!JNf4r1Q+~FGv8y*C<$lW;Vb$faCkBHbA7ccew#bsmFGH&PK12 z{sImJ3r0N%$k14t|3avXkM-)`)u7a$mSQus2)11F(HoJelL`%Sh959=nF2Sy1z{lS zt%{TrYYy;Ua>$BMSR6zyoIk!vvuke}pr;|=Km9)FXlaSaAuAMovj*)gkPy`TEn`qL zL@vp?ZM6S~N#~tN(!0h*voN)LUyK&4PrM#<|7vutLCF_(PvzW9$rdg)$_m!;|CH08 zxG{rW99lF&shTIZU7?)yVnz3^@F~1xlY}vHaoawi(d7`y8qjXV740&%mG~rP9rWPq5f)S{G8pV{i(I@K;J^d%+H8oLcBn1P9Qfs6S<_8t6qIHZ0HiebWBNS z6Jth`3ssu3{U$pahC|=%e(W;QLWfJ`S9(Z#j?`N`uVwD>xvbcH6dLP8!7@Q%yZAq?)**bGWk)YAoDU(wE^M|T zfqa!X^M{&*0aJ8D=?7qH|GZQ0-UG>gxG7^pHs2BVWW}OYsgd8rr zN#2`$t0t;jP6lHJhjZ^M&58BVEDsGtj*-erPzS(T{9RL@FJ`Bc{s+1`5l=J*0DLP7Z)z^J*Mo1BU zi0m;;k~&aZuc_#z@S+HuvF6O=ImX#gwclw;eu0a5qE5Q%pazB^hr+8-bekVX%Ce{4JjlnT7hC3 zh?H^p5W}v!tDMDqgFFpw{g6t510fP4L_T7qeL+DxNlM*2(t<%E9n`M)8Hh5hYVQ`=(LO^9(VQOq5sb*G2;E0kU zH)#Y_(h>BLrxPZ-QS_%EBvQvg`owU!7-BQvvyznLF0^nGHV!fWFTBt@NT%*NXRZ5@C|@>E7e19-m9OC90#MY~%uur) zH+zTw-f?p)J02@0TkbbPz-`&HiXdbCwE@+6_YHV-jx8N}mpAj!pk`FzI2HdFXSJll z@_n#h!W^P9$5m-PG2~cznvUZ8{^~-I?GR8Lm-mN)YXNfITt*()U+$wh5+;Usc*aSx z7#q6Ass9KDffjqffVqxEei|J&*dQfx8nnN2`I^Y)KUe1CnJt;ynFfso{z*{++p^U7 z(~S1{O{q~Cz?Xuy>ANMj$3W8cfvvS|03al^KyHx6#QNx zQKRG})&#RXTuq8w-uwK64w@@ys98fQ3#=-#3IWNs!n`Z^(*!NY8w>^e8a{pfHh2Nj zH)v>qL2n(r^%yxW5*U4e#u?@}yV#ps#T46MaXy95Na}x~p zR30$qeQ)u5Yk4{9v_o-5$3R$ML6QKa4Kie(8yX_D2G}^=q<^WtW%a7G6a_vedyz`Y zGB3un^_$qSEe9OV*n556JeHCUky)k+4)W*TeU>jk<=lxSWq)%?Y*>i9`y6me;z>%} z(nw!{g5@rFjop|1*=)wL=LJ6+eivF+Q?)#>bt)nq>4puT?{acbKXk_d!O&~AbujDx zPz@OfF)R=f`p|hNdXa?a_kY_EN0xeM##Je}u2U}4OYX>S^T?&Ybn%_qQ~Jy6oNTT} z`&|o@H}B!D{HmZ$T^jWdeIj_q+`|mo1If6272v`S28>ik#&un8Q}m=z!*=Jr{!>!% z<2Te&<5gxd-!T3peMEB0HGkXY=Z8cXbRf_7^?skcn^>3&)RBQ|?@DN!sPG@wKj~1_ zEr1+2&l(#vo|vGOrnrY{!qs=jk&8(-3BHeGTKF4fWaANKlg(QA1JQhwVVcMt$>EgL z>Pm461CHqzR}H%H(ZoYp*=Pn#BcQsRh^td6$$APF12j@+j{;CsIiA6aRBtFJ+t`8; z1`9EwTWUI6RSqwfZc7@1IOEu(EkH{+tt!``tf*cui3o0%o0A9zUj&e`(E4|hmHUjDG?pw z*`MVfo5J%!P;R08>oU&rkCK%7Stx?{#)|PWS2XCF$qn*o@n?T}{fuzc`jgq(k89sSi7zcq3aPZ(6%%>Yc&08Lc;X2Hi1 z$Qx-pJ(9$Ctx(cC<~eswyCKx`*SUDEXtm4!Q(0=oF?1fI1i)=p|L>2ek^l@^V2|8xZ zetqSb)t@JDLOqlYUCij0m?;E9^=^hPuTq)c{u2@m<)m!ew$=jrs^Oi6vs^`Xfe6OZ@$?jYbFPJ1Z;at@kf}UqGWR=X*F0FeYnUv(43DawTTK5!u+;t z{iV&aEyPj)&H>m4^!33^uA|ZcMPWo(1L{2>`fLl5%)?ABSLDBOOt|;zlmcq_MrH=U?id7y!GCVfTNS zah0gHT=j-x@K>foB9jLwEoKA}gZpA9UO$*N?G@2KL`lM1Day;Hj41-t%399mf53-aV{;rsNKt6FwLHrLQJ-XzG6)tj=33|Cgu!dORK_l zps^B~Nd>0PA|=@XYD>NLH%IGJ1`+2*2Zs5!N$5z2q`D`sj=5-DJ@asF5^zbivlD#U z=WXy3ff7HlDRVp?bSUXk#zny8V~V;7S-c#O)!BKn1IA-Wxv_m^WZH#_%kF^z6qnXn z-a+%JfNYaL7U~~jVNzVL-Z#yiJ4dg2dMd&*4UA~}t9AsUzuRo2&!sYJb0|y`Wg`%X zE+GHA;7wY8C|}b`{W^BW7*8|IR2@TjF|m8qz*sy&;y#CdQT{0#dhxo94wmz28xoF+8l2g zh@&fk+;$w2PTS0j!C!wjN6LUCkmp9lK9=fAE?%oO2_1TfA^*B28@1r`+SUfvT&`CHIp5x&`|H4iKC7+}`*=m}(60h-$X1LHra}FGAf<#)b$+$x z2mZFf1XJQgWY`&QP$aB)5aoNm@m0!ketwQOie7ee@=IucUWlwSAn>u^Qd6C(8#sHM z(N%YWiz0zD>-I^_%l1Jlj$&dIQiS1i_4Z?lybB&@OAbBn6?|wrzolAs$%Hcyjt^^(y1R zgM0$YKLj&RFPY zJw*H97!W}-Yc2&4>ObwAJKHznyEsPkZ3i^2NG0mgZSI>Ul-G^~Ux)@EPI|r8Cp~G3 z=8d%;2ySRjx)k42sGU5n){?Bzs!d`VMjF-ST)*YA48MnzzO@_;^Exylm0uBh`Xx{! zCp)$*1>K1hka^d`KcdoBH6PD6{#&ll_4bneEFgI6mtE6|& z$Po4mLx8P)R_4oU3*tud72lwu$TCTwDHO?VdxV%+y9yV^A@pH0umgJ%#U^>eAs-3+ z8uid;WdWiuDuF|aH7Gs9#jt&9M z1Lps&x}0-+%hBAh#Lk0S5Y*Fxt3A!wLxg0sUYPXvbNEY7WnHLzgmx}3w;m)489;&? zq|s_l2jea>CRoO&FqENCn0=#1{$Vf@i83#CsEsK};q}ZA{^87o0NpP7f~P_vGU)K$ z`@KciaB0W>XlY{R1QN|F(;LoL_x7(Cizaqh^Go#<3i7 z2DHBtoQ&du^!JgSNypS^v^i|;`Ynl0!La>WK>mu;dVW*Z{L^#2VtcgY75=LRa5X0*wZ`q}xXgl}NU<83XC;F*~mCZvWnkq3&Flir+jA2sltEPZ;x!)22f%Hc&T3OHc#UG@atsMo_@YAg@Al5cOtg7mzp}3y zYQU{PQJmba{bL=u8(#$Ir2|`nhIoRLK0ggd>!Lm4q`E1;@-VF zB(tH(z-4DruGI@xHNV!R)o^xVkMmVy&1DAkM4z9BS29${e5lq1+0%QBhf0uE+k?)T z6kdVbl#sLjAzp=Sl;ma4sQzzZ((Q=FqyjJp@_!tii$Bx<7sn@;Ax*iIWiDm4(8Vpb znCmyUm^+0elw8}TT;`HXAj` z&UwGyuk(C)O^#`8b*z}I6}HJd!hs_6fbC5&I>Tz?*g*L$$MY722{Q?q(e9^H_%&9# z=OQ~-+OJqc*#|`f z*{VQszkD?}2|{Xl>=Y;~);)Ua^izvCk|-stdzdT976{(S?M8SpEwX=jmA$@qTQYpd z7m~@9cL$09I9FJX*dH$KJoAk;z+MUUCA3|RG=v|;bzVjvJ|K-g$yErl$;^dqw7-h1 ze!oGNsw;=8B^vHUA2rgnO<@vYzuL}z8`5=o`6F0*5Z*s{0Xq(jm>MYJ&ame-DuQb5 zy#BG5R4axz>?16WAjY%ry5Sdekqom$8|$>HtH2QUbzyv!Gg@Ki@3#+68xG@v7zvF_}qso_Tsr z0%j##+i7~I&88@$|6P2@p@U)mMy%y^z}81{?VtV42&qH@+1T;xmk9kn6{Hf3ll17D z58QN8g*A#zNOTOKzd9Bpxk~j!f?;7^Lb8-#8;Zc~c3j`1gu_#PS{*-aJy?NY>8OY~ zvH+Y&<1ATq`xyeC!GS%L^1RZWyRbjP(p3tpf+6l&gp8kqFBj2ef;q;%HLFx<{+-+x zV|>keh(cDrruU&`-_Ra_MRSShsc9?K76+e&J=!jsx}c{R!#C$o=na=wPh);ZN!7Jo z_EJ7DJHv(QTn%-l-p^#19V)(A-|=u*EjJrywOO2H1=7#s_LRegdoCrYj-T%4=k&ojNDSLj{33JvJYR zK!n85Xl-4B+wL>P^@wb)L}I{ew-4rv8x@jtR_*0)U4Dy0GB?FLPd^{;AjyZdl4Sli znqCZyP8${bGc2QYxi4>`Zb(~d_3Lyf0)_$vnVG5 zbdgN7LWm;np^|TrYz)(fX5CbrlV%c9tMlLRYMH-WO*L=6;8^?Q&#NA%s~*2=+R@qp zEX7_S8~1azEipSaPpYkTJ3$}|bR)+5iz)raQ6UXHad>4+C2y$Y$@H>rJ3kMr?CL)^ zay#7w(2a`Vdl!UKYNGkiKRYF9nMr4a(+elhS${DW6wr=}EgUKbHfw&!J(@1&N_Upd zlrZf>~x2u zLsax^MgS;NF^uMRk;^)acyf2tbk9V(;klIx_ZGC*zbadMAO`w3b(wN{&s$B}7&)~& zFzSc@y{fgtproaY?*7D@rcj^!If6>N z?jigl%1P_wPj~l0U)MN1_EFk3v56D&)B6||0P5p?=i<$nTaoGZAQ8d2T6Z$vBf;=y zIk4b0A#x+Z$-mJ|n&h6F15$Yhxx(4Vw-nI%Y$!~qd0d(eh}8W6-)>k=`)=?ga$?zA zulvxA2_(2lv3y}{%w9%!CuEgWz$>dq+h8UgE3X&#wXcjSO`m4{1wwZ5J2fH=~XXfWKMmf=Z| zA#JA6TA_T!9gnr=?0Rh&x4hrfB@MH^11PP$8(h*+Y5FU&fnbs?vNp$0XjAG0=TsKd zRVOCzh6+d|v<(9Zme|Q>4!q|puLKTOz6VC*oPyHiwz$0JbIl-zmgjWC*h5)zG9G*LH8@ggsk`vcy-|ayW;N+A;etEtgVt7bIqW`*A z9oq{RBk3I({AH~fGSqqV*lme(?%ljgy}s6u;Tu7Wg0uNvMGh6E5jfiC?FICrYE^Vp z$j_^=aqz*o^gbIFWdXmN@U~718{!c6a^V8+d4360Gh>(rtyNU!kw)Dg87`{V6dd7o z(UXmg3X);w$*N@i!UU~hKGDeyEZIPeJWz<5G^_;>aMngqG+PQt zy-Cau`q1W0Ja5(NAzD?YWVDo#{DFI=_v0I0?-~^k|Hq_v8@R~+*FhB}*k%eC!(S9~ z{H5>|R8SYFU%o3gt*#IbbgAplBZaQvd%3_#XoX_m?jp_`z+9z2I&#gzB1~B5wxD>6 zm$WFoL~7DR1=#mtp6n$FUk;U!Os;!7*T2B`+${Q28WR(8G6)6V`b``xz4=1e-u)xV zgPiUDv&d@07zzM;?Ob~GWPlR@=uuc)QdrN`^H)<{6aLmUU!E(qFYsILTCNW{8b()} zo2bS^EA+NXZqa)L0;2G2dD-8&<^2iSNw`(aBZa)(mzvM^n@!qpM2-hgWr_+skuA5@ zbHC{x^psK2D7>+(2p0hG=K^JZmIBrwqg}3ko$`5FSE1)-apd0tjoT(N2*TP*yxD8r zG0A#hAEBjvGX0yy7mM;zm}dQ5$dibWrfKD9NX;U#WbZT$YRHg}oAtcYJO=rX`0G0e#HMtkcEtQ~nWb8*U!(i9p zMfH{Gy(8hVaKZ!-4I9VyV0&CN)I-hZCqPh>CbIhj&tamy2Ez$e26SLEixzg|?4&zz zLoC5CfD-;qy8FTibW~!SdBq`>*>m+Eqs7qh%7BtUr^HJC8o9ru6|UE-F)mrhw&5Pk3ybUB`FhK}h*I>&EWm5$PK zhi&c-YI5I>Yjw}M?`^Jm{KcJGe|KtNk-Ahu1Rq3$n2{KJyRy6*---o2;ncnP7BNL~ zCvo8OY4r!3=_(iqZ*)5&NtuJL;}nU1*HQiIcB0d_!W!2d)`u=c!IQHdl~>;!=rfQc z790k?HsCda>A&H+cea78vK3q$-ma4Vp5{f;-{w6m9hnHtZz>T6in2anpqZVB0shr+ zS0iZqHP85UT1M_yu?|2LoPD?yANoksHMHT;2`Fng`~E+2Noz^MF4!sgvVt{tTGQ4%X=i6%)qvtn?UPdD!D^xjQH~ z2qyeiL1;g|oHqOS;f03>yp&w8mZ`2MObbiRc;X6N1DX2&g%`NEGBwi`U2{b#C0rAdS)a_fG$BuZEF*TEO{_1*r^CX+hvV6JPe^FtSUvs8Ilnl#!+d{6V zyV%KJTje5(b&K40Vgky2gzl4jmW2Omv0?`0gUb)oJv^k1U$ahHx}sW`+U^7K`Y*c+rxNG>nrjvb z+KiQHR<4hbptTk(n)@D^2#BPMTqoMWDQEs)^=h+x zzypL>Yf|_ke=ACsI1-maq{WX|9e!d5kDkOIk$X$iO(tWw{Oi`tuVr88Ff)+L{1<`# z8D8~RrR=-5KA<>UAr4);*<~QWJ64PIf7z~SY0JAC{2RAt?}c9*3T1i-j)eq&8hUUpi9z1Q2^i|{-^wY{8VD4y`##O5UBu(<$`T9gS2d$ z#q}r_TGG`vDNfrelqS~mbNshbS39QwU%9l;eFEjJCfCe%n^|35)v`xfTvz6Tq~-F?5pW{uw4~Q>tNoVj^RDfb$^oR^2kH{18?2S=uk{ zn3`m@(lyNYY>#Ntv5sHG;kVCW0xuoz?D6V;=pOaU<3e*+4UnYJG^B7V70CUS=qwAA z_H*;;`LgU1Z3&i)11!76iuiut#VCPrBwrQr^m#zi+{w12{?2R+P=3bejA`CBAy zQ(%-RjYAlWihQ&(SqWnT$7P%esNyj{(pu1UU93ZUxA^PL73>6EqWwxdojI;@MzTI# zPQmt2TW9at9M=vFd1nzmffn?mD+|Qpq}l_5N}7k8vOGW7fR?+2xeL6(`j4UxDBtsa zt3S@3L7X9lzcQNM)*38M;=6V`)?Z-_?>HF1Z&srMlFsAwzW_Xfe~5p=yk@SYR!NR% zMc@WLeltof4CD*Y4dT$KcXNu<4xBp`moB!Mrh)z}uWAo|uk)Lzn*|~o(YxiRM>JnN z!ObY9B;Lx&K5A#cxBHaGBaL~b|C*E1R2(mKI#GUXUSYd=9V(TqpnGM z)GD;@x5C|W?kO=R9Atk6OU4cqIKI@oW>;sD1$3Av0-z{e#x#g?w&YH%kgx;_4Ev+_ z8DLw#-adcv1oxUhnqaS7Kflo;CnbEk`dMAdX^JfCUqUo#10}BS6Ur4mqSI>9;_rON zEw!dZ0(4E&b51(-vzsIt=S)eI`=Bv(;NbC9JyN%fZ>sBh zd~b8F1m9Z|#|7_+gPA|yDJozUt%O45W`2fHcRJ2CNc9>vN4^5KZjjPx66Ze#Roq_L zd7Dv_U3*^cc=yFXae!N((1Ma}3cpiVcJKG;7k>$+pX#fERdp z@AGSlayNkl@jgxN?f{8|H#o%ShV}jvS9}P9BSVnlr#spLj|M^4cE9Jel^S*{(+L{=t5(!aRy2w>^sUD>wT|tqSK1u?pToX%Agj@*B6A4D^lxuT!@fm zC0aQy^{JnxoT3@Y66yi|l0vf*7(9`D{i6zSzwg_lH(gWStq6Je`|UrV6X(!G;o%1D z*w>6Q_JuT?IwP(5M8zgd_zSs@R*ptfrz%S&v?BBv9IG@rYWg-uP3@IDf1pwq=Tw~p zJKoNZEu`w#*^XYn(I^#rV0Rca6{85irCYTCz{Zc;9p~|59d1Ozgg9HV5yKHtH=p<* zB=Z>vBQ@}(xd}F7D3UAJYNc{ye z6oE4^;Jj)P0ZO zqH3@C^>hdKQ44OJhXl+t24k|a_10o)@D+au#h6owl$%bzP7WCI~U@0awPA^g1-r6*SH+7vL$ zR1swcUuKM~r&mYIF7}-1r^P~dt%|iI<^F8hzdwZ{Gbd>#y=ZR)0J!s>37D=$BMbGd>rBK2O^ext<~AHc@l zsCuav#SLRWQm|I7Z-Q`X;cRKIK?MjcNL6c9*)Q;XI|NuL?DqwF=ExrVf!(Jk64Jo- z%1JLc1tWMn!Z~!td4!V5{L@_Qk)%Xt3M{UfRNcmCTZ3H+*7FC?Yj(Ga;FX9rxP*xg zO9*QD+}HSTRU{V81Y9c)wKP6MR;5B>6HE)$&PdcK}qZ1r0C(8Vm#e5(7HPB$v>OJm^(HA8MRyUs=fg@veOD_t!yo!@x z(TqD6g5JPbD0hmAYI6}$lM5)=aufm#3PAr&eO$=30kBo0+m)vqFa>!RmHs^yzYX8X z4TsN)6d-g40HOa{+MBal>S7*3`*@4tb4YapwxQC@<5`g+U)jsv&nG=8DV0gEA>Stu3@>*CP4FdAPv=^kGkev2wURjZ!RR= zZ|`#!!BIV-TLnik-{=qIX#assML!(g7WF4|{b6;ite9I~pH0Fg8Eq8ze+R~*y&Tr4 zTx*#L1F9Kb)vL_lq4H^3lmK00k{AM2=|5h)z)Gl8x4GQ(=Sy_q%{+_edI=8#A z@9gh_nc7B$I=MjPq@jg#0IS-KRrUk|#yKaG8@;C8LE!TycbgZ$wLrR)IS?;AwD*EL ziZRasY8nlo-D4Vj7Kn#@!}d)nU~6I1t8jH({z4;K=cYyW414 zP|^8pQ4#=H8!%mwr5S?3v@maCa{VF592fx;+5svW%xeP^qC)b9OlI^}ksN}nMwcoB z{pTKBfKRtQWJ9=C?84In5^kXXkX$-=NTagqwgIshm%N^W5TbFyg(lg)$ ziUHgxDpHe-x;Onlxr4R1{$AFKNlEywT-u=}Q{O%Su&RIi`7yagRQv2z9q~_%6G~G* z7Rl8XZWo+axzG962>c;K?3RLsyNge`!GD7b0q^9o_1#K5ki31tOo_tXS~=JCMHk-k z%3qFZ@H_8XD!61l@Cr7R_U$tY00VSuaCC95N9q+wO}7zaX3Fq1nEi0 zq!GIORMmI>xrdWK16Y;ibe>$5zn$5P>XksQ*=Ph^Ke+(B11vh)o7kU~(2VOqiGS5q z6?2D2(f}~jNUW(er+#opSt>Tpcr-*h*xzW*J~ss)83Dk3ir+wfFyvCE$O4px=oz$L z9-eO*DNP4}&G3{RgUr|JMuMv^ryIz*Itfm-^rS;2t>o|>p5cp%_Lt9e0p6cqcT%4l z$!69q;Ih_>k4+t2eGG(k(I8<+m`Jr8%~7;ZU5>qEfL_V!0^isTVXDxy?Ovg zP1FUv(S&?-j5F@8ho^NgU|$slyq#+s{917gbg@1(kgJY4?F600P1jn;j`U_cpQgzeZ05t7p^OlMzs-aqLDtEhJ3-*8HSY z9Aa+PGt2bCAkX;QV9h|$HJZ-eV8n6P;5m#Q6qPsi(gc{6`}gv)QC)J8f7Tuiu4C*Z zV@$aHO(uE~*}sb!=IVjVrYc`Rl8u9VHpBW5f~y|4jvQOOt0$8GO3IT868n{=1*TD8}gq)KzJUd(yW+?v^$TMb5SMJhb|Q-*RnAh zZ1E|-nS1c$xbQ!o6%4M5>l_-VQK^hr!9%svyk%(w9E84{^LmGATr9q1E+M}D6GhyB zMUmx6=%eRELoiNgz;PQoK}WK{R2b3P@yFRyn$LY)(`Warmya;}G~N5lRLq^tZa>3v z6oj4eK!U~#8r~Kw-=*Pj@&L5efO1Kk`)TM4B1jdAP9-3Gsg;0}CTEN+G5~2CG;_1?Z zOK&F-7msb;BTDX;k7+6OSOHEk}dna+fIocOP6eNXX;(Pxbf=KGL$JGwLaK?|G6$P3n=$lC56Hk zXOOT0-|9UNSIHvTt)5^FYt4=c{Ck8hUO>8PmXQQ`WXi;}!msaq>z!t>Rr{&j$D$_m zBxFwxgqe)uGxI0~k&KV|=O)FZ^R_z|^)A``H?Yqi+N=R4MWNc{LYI=00n_s4iI*c4 z!)7oK&*2O%IDtaUczQEIFgvTUJs7qbkEe9EdRSI-2@0hQCdc0vk~j`x6;$#iS{@)1 zC(FTQ^1wDAV>Q$8~MR>eUSJYE{* zr1{S9k*Vb<6c8TEZv&#}K0k~~1DC=cHKF%MGQN&fd;GyHTFH7+usbwZS(eYkTFcP`F_13;(_<#|;^4C&*j^4?*@9!ntMVuo<*HUFl zgU_%2<3TYbR8>IHTm@V9Q++=Pc0Jk7){lP)@tO3T)wm>Ab7d=U#!{>Zikf|>J=n~L zMRI3v<@!V&%=NRGFX4cV)CP(UEADVvoGiPXD}+l@Xh?QV7ZgijY7RN+_l?{IYX)#? ztQ<9IO17Xgn8Mu1M?t((VQWz9)6&kCEar|kF>r3`q| z_Ul?WuzgD-WG7-GBmYhGNp06=S4i-h84^Uj{j}FqI$L7*{bty7bmJARC>2B81nFej z>H-)j#F8?-GchOT4`?X2sHvcjX0=zh%O$pqcwMj0RTOYF)>{&)A}|=#a@bqn$7)fJ zdF>yz6M#f<=ar;m1JDlCUNmOBSSVl?JHgMB1Th*;mEL8;q_2bG?C~X$hV1icS`7VxpvN-_ELtEfmeqkc#Y7psKWTG2cO+E!|9e6 zAV@G^QDNP43!bykS8TI4rzJ72DpJ5^S+Cy6({HC?l}XVli;n(L-;00ZMv0piGV0u} zA@lERqI|3jDg{-mW6fYVV8VZ*c<6c>xZ9>B6c#vNRf{_i_<>|jVi5vRHkbO3Y6i>- zIgnXliAK0sL1TBODml;cXQe&Qu^nBG7sHvOHbl!VkvE9Bki_k}vt%XJ3L@vO*|s@Z z`5#6IX**c8qdH|qG6?0JF_R$K$i8DsS3i)42Z370Dube6?qDijJ}~L!)I^q*3z~vH zo-~tGtC0U;(@+;J;h9*TFLbic4jhCKQl+4AYZ%=xCZ{4~x7$%gL><|oN;*N^NN zS00#tJu1cr;e+Wj= zWrn@CoqKruAV<}b5A^$s0|K;eeCwWqVV~1Sf{R>2?=?fCDh~=4M}FCnXN_uRDi25r zgLzuZZqmi4Ld*eI8TzYhxm^vsltE{#M+Fj){QYx^9!gdN1(w0=f!|5}eEM2FV>}PF=ii0>-8{Pm+--m8 zyX!QZiNOnpW;xp13yBBcVUz1)^Mg!H_i~p-H_niiwB4f7NUe9^|lxlR1>rRaNK6dr=$M8)z2lnWxDxxNFOx%JZ z%B%7fz;+p&dO9SnW^m~>JEa@Eo@XU$JZxnHUD)x;IrizExgE!I* z>rgJWxR7En<_*mF+uxVtAH|}Pi`L4J2oXW)kAHA3@U+=|T4v|hu5HZ*(YF7b-5GRyF;Ujq;-W@+O0JE->y zHtdM)^3XF(E4J&(YOnKlo8gHy*Yv5x#!hiy)yJ{_Tdj`}zIAu-djRZM`cjf=Z~is^ z3)d>p2RnxGhx5_`*sSEFDGQuE|4cn#Uo9g5!SYF=*;Xrjb6Wd-$WAB#~8S?zAa# zFv{n=K8IHlkeTj96%-$xxMA!H*3R7U)nztJl zk}M|6MW^CO9vOH=(XK5X>aU{e7|ED^EV7ds67Yt$%moMhtR8_oZ-%y@z*#Eh5dt~g zYvCY&n`__<5emjyMNAyIDbuITr*Z~w3#=(_CK_C;0-1FFT8cL_OH;}|#MLZ;TC~B% zuusULiNndnlnaIxy)waA;mRIs;3MF2!&Ee>(PV2r{@L4$7a2fTp;j=RLe#_8p>4)_ zTarKm?sho@0_1-zlZyGEw@IEb;{NyN{<_R-?mV36HT$%x6GdXLuBAgtJEe2u@hcPb z^kyJkXP_FcQIj{yvp!7X1o9fQ%9kwstPns?`4V|L+ZFf#!{(p6!Ygf(lTe^!7cD2x zfHwQMB-BJo3R4Wi9u&NB?Lja4WBdCI+)naakEHGm{O(-|_Jd+KU9<)Adt9e+`qLK) zC0GV_memJ*@OiNq?L{`O$EOYqG!qsmp-RO=w7$FmS+1bav0`Cel64h8Knq!d;U9dGXC zP0ZQJpPt+c3mNuba+N+KyvntT>OrR7?eLp0nv$;0L*%F*gh-AJ@V?^<2E*JJ%{li4 zv8a|Mmw(a=f_{elZgv%-nW*(k_)Szhpn3@_@gntH-!?u!oCF&3GBa3qLxEBgRULPR zMB3Y$#Xmav6bqJ*9?{;WrsV-ketmlb0exJ6KhF3qbg^Y>c&Fg_Q^Wdwscj# zVE}uT=fPINoj!F5rV0Gc^8nPWr%bt{<>)w__v+QYLoDGDsdtP>b8PePw?WoMz>H$M z+BD70OZD_FePL8K4gS;g^R z$==Z1cgwd22WUvM;vX*`5vfEV?|`saV#Zyp&a)`uaIYW#)`9f7f5tKbdu3LTSc$?a zXKWDPR}m}WtT$nV9vO#dPKh+Bg|T#8wlZIo z9q9A>u38GUMIgZI2@|ClZ?k7dC`>jIQb2Vt6r)2C39aj|hlxVutC~&LD5-zvU(K&v z;pmb&n?t$6e~&C8twJ%*#l0JV%Ox# zJ!hHT*?rTz#4rCckeZ@s?@}*5J;{M`C_YMG*Z zGkRf*9OCERfQ8lcm+}hUNhK9L*II_1u6mM1SFi-rC^W_D!hN@-N~6f+`T$(?i1gsf zV`)R-&DT?H2f@Bw#xK}qX71UD3wE^gm%1cof2vY^7}dQd%0jnKn$`L$X|ZU7%e>f< z<|rIJY`n9L%z9ol`B*rdHU7j!W)-&0kfTiwn7=Vrnx)Qq@{kA1zqrbzePFxOhVn~< zZGZx8^@5b>aT%_r#tR^S_%J@8xl}&}c76R8IlBQ!m_O^_0NZpn>r-aC>={!Q%t!k#wgfkqGS6X5GIo7=O46eREjb&vWb|mFgv7OU7&lgOhW&(48bne!!Ai_ zrkGHgGn*>_Pm(z6f*VmopCrL=N|Fe9QFr3Tv?lWl8BXZHj zuy$~50{2)EH0n$yL}oEl<$`m%66)aOm(oMlU&SllqJCJwsXvt`#D@1L0VEdMH;Uy% z?=Ixf3S><0W9S`!eAG*-AhAFdKMQif<480OQ466HSP56-PJ~Qa5c%uiR;017{}~fR zW`khJAro#0AI5^PN%dwH{=W}+D6GOS=Ho(l zyiq5yW)~6(6m+V#9tz49owQVu0pE9-{cFc2z&)rg4Jqm&W5ZS$YXBwDBXe;WE=0}Dtwxub9ps#P`rDb@ z>C~FFUg-||jvGLO%Ec$Q03eNPnQ=bT_Gf4_*DTxh*TLn2T!>jb_Q6$VI5)J62upmm zXxq5*4=vrbOo^)#Tn5%N)tiKb91ULV#a)f{JMivYPuRWRz$hP1ITPvLvHvk4sMnAv zl<*u00EMK-eigYQ@Ja)Bkb&lY>$=1Mvq#sswoWLPx|HpL=HTodsQb8Z!*pAz0W z1zB}U{qdHUn`|h@1fh6{L(99-cLA+&;e@}z(a&_b$R6ZzK`Rs9$`P7RPikqd_SfQ7 zP3+C)*<-y1l<=sGHoGRT!`nOos1>U~AJIGSexP)*mFYJ0Su$O0>sYZowmIuLvUl#E zOj^_w<@8!y(iMCN`))*sR?W=Dd-pk>Wb(`(BIBj$f)o&A@nb4EZ&Cod3#85mcbmk> z>c~aLuV38p9KnxfC}++fnJe_a3sQ>_X$TN0x_NO~{@U~Oj#o===lxq0#<-s_K8D(! zZ$Im1h*dhFmB_55)D+tLlJ$$y19)ISw)AjuFWen9Ma;_g8+Go2!dA^Q-w!v?_1cf*PLvEL(90a{beR^nUa(G=eLh^+!6rp%4$2pu ztsnhX9ZzZ5Z{xjDLn0d^W~Nh-w_X*DROX+}?z#WK@w|Yw>W&dH4aKGj%Oo80X6q0c z{|#<`m7bd*rSv`Q(eUrH&DOLKB;IX!c@^=1xpGV^1kcB>UH83Ae_8Cft1%dX_EFp) zzFYLVTU?R)PQ0ae39~V&gk=hJdjR+H3#-TO6bI)y^ELAXvNOKMTb)j7jsExOIcpz$ z#=%_Up&=`zklnc>Mig&M6?&B9qfVYQFd`ti^--A3j#+x7AIhTt~#6( z??jZF2@N{75$+*fzr9c2vw3_b)n7(=YgTkTO?Q78Q{rIS0MET)(_p3?RFRdeYwO?0T1t|Xmf700=TqI&5R{jM`*fC}k zGvex1GWx2@s|~NKOZsbgg`-+tgj{?dLkfW6{x>~h3DEg(%c0=Yj1-*=#}`c~s5obGi! zxlj+T^{>97LY@0X-PTI%ue7eO^i4Cq3sOnvmSzW>r3%QM51=7e6@89&URzyaBE>r8 ztWm2()gW(IS1Pn>l& z6FM#YMRjz$N39u{o5e^}AOmgDOvpBdJCi|X^~GB!RO_N(8v-&)0C5X>D~*i3?n!>R z*zx+3{taN{=_5p-c9y;M`EbJ)Z+zOuGFy=-Pv_dJtq-7uXCHTNK6E~gC|X)_9J{7h zP-JZ^IJX{IKi9FeRdj63bX5n_UgwOue0l14zZs1$Xl*jg`wkmoh$9{E^`6#*0xraX zJx)EIe;f!#YDAbfxUZKh>}Zx^+m|U^Tl2Xd9UY(=i0Xh^P2aI&kMF#~zuoSN94N|9 zxSK-_H#%6mP+8A}JHQXe0kmSpaT}6oFJL>mM`%ddSzPsNGiaUKitRa%|*) z&aL)_kQqSJJ$r-B!{&yM?DM5{0VpJ!g#b3ok z1enMoiB(Vy3eG(Ow|wPDF)Xp2tGF(@^Ss6@(BoLz*~iNz>PlsLyt<5>P9||+*pS}> zoJ<~D>AC2n8N&fi06S#{x)z;mrDOje$Y+j6I;J76iG!Ei!9$3@&_Dip^nwaY$;vlq zY&TYdVEO{so6X{udA87N{ra8RtW8ef} z%dgb>Dc=ZUjwdSImse`3)goSqHpmBVQaPOST3ddQ!JK78h z11Lt5{(4nBZEo zSxhmBLs1%>qLqK`0aY7dGwg%!E$Zr{#(lcSZf3fXqL2)hDU#=}bgo)ym|+{6o5bD8 zeyHQ<4!rg8i=mH}V$Ik>WFta6_o2Fl;QSM}0S$it5C%_ZLH3%KS!Q$N1eF=J-Wz#k zvkY`j%CK+KPnYHe#t#A>n`&oXgs%R9HO6>YK>gb{GLU};=NPOWKS`d zqdNfgS#L}FKafV~K=o)`hh`+`Vb9saLLwUBS((S_fbc!Ix13c!x<>@Q1|@_nMs=_b zgo7>7Hj@r~pb#vzY4%|Ha|EA7>2-d)cN}=5G=q!w2J`Q@#W4*n zc*s{gl6B?$xm@t{?Y;O{*WLUCi`%gn9R=^Ep_~7K3J*`Hm6fBU$g z3liJfxzh#dEX;SIuVc3WiuCHmeUZ^8r;a>vuvJ>kmDy;F$V!rwk^_g|xr zsm2KLGlTX9xNbk$gv&nc+@Em_*wKr3!pfbmV_-hsVp2z&;hwa6rY)|!x_*<=S8y5j zl9AveJCQsYWgc?CXSpJ=KDrJ^B2U^FSqCUF*4LweltXXMYqhfAg(*6iG*A;Gry%g7 z4mqDP{^ce&{2Y=Y!CkKQ%T-b{@M7=^qasHws#WMtAUDaCYcer3>r--_^KA6M>R)k8 zuWKFfP0k!7A1c&3YE(cke1YdPgy268H#ms)8zfaC&)u-0CU0aAMH6oi?s4)lh340d zLXUj@W^|Seo|YBi(*^=U;vUeGU-GZEh5KI8DMg~r;FTZ{h=SGsxlW|W&Ev8c2dEK2 zc?ih}G#dEfs~qBxw+_yqzV-SaIEw2zkFc8;qOkp=KG)x)ge6m_!|7-&3f8B%py4A; zBnKhH4|~obS$$OZc+~x3Dd3rLaL*$~c1NcxN!g}4)!kq5bIQG~Dqe10vWAe5u<5IN zS`pXXfmaN%k}~6d6-ha0hh-4Yo^?bQ5Eu}hJdpNeQYs0%W*wznRw#I+1}K2b|445RUlgX?umPHyD%np zMC?eTFH{1^T9aVq8{z|%tveGwlRQG)GH&uV<(m3~gdmKp&3J?{o{xx{>O2-d!u;a z9vVbN)hgHT2Oa}l;x2zFi)mZ|=h6(Z7C+=~hOh4zL`U|V9UTKoU9DX6gJ+|%0Js3! z(h2?J)7DgrMqd=fzvR-@iln;!6)U+;<)G1vm!6VffO_+u#7T}eNw=YtCXZ7^y}vx; zm->*>umba7edONEx3erIOUjWy#b%EK+TsM{+Lp(u5M|b7O_W|kG21<mv217+(AS3$BB3 zQlf`io(Wwg;p4g9kLdR<3RP)|gKSUGz)9!Q%0r{N3aNy~4JxZiY zg8JTrx;ZcbIMggre^{45d$cUG4359~M2=N|o5RZ}3R`>@3u1h;J^Mn=n0%eKA8U2p z)bzZKgVBHe8C~0seua7Ol9{WZvi@eiSe#z{8>}KTH_&2wa=ZBEHS3X7s}HUOde-op zxEGzLp7Aj?u(~Bwl+$3>j-#mhtU$Mr5MX9YP)t5Mu#7Vu*||fn9Xc9EJ4HW^z_GD< z;@&7Oz%a+q3EJ-)Ig@ms@ZZ38*)>=czZB86U+vW^6zku5f{Xq04``l0-ygL1hkP&n z3|Q>M83qPktLCLe_|q-dMe>frSX7&+RIQq2(XA41#w-2ol^lg*?=?-9EzsUu5VCE| zf@b2971Z}-ahL^gf^H6~$~=#rkXr15Xxm>D_yG_Jzd4S-ADHqs>k5eJqD-zcE=vj} zna;Y`qVmtC9G*1KkNK`jhG?El^m3Ktl7K{4Bi>4X4!Q0TO5YX>etW_Tsr8_wn9TXG-ZL z^1Yf3<}RFsYje0z^(`%?UwHV97h_uC;zFg+#8`x8@P6L5c)*1=E;xv=3JA#F?f8Rp zG@E0IS04SNof@S?h%h;vv9WjWp>vF#B$@ljOq}?QY$OjK>_5z8VCi5b?z*lD!xq8(!G@@_rzLNS^%5@y+D7w)L-sM5V;+|+_5erKEEu0R##%+=y z76t8X&FxWR1cF4%^$t%w<0;j}3V$xJ%tzWO@s5zXGe*@Y!UN;7bXTbW=7>0lo}Sy1(vqp$vZuDX_GD6-{Kf`fK8Gy&uI{ZBtS;gJkoT z%4Cv9mY!Ad1D@Xv?&v3Haur5kcHyh4ohT-u*Tq{sv5cP?URQATMPG!v@nW=1@i#pN znn<{YiTHiM1pF4{`wOt+4+a+8M@<^CXg(bu4eFf|6u2R_(Sr|Ad;J@2i2#almTNjT z?9G3B0Q+#EnEv3R9>(heo`R+s0Hri^34z$t3snTkea^RN8Ng}uNK zGy?aOi!#y=Ug_%>vE9ff?Qt%D*USrCMM)yg>q^rXP5H4(W6fwoRO#{Tc0X4R+|MS< zP1&mO;bH0@Z;xG5Df+Q)p$7$T0TJ)7_=O(;$YpSk?Jh+metl2kW(t(cOYKy&LhUU} zg0yImM}qnUuk` zFqLv*QfO#=_VHAwrY;H|p=zB=-9jK)FzT?^kF!q=9s(}g?~$96zt*Ci3?0q@9=GAW z=@5KtMDsCfd9}m6jfprOQn~~SIy;hx+}LLj1NAw0&Ev8xGOEY81W$CI&bg{qjIQL(gvQeYjtkMIuR)EZ;}GFz*Gl^ zT-AZXwzhkM58s4N%U#4{&uw%#&jGoHu;fK^P>fvqg{bg`L48nZJ&-ce{Mu?z6ttSd zt&D44?9aQXi=f8$8rLTPZm+(*pY$Uwy%HS-ik`_#pRW1-FDpKJE$@Mm&@@O*gc05p zmC-sWJ^2-j`Fx3{gcl}i%!ZC~5dht)(0e@fz%KSjjD|#^dz6!4bKE!z+NTS{xo@eQ z*4{r!6_UPc_%@h{#S^CA%WKB#$pUOXx>_9%=ip7a$FUE5NP@aa`yWZ?;?MN|{{Jz| zDRQbUb0{l0;7XYtD++kaNxVSYL;IstNggTo@1$uw|PN?#oylPc~4fy2G z^7m9DZvV3{|4$yI0Cx|m_$Sp*3r{Im0U>H`w30pyK11|k`s8PGLM0wd0X$gpmeq8R zPV6Yrj+S*eb^jm8Pv*`loxMEda>5{E|F1f4wfY2YrCAaS3g*~ha6Wgf;YtzTm4}br zQ?C6s|7fVhO~c66NN!S$l2IMit{=35fh>+t_#9oyBEvjOzT3ipigN$A*r3LiHEsSm zCqyr2b9y19h5<9EH0^7Gr#BAcOk-X?`UbLFB-$Pmn3jToOZ=a@B|JKKIB4-l1v1Jm zeM@M-Wif?&GUE<0Xf4s8|1y#EMhhIwyr z@O-zAh+8oEJbAKAbVj9ujCS}CF1P4d4Zy+}-fuM@1kf~cP|a9VjA#8(9I!W=@CldJ zNqw3Zy5b9u;ej

#lC+|Eci6JGBk#hKT3C0D(7I$k%Bm!}uDXTPNd)t3$TB)iQ&YJ>3B;2k8jak{&YKK3^F2+xq*!e%9&)gxh2ruQ zJpMCik|*71xS@0pni$C4%aE!whvTvYof$xc4CkAMu2VkqQfd?Eizr^#)Q2n}rQ<95 z;$f>^c+8b&dbfp0_Z-RjuFo3W+6|Q!t_(l21N_SHvrSJnM(mcrU$)jRglpzpiw_lx z9oyb5-g^KFNtuM9{`%cBX*9~F9|cI_brUFd$y*`)z@0&K-S5$WS74x~LoW2=jewxZ zA+Z=g){(dz z@_522=@&A{;LbwUQ5F!_p20_uxcPo#l7{~#&eTPM+6B$aE8c0GvhEv^CK7@Z~ zf(4tIJg^}tU7Jn(=T8~%Kar!xW1bvwNI4SFq!#${hk;0H%L^BUgCN*AXy;iN1gb~; znFFK|!KIs6(ix2^hm~%v+P6;0-Y&qY;8n|GP=iqcUCj%v7l$BV84KOF=-BeW7uS=% zIm*w=vcNKxY7@7FJ~n;Kz3)2Wwmep7TG05bY=89Gt2HQOIC8A-n)~6hN%Nq<6MjH_ z%TelbrdRjvR(d@+70AJANXBTQ@z-KFOS;muVK3PqH3Jj!dVj1ZbglqVTN5-4xj+c=SzXv7<8yrE zSs&aN!#$Vxi#MLxt;`2e-@o3BYnraVvl2P7W_s@>^=n-Uy7Woig_59$8VW~ZiMwv$ zrH?OXhHx};^4x!UQ$9RANorF6tF4UgR_~I5jy1@75}3F`f~C66~}0rgE4}9?=`g^9V_yvf_AA6NK5(44kT z2LJogJACzEH(Vz@jbqs@y~M)Iu3hT6h+D+2!s6ui>pvqOOdL^@uaBS3mHiW~<(20i z!i3`^=H9#uxur22?(hr;A;3Yr%&~LeaH2_&zyXws9&j)_wz4a+n#VVE%BJaVAFNy? z92*l4L2yV#x`w9Z(!fGQ(rb86Rtt?RYcr(KHp_ww&v8Axe!jaEX5 z&bW$2tZgfssNH|}-cvq#ys9Fp`$?o&w@;9TlXorWrj8iN%&oPoMK7I-h^JP1I%qdP zGjVQNIBZMwb;;7u7>yNw<^{~bjmVLUZm>Gx)Mce+htK-g%{c*^$# zKZJ!x!cDUKnyXC2MHm zQmdl%`J-L#DdBQ8+tp;!j#=$VeQzJSsub^%f0zmkTGneb0f-yM6O?oxm*?Pm>ttm` zBU!1*{$4fMPd);D?Jz{7ljpb&`F|jRv+DJigPm8G>j^n6L8|s1t{g3=BfKUwrCvUX zC;(2*%#9Fpejy%X=4dQU-723^6pr&}T{BicDCLj`f4DjIYIA2DLC<|Ivb>1dTkt8x3!Dyo*$(4_n4!tE^ zAZ_xGtF>gdCZI9U_s}55{-y*_lj_FSBGQ9D^ZmdJ?+utClpT5pS16WN`rF0Cgo2Zi zcbR8B%m()DM9;%O-Zhp1^4yU*w0a@}63)-t!6+-^zkM?%IE?P2^(uN1csqgM#4?U% zgfz;=MlXmGYYl>Yil0CO``a(H9OwrnjiV4PoqK=`EnGrv>T~fK+UA2YSsxVjgZNAV zNQdbY3ZZ-`LiO~jXt?vn^Lq7M;r3BbG2m>HN(HCCILElx5a ziq+piI6Z1RCv3EW&XAZlbNyM6X&UjvN=oUPD`1aS2}bVZ^%wESKJ!dzXC)2U`yRx6 zP!3szt3trNj6;b;=;<$3S@TK5G!@(q(E7jM(% zd^6ZmXS=-Z8F$kJY-S6b+n#hg`)L>HLA3r?V!GR=f@t`U*g&a12phGU zQk;VcvpGf_SBC~D)XkC&!7GL8DeA!yLK;?rCU@F*rJ|N@TskBk&qxs(e=3{r4viE# z(t=g5ge~r(c@j6Begkn;XQ5aN`X*}WEOu?duoKr~FGkgZXDw%W8y2RIZdV2cH@o)q zTAB}`dd%sEvB7qk({abi@S_ob171AKaskwOWBZdJ-k?0z;j&5dUz03RWSu;b3_BCS z8KjJd1kxk;eI*AOREE`OV|AJA8hf8wy$y>3zd_3t*1D%unPyf2E!4@CM;`K_Ut!4- zw46E^+=QZofGt{6`Lq>Sh-}f8CXd9OgjaYf9me!2K6}Z!NutMa@nFH54v&j)w`;U1zCh?* zP}{S82!qI|F+u{4G>c<0*q*Vu?Z(f|uad8Ep!y|u$!FnUa zcjOpLVLLaMu|;UFGw%1?E8oe@P*3it1nrg+XH@h5n+Dw}yu}gQfo}HDwRh=0gS-ph zAW_&WK9ZKWc4dNbX4hd`zPpylq-4-o6ViW|`_gKse;S0$;RzJq-aZ6_M9aYe%dZS; zomf(Y$E(vHH`4e%`Q#UVxnu(dImhYz;$61%-V0YWLU!y&*B2-)=pNq6qy6BRqogLV zoPmkS$w5npSY+=4&h1LNPkxkn|0Xp{X*hLais+uN_&|bLJ8$*F#G(Ut>~heOa8<-u zdx|yVblonYuk^`Vde_-Pk^Z>IkV2*UjlG?6BLYy7-LX@I#?XuBhAH*UE4)1#HG!>1$}b5k9N!4yhX$VYXvcZ9PjC#%a?_YuC{+HTTi=kIj!kb@St_# zCnd2sE0(2G-%3}=03R@`AK!1U12KO|SO%Zx1n}4ZHq zE_@+4>{Nl*49S#FmW5n22{kdXzt>IXmWTfbPbF^Iov|l9tcvnCdPILk2H~SW6rJ45 z4Q2+1!%tMY6#{72iT!G>HVlyVsuz7{JDBqr*16GE^3>sCDQ`Tk4Pj_LXbxUXA4z>< z2y&M`xbbZlfMcyZ$UZ#5i!L|{1i+pq?SxRi!{@uS42Y+=%`Gf{57Zz0lND8J)r%DsnN672>hTIIW0 zaV{dwQjjm3|BJM1q3chkCInIjT){C1lk&UqCFt62NeA=O zruG|sJxbK*O|*>KlXjbC=qk%U4R6(|Dd*j(W=l1&`k21SLrp(3hf&65siQxr+3biQXUfLLTK7^>%+$%y;+Hd%YYLv5B;Fe=@<=VRmn%Mn&@t3- zy7=#fox^QEs*f4>&1T)^q3N0>7)BSZ1e8 z1{M;VKAK{1f`YvrE_UX-(LcN*7uRMudJk2Y<8Uk3_^4Hhg+=IT(*cpHFT_ow1uGZZ zjX0w~?UCsC@j9zZ>ho*4|C^XnDL*jG9Z7f=j$nnX2qCrT3R-7|>fdHbv1A8PSR+R9 z5hFxFeC~6Gd@_}QiGEw_YQ zeX{I6JtzI{27wy!EPldwiJ8QbRmGq?B={8vix-D}zFRMSdzX8zn)jY+(be*Ll$O1X zHdRV$RCaurfBf?eK~Gv3lC5`QI3cO(21teX-`&1rxwE>*&S#6O3l)?t4vnPrh?mJq zmFSJ90X7=ym8-UfZJz&SIfbax7@7+-cLER!ZfEJT**jWX^1m!op>oRp~ZDE^elcIFVct13o{iDs`?zBaOnY}bhlU4M*sD{x}a-r z5Sb6UTlztv!eK=7B&r8=lj!}%ise{fe}}LB-Uq;$ZzDf^4v@Qy>}y|hzj0Q*pjI!* z+}l@1FckhTbIaCJW!ZDm>V>Iar^-f*srjN+I9OU|_s9hD=R3)FrrP73mSC)8KZy)D zXRkl+qmxsNnE!HJGAb&%*rzsk6s9K8==(zwA^_HpYa7btvut;#Dv1W1Clz03u^2p( z{;%FlNr(?BE5vPWb;|j(P*x>>p1Tij1tPC??%3fNehd(N<;8%5P~zguP+69I&gN>- z;F#FBAd!lpLSc&- z-GxiCzRz^y4!S_R>{W9)nx(4MU*K4_!LI_+{}LY#SCE zm;YkoH13M`^>#eR5R!2!%>Avp5Ttbwd2kZHlt^P|41cK;RVZ{n}p|-!5DbFR; zuc&x&hUZb7cW;v6`#DA(u%MYRFtos_6u{Ar?Dt`&EMnS2dB_#!AS|S`y&cNP*$}=E znf5pnB`f{jn$H#!Z7vfE;Y>cskJtvM+pY-;yYevddn@J61)hm{T?<&H>A{L;o?y-8 zp|TNkuWj01wH`_jJ`2Cl6XXv*&6%EsTF?>8**Nxs62rQu4`iuUi({wIA2RjhYQB7o z{S+0E6RwQMQ7y{9<6`>YgkI^ym}z`OI(6jrU#|N=X>XgOqi;@nf^b&z8B8JrnButE z>Pp8;%i@TP50&w#?xUM>MB(jT5i<2)xqV1E{FED!mbDl0B5%4 z8C180)!f>Guef+4BrlS!k|u6z@^ZmuiT<{WMoN^)|L)Uf=+0iFULzY59jPZ-o}M& zGbrDvS~9L2YgSO!FF;B!#eDQ#oA2`5YorgBe!Yxl*m6g{U|34jD)qK2yF)@2Pb1Ga z|138(aTE)dij1y*LRn2K(YwR*epnecWby%T{ar%{&j%qAEL!)#p;9QVS6*uKsv4Mjv2-F^fBUx088t%> zb&mBR@n19hxfYp{!a)1}=V;m_fYz>r$-}{Jo<7z*Lr{6CE%WdpJqp>jby8C;V^v-X zq9st~-VeQ_mpZ)j%I2q⁢1QLW!PPUe@WhxFVDOgU%|AVfZ*klP%2xx_1t%{>dRN z$*AyZg(cE3jMfQq{};`k*FT{A%v>FY1|eZ`MSx-i4kDyM0v(e+t6ca(Kh_iR%TGJT z2neC6(zVwLO3axA%8$Ob*Tf~nLBmJa157Ot-_3vF)u(h?q-IPr7Tk;c|p)=+SqhrD0oX1#ejc3 z0}%Je_9w7BKc`cIQR_CI}CAYoP}AG7pQJSWbdogCzOdey4Jv? zSyg@xZ_9<$!?{RdTIa;g5z2ouJdt`t&jUYG*e_V!Bt<_yX*63bKn5t*q9H5>d?Pp4vbr-p| z*KLwrl868mZeD#{4J(TF9Bo=*1}U3(%0tr7Y9>7GD3mfUL~pph_3=026cF(+i#PL+ z?XZ?ijbEgEb!>Z7G#UGxlbR@p=JCC)tR|AU+0KXrfv9%NSI>Ld05$GqVLk}A{RROe@ojQBx_oy$z%mCnyfDTS4?vP5`|fr6fw z&nVi@ZII64B$w%^mpV&^wOL!qQL^Eqt=)&_zsEiLH`H9qI;O$~wAwbfEp8Ih$y#Ak z^%1&9(?BNytM=;jNV;yaJU#@~F(BX62fbHNyG-6GT-@?1TQU0i;`sBCYl(vRW+bFl zc98cR32z!P5|YME18!oR>rp6tm+OS1tkiTEe3OvscBX<2{gVFmZOsA%XCcJYBX0rA zKfl?Ln)SS@y>^IP=>P{G5W3Dtwci=0ynEDHo|@I`_I_j4oaPk+mTm(gwJ-TC@#O-i z!MoW5uWqEP8diMN{NGXYze-em?f0S{)fAcsf-Yy9RgGzzQs{Zx8ggm{Bz zpKI=m#G4c2gs7chW3Gd=eFG0b1B%sy`tS_4l{Pe)SKaQTG+=tI9U|A zdTFTxgzyZB-u*pSbxqI>7AxrlT|QWRg*ei8@skgd>Q;Ydqz3S(eEp_asa@C0NzWSivgbj>7ddtrK!* zOv+@_aD%)OYIlNIvZ4_}N)l(b;V(R|Cy`$kYp;^b7+0Kn?_rVa`*R5r&BuKHH$Ixi z0AV}5likAgw?#4y`@kqYcxviGz{iOy)^uf0c3fGH^LZHB-r+v_vFEN67I39TCOuQR z=y7eSzk9}_@z*9kGN~Lr9u5}7>ugsbXhnA`iA+Yo^JiihxwEd1F$-p(i1FF%q9}^$ z+Ie#teY-mU)51IZml!O2Pnt*PHQxGAHIS{HC}8a<7&zE9^2{I!8)Rl?(BDOg|8S$odF##e^Z%qCS~OkC zwS`~IR#z8q>irQhCcyu(*sw+98hroD>7p;M(f~B(_M__=KHP6+gpe``mXf-)&G^>Z zWw*r99_JY5e?Y6wQ4(aJ&_Kp)Vsd)eIrcxeNJK9!yW29?6%x zC};caO`+GCAc3+Sf$qo1`ZQ{(|9)_|lJyKwzr$KiinEsv02$d(XcMugiyu~s)F2&a zzSP+7E{|9936x6Kj^=fXq($5ne`d2(6odY~p5oV@sm!6bl_Xv9Eb`HhXR#jy4IXSp z+N+cZn#qPN`Aq}zIUvpo2Vh4#>WCf%S+nQ8-J3xGWEg^0`Z#ipQas2E;JDHy()&M< z-dLQxq?LT!hkL9dZ!M2%T$?j!KJHC=i+qvlPwn2mp*%pl>7n^4YnLP2L~LlYJWQ|^ zC0|{6*VyIi^F9sWL}hgD(zGQ^aY-3!wgT=l(Uop8=U#qUz&=szqWd61m(2Ia%3_3^ zL)tm(U9#U;J`lCYT%FKtrnAzQNf#adJPH7H<2Ck@s`k){1d^`3#h+KNl7WLXqP=$& za5zf;-XGgr+{*G^2BzDV3oBWSkTj4UomFI(CP`^Nm6+L~i88yam_i_n5dr+$qUC7E z5Z%A3Lb}jY`A*<2oR#$8ULIX+%}PtyiE!nx-RXnZ{UL)~zm!^?g!+Q~uG1b0YFQvm?n( z^28Kg*iLD-p=WITN|Zti-{IV{1LR@$!;0&S<@bNBVH0nL*`b12Q@ne%%eDm~3*s9w z5q4H(>MqWvw9a`1ojnAK9VCzbfh!IRz+d1bX%;9kQojGy7m_Xxf6 zBQH)W^7fc>jVHg8!xfe>y;B(L_Z5v`-byj%EGb~P*Qg)^yb>!ygxp^x5{Um0o+R2t zX7jAsDTX}G9hYJVEsl?A)?+oo$Io4*MW*?LBj7$G>7OLqJSKY&AeSA>uXCAtOi2)i zC7@E=T1~p~xKV(dNe5dapM2aQyD_>ycICf}VB@?&bt}ZaN58 z`ZXIU?KSg%xZ2t+A$YK9_<-qgUu54tV9#S~HhK4QQQi|h$TF;;>1#lCXR-z58i-za zz{sQfkVlQ(S^4q}=Z`k!VQ)N737^aPE_c_V11>T_$vB8P?38?DmD~yB?K01Mq`hjX zt5QR@xGm4-Qs$GhMnkNm?|A;9V-w2neGC$`c)F3dkb}`TSVwh8rr1QORdLjdSwUa;<{|_WfXWg$JPmNff_91=p(CQQB>lZw(7g?RGF^zh(Xe$?Y-U}XM1VNRNa?~qS4iw~a zpXqwI)6)MzHyZy6|EIDPJBp!UD&y~OTQu7u_o`WrS``Dh#xtLmRAem7n z_u=X!N$W_8>&lKEpdqoH5_15vj5TrrvQ0>f*pjc!pFae=hT#9QzLbnH$Un3;Ah5K@jpipUd`q7okKA}hkcu=y6JsOKZqi9^*`b~rb9kwW{09;lsK*p}U=&ZTo zc?P7Uy7I`=cv+aDI>(w~?UlS^QdYp1>C~^6iv}7ZEHQVdjIOu-0sInZ!h05yMLHNi z_wAV{P_vcBWNC|EybGphr$ROwUeMUpCk{TdFHYA#l*?MOx?ib^zY**}qv6BFpFb>M zhA69=hRMy6JDz)S@~s0~33-5Tkuk{r45DC>yyG3l>-MR|u;af%8@`zLUZ)okHimVv6l%{d;-F#`y6)xe$}24dXy)4N^Nqa$xTyfZ%Zd8A zN%BUp2MD=u`*Kbi7%A@K)R`&jv_`42y!3+$_gXP4s6{swAW3nU<`C5#3*FTmPtCvv zu|UF^5mDUo&5lxO>s0y00VE42$YHCl(OYsnsJGGRcybD7#LKRY{V$E&mNW?zgLtAL zfQHdMJO)0>R-f^PdK7k(ymSml`#A!y613DCu#qw zobMFx5x^f({mF|i{kx(l|C&EFO(qltCbC-94XNT_(Wo2U5ngPc4s5NHD6yeIqc5w3c9=@EO`4d+dYUl(_b-bZV8t^}C`MZf8OQ z+=a4P22W*!yC3huWm;_6j~$y8=O5kbm?2p;a6E#@s#6}=%NIQWy7#tW^UubjK&~g7 zG?Ms9qz8ni`frqYi+|a5hnJbl*{nvCw&ObwV>W0RF zsGGngHEIVT;MLXQB2K8h$~ke{zRO9H*K-K+)9na~DH~U~$zxPX06?5>CJ_L;rUu*Q ziruri@j~X`yF|bCc9ca!?2o(>=Mg zqB1ZN_qpX+UOOC6OWEHNRCgxhCK)5m70slEfTLG#xvGJ|;M4>8@d8t?DU32YDnI}~ zQ#F6%!js4P;qv+q-a=T8Tt+>*cVVd4_0q(9Wk46dy}(c;oe#D$&<3?H8&kKu-%0<= zWc1yzYd~|M5J$u0DOB-_%3glnWlEDc=Bn?FE0|L>4n2hxBfXr~faK;Ou-xLstc4TJ zw6X~%El&t|}HsGrk+P^Rq=st*8-jPEPfzwt`s4vwk=Vwij{U3P>) zn(QW;4-{gZAaFGVMb~a*KNdJpe$PIjmW-qnkA-3)OQnQ#fgn#@$HtU$JXr-`FwbagsnrnZu9lRwH37_7`W8kne6kE!IPOTu;8SW0zGP0 zs->)6?<#7<^^d3Ihc`et8QHHqjZx>5&2{wzmN+KGLa_T`g{)t6r$@P3q(4`aiRAf5 zYyckc(%af13&5ETSp-}^N@2!b`Mt-_kY$aX2nVLEKb4->$jG7+xWd)xBu@&lVB>4o{q&}UjoprseN_rQz$NJ z5DW~ErY|YX5XBB;qfup75a{99|AC&o6}ocrGKk~IQux36^*2I*vvt3>++BsyDBVOI zl~DOXJ|;c;^ZkR0(DRd_|54PgsD;qa?krkb?5hPj+)=DBlW}8@Mdcgr9;mslsi-Ur z*Q7mkV-U$3NjN=G4tEr~+n@5x3%Fyx?o*L6;pPzd`3PI_O?y$@{V1jGsMG}zm;0`C zJ^RN-rWAn+Ah%)+F&!tpz|DtJh?KbFX-?7~7!I#JqPh@ghIy6oVXKzkvt+m8JGKid zJ92>sWaUj}r(itss1*dYdMV~AiFf9^UX;?OYyKfApLl5ZiY(&Z3%XlpyWRt)+GY(N z>`n(2$tqp!D<0(<0-lZSM6<=wqO&O7 zIwG7+pGZlip;9(EpgoEekHUlXZ}#@C{{_f-E?jO8B9lKu1xZLfX~2M)!jTe2Cn+Il z{LvShApo6%JgT!MM`XYq`d4W$4xfr2!}HHme+zQhGK#0L>gc zQW!^%*+UM=(d-l6r4%wInqRFZwRds%K^gMsGI;0`nvhe1lL6{@n0VB3-6GXWiHMbl zt-C3WvH3c+`B035ow7>+!VbZL9+Z1cm}n=ycYljvN`Dn7>rqSX*#C;TVROc`o#;;D zkeA;d%?R9k*bmX8WTw6CIV2pgWuDDDX8z|hmIosuM}97-+owaS z_{un#$#W8~({2U10(11^kkZ1Nqr|N}r)?64#9v<|cMTy6S(p{i4W|G?Hyx)w zLACU0{rWYos{qAw`BMEZebeB?%N{nc5BYr{AOJ7i&ObZX{OT|2`j3EadL$T=XC7;U7jc3GXAd#2O2qQ!x_F? zaL#M|kZ7fWFE1n2&s*nFj5jsN6kUGfgI}Kwr6!;f8C7r3fqFvY1aNVdxZk!?-O(PZ zR*3)X{wg+_c)H4DKRL$5f`jzqo`bC3X_Fwu7#U;WpO!i#MZ+yfqcyi3AK-)+equ;!4J9#o!^;|-a4z@>)T^KsW@O<>orjews ziyPJ3vfdX*--1vcMBXh33ARM5n+5K6Yi>&%?sz`@^gfanl03Iev@Nq1hX?Bj{rk$4 z2LS#4GMVw5?M!#_Xj6w^mk$_B6k=(*4AR>T?1;i1z}oAS7KjBT@{j|$LkwFU{ptKE zEA6Mg`i$1DiUgC|wuL_z0GDYAfkzSo!ctwM{Fa|~X>%oo0B%D25%d{dtQCBxz4)yY zj!!N@>Nw;~rEV=R5Csa_{+Z!nDjVkniCx6xMGAol3Sa|m?JA^<1$O*goFztnqgbT` zD9^(9c*?a2&FzzqKS}g4NC7={j~CYS#QlyZ$iq(8u2U^M&wk=u;u+x~Y(;F?cPYL; z$V+ZX->reb?;QAglGTudW{?uNtz{?NI0K?AWcKUdUAM4C&fxy(ns!3!isD#xle`ZB zDBBPT#p%LFx0B*gSdNhdgML!nl9z_SSUx^vZ`lGDKuXyF2Qq4H1fX7z``k; zlQ0$j8iQj=hq z-d6I^c1etOg?p8iq0X)MVJ@t^;YuiS&`K|4?zt&6#z-NPF_t_b5xI6{?PArpTi3Kax(k$sB7 z{zzx8RKbE_H9+P(K;(YDSEJU~OZ%FeEoYJC$R$lnkI%)j%Tw|%agYUbB3HB;63k}l z!JWt2g_v80l8UjN7<8lp@~1@~arN ziG0&^4UHqQM~-L1&cOsP-RaS2M=jg(A^BPOtGlGcPc9qY-*N-amM)N(PW>xiAXX}KWF3)*S z8Kd70-}$dLY)L*gIyv+yE({3b#!soJW%_LDz3-`hQ}T(n{)1a0GuqlTg3^jCH}7sA zgvzueQ0W1$90T_r$)5(U@CmOznx*h%`y*mn_@jqM;{jlIWfkMpOCQFPVrH4h+Z>ajPc1wmzh} z65Dn3!&w9iMU~Es;>Bvm4|uKT{XG<#C0L?*xma?Qr~+Mes1n&-WGrIhVe?|3a%3{v zs!HvOZ>(L5ydH^cXA~(h{}!dpCx`me)Qz}Vz#YOMsp|0e&`5tjwSKyEM*IVaq#g-` zmT+u#DINQ_9`PkLKm#~6k;{t%@<&o*&PRlHhY4I4SxSBWNDfl61i;ezO^$pEF@9;O zdeOpiS1i;e?MP~=>_7a_nIapeC zyLZ)H(&W}XDN(2a=SP{Ju(#~iN5SK-Px(4{I1n;DG~z8i_yG6C@NVzE<8*my5U_3@ z**LFqQ~5KlvoCX}Mi|4X;KF9gF|~`4KX}voR(!lL>@ha4c~P z@-8O8e?cn4kLx}x@Pwh8*o&4^NXf@Y7}O=EXe=MZhn}J5xVM- z0nY09AIK|Wbg#b_;rYvtCa}vn8KngBx>RNzt`eH_r%)u$hiHn zhFi8C1z6;K_#iIEubq<*YxbdtFWy8urcin&l034~@73namd-d+jdMNhw$sx|h6Zj5 z+M-ra@Rt5w_2~{bRz9wTd73nA1}E(;_@m&=GG6DSd^3H(ec5iTy{>5S1E8p;{bM*i3#w14pRH&= zD(uQ?i(01op_2`*BgDp7)o6tudp-IMI+YJB(gg9*o>ua~1A#@K9|hQ?71P5Yze-

BFUJux%>){_TSe7AolMyLt2pAZ zq{Wbr;RTZcc>qV!FTBu2QBwS6{E=lU!Cog)sV(W;loPIRL;x1C^52f zPMQ*{$VQxZv854nTVr^XoLjQ%wT%WXN8Yov_^6*d;Uu>D7oa+~Zet;3K#Z)85t#^1 zL$M{8ZdAOxq^zpEAhI~U@8q+%D77mgT#2i<09y=sl=l`$q+uh@iJaS0P@EtuYVf>M z(o`q?`=X<0AV>gfFjznr&jhS_e|Rxlo*zcYG7}{qB<4+Bsh-A6RVGVH<1(s;z%KVN zR*($=VzWlF`p{8Ix-@rjcORaZCV2#5$fY>84rsLn5O`A~2DE5O)e^n@c}B|9necZ7 z{>Kg`fR}`z7qz}-2HQ{@%z4b@l3m2iucW;9`4mXOEsqy?pMD4-Iv}_FZGp@izygZ3 zHIXLYElHe-v{(Gg4PuWErg}M1NX;sAnAN-(7l{<`CwUhjW5$*I5*G zyA*g}Ie;u??0e~k$|)yNc=Nq9u;QOPyP6wYkluMqwPlPhch9>7T1#TE5G@Wjs>KJB z;st-#vU14#0+eOhn7V`5X>&<~Cr~NB_8R!pr?k+* zzY~B#b8NpAh%dDM6lYfD2L%mwzb$JAZDRH((xZcf{_McZ-(3#o(>2w#rPz^wVIb2b z?840YBMqm*`aG;=vFg`KOQ#8G9r56v*>=*|hHdUhL*2SKXa@U9F)}zSyGkvANiAExgVi7EvYrTv zAH$*;Oa^=n{8C!8V*WLIshm- zD4ARdNg?P~3uMvF?k%gRX~#1GN#`^d=*yF827{td@*{7W&rODYmu>vSmVnt$1xMc` zMgCRze(jFbqbcR{N8-~=c`*C`G++O_D&0J2^?UdrKC}!t4c^yq3@*%jUL9HTS(x(-peh(bmeORjg z;}VvimnvnYm*h*F-|RBAjEE458GW+^ANK(chQUooY~guygq_1XN_e&8v&`$#X)eOD zF?>BZ3aU6w-`bfwFqI0?Y$m@&*u;JcUEVJ3b~ z`>+%uAPq3uF3rlxksrG~rLE0Kl>JqBSF=+ih(=5AVC7c?GFoWj5wEnH5N)tHCR}99 z{y!WHDbGa~{j2Kl*K%pN?Hb^>814LHugI(ugDsiiE{o;6@yWp>CI%2I@9yYtZ0WWo zT>EQuF5d&{b#H)l;3QGC{N>tSzLfXg+qX0()2GCZy=dzE_)qJKr%dQofgh#0PR(LR z9Z$wVEVj>`4{GL<V~M^I+gZsM4UNqV{F*$Jmca3qj5gZ>^aq2YTPN*;VD+EkAL0ddl*3 zx5ZJsZnBB1gV;il#l3eL^>q~8N1Y0EA>w_PI)oRI=;b7yNlK`CrIcwf3$9(XFuXgc z8l^U1rWms3xDS}|*neJ_^bAwm*4p>&IWYxtx-~|S+zh7s#N)GC;TN_&#%7BaRKT7r zdE|jwxBq{GFKRP;A<|8Zwm(@jI%%X`IG;^8+vp{yn@Sd8iJ!PjT3)kK?r?`JbjeI0llAxYK=a%P|yv z!8}cSoMuTELIF`wWv}?Vtv(wW;%Q>tzLg2UAqac zgA0{RRJxpY7Q%q%|1?!S*!%2K2ir1>k%=88eR!&Dn+XnfO(l|lMfvOVa6g|H6wi{U zFmh_14mDLJ)TVzq9628NjFE8@niJN}X;$b~rO!J2br&!moItdoXHKzm7n7uF1)gpG zNqWBY#Kw|$DO7?bFZZjQBR;i0O^wvL?4VSjogi|w!U4~%8OIY$Sht+;xNlAlCTSYEmqT|dMsPIfObLip#zOmbjxoWQ8gX+Iz#a8D+Jhz< zNw8JuF=GBzC_LQ3&M+jOv#O$Ei%S(P32EQxIU7@NOTy2Dp+n-XXypr@7k=LwjFQuD zaC|2^9GjWQ*u^dQ#f3Og`z=VOz~JO(8cvheAPBfinScF*&8mWNE*-O7T8G=$y^fD0 z)_8u1LOkWY$9q51g|#PKxx$Hhxq{VcVUW$O) zhSN#kUi)Hbm%ZL1hx|oVD}o8+G#!tk<+8)&>msyDl1OAyBL0lUtOts!3rjFd?u6F< z9;8P|3%9CeY>Yhpa`tgudIRtq5@C&jaQT#KNvbE%!p{ww?~laS;|bfv{~uJajhWfH zE0dsG7o&Dpe>858v1lTYnnjJ)#wC7IaqPlTB3@5kL0iASzaR9nQEzntkWok{Cr zOPssvT)3vjK~u|h{aJx{@s7+eup6@IR~+m-WZkXs!017MqhQ?D)SOGke`CiiYDJ?I z%}Lyb7EP0x=}jS;)wn19DVF*>5qA#!9d5xT7{CH}yC4YTwG_%3$qyrt@wnl;T3;ep zZq*+?4V%u{CW1GwHLXQA*q6&4gKKLutC2%mOiM|PHk~wp`C*`<;h4G`V}@dSwq8Nq z;VVZ&L)l|$vUP*iLqhn#b+jX8vzay!)b_woA=cM zQs#2rcNW>5z=qDA|A%Zdo`44SuMREi-%Hryby*s}Vawl|Mk6JN0Ds)vG&gMa?!HTF za5x)n=(NF0Ctg2Qv^<@cRc&ptT0|XGNP@c%4uM+R`N>*V60~*Jv^SkmP7M^z#_a5U zq9y~#`&U!CM)2V1Qn}C1BsBTOVUfUh+N?|RrN_|+>lU~_c*P$;g%b_rG%dZdQz_hpA^sdyJiBAV^fy7coR7riVCO+QgY^uRMAw z4$aK8F9yaK!+@iwLoJzN#G|KB<+KvC`iE23xa6+@V=Odg$Ce8?#c(*kw#D*OX@z2W zUI1Ouu|Pg#$^4~kNNI!%gZt+s3IhkX6M>xO0?Yk_^;`Ago|4p*HWM7eL31)wSNq@d zqFN--xNa?L2n-Q|UP_;tqy%a{`N4-log!F`YMO&Xwr^QZ*@%u9`6xz(M%rIACuB4z zWrKL+34dp={g%G86AgnPgH8MpuCG6-AaK@kKbq{T3UO+4mjvNb3HDN%d#-tIZnluG1Q=ZqDNdnk;_^uKn&h$k>!Rs1QxvN)cg^tVdZp)K$>%#@@8k@x) z=bI)fyDdHV(we-fsnMQ}m@y!YbjPO3#>J+QSUw5tm6MK|+7<{e_JBC4B`Xbp7}me@ zN`J5M4S-cy@Ut(ORg9Lyi4)xQB76(4+k853N@#_A*Zv_*5@utDS&kP0*a}uc=Gfc{ zdYo;<`na72gQfIK(_Yk@K=-eTy-)*1bcZ(F{?0T!r$Dril1qtlZBa1Apnf{HD|r*sOqRfs&_yI*}P>=;`aCG&@ za%AJiv76(fyegsxJQ&2r{Pg!JR0}b_7a%sirezlYZ&?ov@as)b7{0a8N03vOhW(D(97e(UJSHhw(mrU()`SNcBQISnwc_3m5~>7wM< z$7$HeiW(|`aBpt0C_jl}?~_!2jwOLlw#QU&r5Zvfr}u?DXh$m>#>L7sBG@Aaf;$plTz;`rC!8OY;o zL@7MSN_W(`QOwl1(M?6P8PIQ4Uj>=qof1i2L(dm98&9_{S}y?eB~D4xLr-svNa?vq z)X?*HAI!c-BRaFWXU0Po)Mj7c*;9lSB<)I`UBc==58Lwuf6V#Zsdn9KBRc+bz1*NG zTJH53*n7`w_5Mp8-=Xj0zO1ii1u&!*ox!Irn;@Vg4Y;ldT|2dmw&r)?vKzLKYp8$g z4Qw5(M!qE(zc3UDp=J)t7E7EWMFo}`G!5t<5A!{~0(AUy2r&fuBq@XmA8ZX=E1R2e z>CYq1Q@$cCSESl|uy;AZ7!-2#3iqvQ55>@%lMrlrXZZA$n1cS>`R{zzoh;5?AEy0= z0si1&mq6kfFI!g{uqoCT*j%r#O+Ai3?<-eFK`-J*qk@E|Q~xbm8Hi{EzaH^xy(0lZvPXtHMgX0K-!ZtD+Sp9>RcAe62oMt3gI-qg~G~u&vN%C1>b? zoFbkveYBT+SyO+VqNm#pI0OHmu;ZKw)I02DNXHxREL#pXk*)B^#N6^xVEA!W zC^>{_(4Mj7D#}X|x0bbah}1eCnY9g_=4l7&|LbuWAs{P%vblZ#p`7u?n^p~DLtDU$ zW?tj@OQJa8d3Sy*dTs}${cETOdjT?!PvNSJFKC)*5;x1H7J_Ve@oAxFL}$av8x6X#fkQBzx{6TmLTytzRlP_4r|;om)UX<_XI*0Dmg zfcO(8|NA&%0I|$o?tMTwj}91J#x*rCH`Ed|tpx~7p79pcpsiKU!h5?@Jt&t^v}Wn? zf?{2Y%vpFtVLbk*rD?*O6)|cT!a#K5R6@-UxX>Glj|3h#4nPWfcm8`lL1vZ)sT9$h zj;?h#3x=c4ozWoe%sxG(%$~?bk$BLeR~`5-0FUVIBQD(puV=gcMebHLw54U*SE05? ztv^y5KUYVRL!s(nbE>wQj^zRhdlD64ZZ+N;`>oOU2P_mg2Urg>boJh z#sIe-&A}9y$mHE2&OWI-KP>kUI+1#L1o<`Y?l_F~3Csc-C8H01SkZcU%wo>d=2UVi zaLar{j+<#7fU%T(bu{bn(}DyVy_VbVsP8P8PRr2q4jFnPjm#XzEcQ_c?_QNj4vLg0 z*xD>v`3WRxw#WiH<^j$!nUF`!vcqgZl1D4l_chF=L=H+@6JUQzT=QRxG>ul!)$>k& z$57if2IW03m=tqL{BNImRp-w!3o61jv|@EU|Q(9#pZ(OMoRexHBGz z0Q|@GKxAU(wOPeU+`Xu-n$hJ*%1dq}X33hPBsK8+#eBhWKeUIrTmE`ZTBK=mW0h<8 zqJ_m=4aUUry{T(I(>07!aoSl5sOc4`z#0>0g_X|62#5mc$u(^EEcz@A-rufnZFl8J zsIwetz*=(L8oPR4?fN|#uS&v*tAM+ z%U%jPHv}{SOG|^EDD~Y%g3NwAt6m22(epLX(7!hxi7}yjA$`Su4G-;RAepu#iZ%Fy zd#xn_12|cBcyfz2YaMn&X&U~A z(?zg2x-NIZ;tgq99W}n5TAj{>z^42Ey&Za#Uz5R8w0J<@K9}drs4O%EYH=Rsaw}*# z=9iU)%loJ*Wdp!`@vQ|=(SFeNmR9VaJb6agEb9Q0&f;pV?T^UyCNpJv9?^ne?WTQ& zX223c-_A^8;0OYR;poVzY@34wVeG}>5;dl2eq&~aQHwk1I~l;Asi!3*8GEeKnZ=kh zg$=`=CZRGr9I{^jLE2+A7RO+aIvXPxE7BS{II!K0hTTHn1nI@DyOzyi%Ep|7_%> zXoJ7XzsyFvn<;iPCVq6B11fJ@2+i);obLaf)-1RZ&vSQ$%GXZU?-v?gE%N1^orjV2 zMyabS1#@CTUz%P+MuKr~@rIvyA(!LXB?%WjN8se(sQe(zcHLi@-+V19t!nEU=pLjk zlx#FAj1dTfZR}e%-@p482FtEj&1sU@yKpD|U&H*EE>gwpgh6y*f12PG- z=@-l_;V3^Kt*0;{ixuqXqvapH%KUMwYKZ`8CFq~ZaA&SM?X9DH@WX;+hN+9{3 z&DhXe$A!cEZjrj{TV`PF*?OK0Pqm4U#+A;gMv{UHMdNM#+6d&Q+@m3pb#Jav=_ll zuwMX4dcjY4<}oH7&&jD##;pU;LKD`t_fA2)nYp-HmwzqufO;(zsGhS7795}c<41S5 zy+nBu*sKol9xS)44NXWs50wdY`zwL>KoiLeM1o!Tv7zTnEm)9s@VTSLB+2hFWJ7>w zs@>k6#f4ArNSn1KqG3$Wkc+hkC-(OwZhf(9$iG}fP3-w@TX={hbQz7tInnhU?|m8K zmGmP|eNd%l+$RE&1b8vk#M$5`01N_msBbd!pSv;fjj5zC(NF*lZHrehlF^>Lr&ePD z6Ydl|O~y=LenB#4cB4_hx>cCgnTPgMWzy^e9YC~l6bcUbGbTp1O`>_FI$Z5YVw$Qj z_a3^PK$^|GZ!24uJo&8V$ud-bODX{j9uZglM~y2G+5q7%taxhXIU^&f?l`4$?Cp_l zhI^^3=CateeUr=0dk`op1htpr`*>J~Lr;ZwbmV)@qG#gWYl09Vt;~s@S_=p7pJMmr zoR)Tc&*dU?4@wFO0T{=+js+8ZurTKXu6!< zgwC+rtxIJ&x4fD~L2nMg#=FL<+#kJ)?$&AtVW~NOZmy+;l3EvpZNEWi3RbEKUvwXz zrhlYH42o?@zVzU&5c>OaLh4CCs*-a=^HbFSdOv54njRm>%aNe z0$u+caJhhbVmf)JD&UU_JF+GPd=v6Pd;AscorwPorphbSY+@Fi+*-i9GCIyr437H2 z;HkU>?BwN-n zBb{4_EvkmXa0mQuMwSQ-^4OS;OQmyx-!t@BjMd ze?DTpXbD#e>An@w*Y!FHbS;RnRly6FMlud>F#=ow!L?>}xDpp{k$UUWVI_WYVG`t; z>o79sTh4r(-LZvFp_wz4rWh0{#{Q_=r>khv>ysbOn9ct;teurt@JgQiUeMg;s@bBQ zz*v^j+ryjF4&n-{?|>BI{>^WNH@`hKKiCM4wE1g=fgT?1qK@niQ;M=*EjhnDj7TFi zc8>lBT3#4DHcB}tnrHF!D_b-lOQQM z9PGyQG@t7PQAxy*z85YJ_wS5^7vyO1Xl%%m#e;))rp|V;7pap?vd?iRSUwLb_cGK& z3n7XYm9KiwqC&76uec1K(0&FHwxu$WvP0IGw|zr-5y1`X^3T%_IPc)?BW|LbK%m4Z zex!jl-10#a>{e!Zd0OR>eUNW~wc7_3gn(CSW;YuOVz7_jH<=%8(w|UB;a-$|HrvYP zfn^2iUM$wuQDmmca+Sob;?5Y^7}->8l&ZN+@0EZ3T(Cd_d{gSb4HjSe!^{&IPbfvD z@|fAAr^vi#h=L#GHJcX{fe(;WITLV)Nt=!+GgiTRg{;uL9g~`8du(o^PlCMA^3Ad3 zg60nGa^NY!;+MvjYu z6x>c12&=GNxKX<=xPSMa1~6|j$t$UA&m(lMLutBrqs0wi)g}rdDlUvwY%f;R1xJ*t ztd+NR3$0wnf|ix9h@IVJddh8fpZb}Ye3W0AD++}U4POi5r{ zXdK;&Q$doJy75LR$RxEU({Vp~rs8Wxw%wrNZyD~p;8M)Ty#ImRq9{#BqW8lo%0Y7P2mA7oYdW?OZj^IcfFD`Zs~ga1T149iR9;0^5csa3v4-}Mxc$>jUN@K z@>D)St`GcPylU*|W4^DiZ$b7u$^i0oVOIvuB_gp%@v? znxwfcabv~(nEp;`1p^4YIQJm3SR>0pZCy0UB*a-K>~x}mL$|n-LoDD=%jK8t$?dpZ|4e-uUmKSUGU_3$uvadvn_tSpU=zm) zSEsVFfnossy>M@@Or}B2i&Qf6=6H+rio3CI)Qh4sc+fn1S|kdYWLIQ>Jr8n0H+~P?1X<{f9j$x{8S*d){3ikBZ&kI|-4G1k*N(9X(utJdDkf8s~ct z&xYafT0=CKgY0Qyzcm9PlH9I(0t$GyTbMH3$`nA10XkhKl1sF!TkXL`Z&oQ5lUGY{ z6Go@=E|G|{SsWxM8bps~=@iOtsy_2-lFz5#&BFsSEA6_2IR1M^+=`8jVx;FX#wG=t z*^S}l{VGqEgsK2T1&(dMA#g+f;{(rydmvWHA7Xnj;Z|i-4;%(=( zTHk0ztay}MyhzY;#Ppg3W`5=B9%9P)CfC*^#@jo!T6|e6(V^(P1Kb`?FDbnF>oeh9 zP3+x$1kv^z;qE9{mswg~JaJ9e_tt>p?K@s;MGy!B@RRWJEGZC+K9&qPXG<5`Vr zWr;|{=?zmEQ=#Wh1zqLCK+dTk#QL6+ROFlpte_2g*~<;dw-f_UAt(eZK{WgVv7-q7 z5Ck7(*H^RJ2MTK!%~hxQpjj_zBdFd3Uuy zt*KBJ#PKBWi5J$g1Y7YH;aJFOZsNjKz1+9Z&B|`V@^+VvP!Qn{T~vaTVCE z7FSOO&&8@N<$fxOWuj%Vqm&+?Vyop}2+pDpgj%D!{Zch2v=XA3{7u>0S>NVJB0>kZ z9?VaspE`@GG6det7Gr6d4U2%HD`F(_LH9--uN_q zJUh(=a=u?)&G^lKcIms+Yi4~dg8BIJH=J-QQ?|(XH)zBwFI$;~!{by|>ptQq0MUN` z&Z>Lz43PVFXqt4bhBI-tgw!Cx5Qe#j*%&>~d5xG`$@TU~Y@jFSt#@ddn6V z0SxZk3>pWlF~aQzHE{mW$-5C%hv)r?dLt&gKSvHyDUkBACt}|mlz2IQ{MFNnh$I*I z5^vE~R-6QVDx=aaknmIAR{>4LCs|!-IHgF5CGfIvb zWq}jt4&0f*xtGobXJ><>#*)HryD|8mYzd?_yBN@=m zb|!*4tLU0%<6=)cWc&VqpoFSGhgsRbA8wU??$oM&XoUX~doNgTg*<7kaUCL}R|PH( zZL?_l`+cCST_j_%2A+|>)a4{RRGN1b5^x8rj{^#EtO`|q8{wKEx*0Fzt;RtJeGEiMbo&PBU8`*@`N>{6(j&2&42)Dm~Vy^vq02?zc0!FPdq)*B-Dz zkperrj^?rp0K{pI1G(Qu}W9D-vMw-DISHMX6cKMbs z1R&CHmY{C!zmPN3<BC$3njebflZ zEsbPUOg6%P?wir_X4tS9r|=$az*rd?vg9r|sF3jfDr{U8CvN6eUFWB z`!lP@otV=#tJKs>uWei3CV+UQ-`!{_TYCV*G~Bi&7nO#=o(nICB3 zJ+PM9${nc~*yF>+Hr1K{UW=MeOwi6`omUwZ7sP4MhPA(UY-jcYYUZ+zVs8gI+;Ni{X#GJY~uw9?5z?n3I;OVS3GBP zlI_(~I`kOR<^Bvu)uNcMrK9#>oG`g6JvVpmC>@~_6~pQ%FQ7=L z%N^UH)H(l)UaSCLR6$o%R}oPzT~z1?&BRhc__lxZH_=O8<*f#f1krMDLYVlQ{T{MU zGE4|oEtq!0-#h&`0p$JQ&P*Yj#jVpy9xYV8LUx3Q>i@Bddh>AZ^nFN+4PB^PgB0uc z_eP8_ePj8s0`bn z7R_vY_9OD=kV~1-{LaXZTNE zZV&Y%JvLi#<@UaLyn+73PziuPCm?RL+psrZB$@|wnQLS37^5c4v{IzEIxpQKZQyZB z>u7Lnn$Qm~@XJ-8qM+m_ zi}YG)@57LKcI^YTzkd`33jPoD^ewshoqg(g(G*n~jl=d|G6L4{2~7UnigNQzFQoKVx|>HS z+*a%m+3?lArttE)*WBC@WowMxaJ{qBuPX$~ zgiCVz5ZT-MNuwFqz{5R1-F@JrxW+Jz{`6(w^8JP{d!@O|#O&!Gi5e}+R~<2*L^V?# z8XLCG0ma*JU}E6SI*s__3A3EA$lY}h&uU{3E*Y0}%kT^UM~ zJnscYXrDJerAn<)Dn4Z+A_e*frb+dnEv)D; zxgIBV$b`iOb_g&;2FdS1u9Of!&f6wt7%oGlyn3?4D1R`#A%FfSBop|prGpfFzF zBX4@q&;OsG+YM?$6Z2YjzBZUe2AwJePl0Qr(ygO5PO&};fXYTK?=-K)(0Ud9l(@ek zV4a|~v|imaecz71U7CxXPtXKsBkAe~F6ljtkgOJ_Hqy1oHES&(gBw1|QHqa;N^dv- zR#>hNt+PG$K9pIdBk)Qc4}mU>9DDCp+Y^(Qe{$`0+6g%JZe?)1h}ZamZVQ&gd9u;( zS1-Cu^oU{|T?oa?tNd3XnJjxKTpe-_U)#F)9!o{Kuqx#u;`O>^imw)brQR&7N2E3n zq8feuPI%EHwsiPgk(E!k?}v;J*B-SgVL0$I^(tS@Ab}bCe>(>i4K8CTEwqh!xA^;5 z=@Uh-zr$qHrAjJ#~NT2#Fw(u$n1`kzZ-(|#pldo_a?<1c|u&9EEF>$It zFTYR_JQ#PQpdRo*Kd6QKJPsme4)_*pfBwbrLBV<|<3&;!;VxN6Djw!%fJt5$H2g~K zD<>0B8833x3m6HiC@YiYoxJLz3}^%0rzv)%b|2dPZ$t&1E zRA`gsgvx}m*#eoVB`p=$Gp3-oUZnl(v=)xzk@BO~>>)9J|Yv@Z< zkdsOaROzhiQ47Ptbl`ifcn}&4Wq7S|>ML)0e=$$MLn?25{6Oec4%=q5f*V$@G}Vve z&7ZeF7R*>yZWl&lHeViGmuB_ETUb~SW!f9WfKX6{k9ly?-CcSpQNrI`r(7TKukSRe zXKKuas=O+LzF{VrVZiS(RY$@Jy^Ee#0z82IJB7{bmVBgXo+x8!@rvNXTQ(8m`DY9{ z2<;x^d)c@fsKkX^mVTZ=_Sq1Ke(DlW;r+lvjVq#`AW-C4SQlZfK|g2esUmPoeLJCS z6j&Mz{K6lByj8fU*RLvN3*M~@G%4~~g``4k5?G3)(A;KczHl*NW|d+Y&fY9)9f@v_ zLNAnLb1vDfCm0D32QIzB0A5EiE#PXJkqg-^MaeU?_uhuBO!YP0L%jRA^iQW$j~&fR zhTGG{MA7y7{YElaHULK4Im*Ktr06VDS$QV8ZZ+XE7+689V|iM_G`|I`5gY>rbN40k zH%>wbdBEi?b@`#fvB(5oTnH6l{#_dG8Tc1Jx6%LZbeq91Va{#iXk@JasJagXXFaP% zwBwnCUdV-%l>n!&X;7_|Yo<70xG*hPv1lR_=})n}mGv;-4*G98lMd-C$j0SojWvYy zS8?1gG%tyBJ7$VZ(Q|^KX64V{Ib80_aG`nCUO#dY=x$~nsTwJX0>(zDxZbesTNCeq zM9W~qzu}5cIvh*Rb*mlelCd2C>imzlfG@oNPq45ow{1Nrf<#dwd}X}&;dppk(q51N6A1BEp`cg^ z*Wq*>0z+d?qn8Ij(66*>T7RE6{$S{-V2;|p?1)AgG$~rV7V7FpQSo+^kf~J1xx;{5 zvnx=>f^wiseNVi&z}RPXvZhicIc5oO3zcV*$QwU)DS$4s3z=!fHQJITnxn;PD#>3vMotf`Zj=qD5zRTM*D3?MmuOVBlWGAZjxC z0}y?UpIahk>hUnFvJVgTIx`0%%l*IjrM@{N%v!2P)S8*Khq{nePRM}}=;_R1NHf;w zJ~CE?x$n!}6@EmI#l6tHn-P7Z=T7b*wj`i{xp#4He&++F4(kPxH<5MP48 z@KSHvWCon3s7C<_jAzV$FD71fZae0~?lywKZ)9 z7|HcMbE}i4J?oM?KOU7Q%GZOL`!G}@F0mSVOPhVuZNUZF%3CX`zZ3p9yfouoTEoz0q*pYh`rzk3Mf;F;p{`b-~b+yBD(n`s_t3x#P7looxEh2h( z^LBAb;KwT`Cj_R6sY{iD#U|J<1wQ5^7mJIa_-ZhSwd8qy$s1bAr0{U&6fkvEFn zWSFm6mwOsv3vpYein$k_3j}Al z8VAm5au8FC*7hPGn;O0~Zn#1gCt883SfA9@Dx&`j$YOtx9wadx?|i!A0UYSxw;OEM z4?{p+IhXihDT3dr!&Jv3`43WQnQ5Oe3N;Boy&6^!2vI#^Si$d;K>b#bTcF>o3FHqQ zbRi7V+j|3NS{yB3NfdK+NQbemwd{tK!SEo}%YoSB0qWETi_)^}>EcAhO*yi;%+j~f zZ{s|y)XLSlCrjnR)-M&m(E8<0x;&D7gM^u6j~%`5mIh``JKkt@n4Yt zR^5#o9ZU-%(A7R{WLl9IynlTiZTkuq9Ev_xTzR(!0cw_dq#$Sl?Egfxa36!F>nmgCMgJ^ojE9~(8uJoBS?t6Pi-JaFt1&pO6&nDf!a-nttE&`- z2R8H)BanAKU$5sID=k)ELP{rf02@2x`&BX-!y)QK-;KYua)_5=r5VAWS5u3!QgRbs z%SH8+uVfJWwu{}iVq*z8T^COr)dIeX%Nhr&O(IAkmrmMjw*-Yk09eN4%ky86EhFk? z`fuyuwBE1f2i^ycF#9OYKQGlF<}(T*h}BI@src18-JyUm+@EIEB1VMD+=V$)bjxB= zv`j)q3qT<(-z@r(vwk!JP)XKj-*HYsrKV~xJkD$MJw?`PnQtdLvT*WGcfkImPtX26 zp3){yzcTKqEX0Bu)P7o+Je%!l)hMqprg>EY6I)4gW+;!**HDp*6J0y+20laIqfNliA&#n5a+@YZq-SK z`aNl9-vo~KwSPqaldXiwGh;{QwA`8B{|ADczs{d`%6r#0b#k>-!6{t-mWAv1t0hF8 zOPFwdP>fW&VM(;r49ir6=c-)gUdjmpwX zkDgw+T3w2TlN=fMvu6CDSIAX>!Dg{0Qu#7rE$nNU8%CYFMYO-|af$ElDkoV`DE07o91>FQD@MbK`*`ZKJ zx*O_kzcC@NUs=99MR7#XavYcK0{?mXzusl--S5ID`=Ctp#6r}(8{ES{9*qL4wOdz2 zuP0@f24Zw4x|7j<)#+(JCP*CWPBB4I`@;@z1 z3<}`=O*&_6NVXf?K+q!O%U2UR8_7jp4KhoCrUc-NEvkebRubKtd=BU%l};I-B23W= zwcoXg&f?u@L@+nz&&`96k>qHcM!J85{$3$rI%h&aH1% zn=8-rf5g)M!RA~MeG#c5*YYXd+JfxjpY{)s;=YqddkHd{phN}>;#8)8dY}5B!rR+% zypMcE)E$tyZ$vS0^$0(4KmAk-JPGpMUQW`|kJ^skqk$=^hn!EqTocDvud=UB0RN^i zC&Qeq?v(mO>H5cIDuS+&TC^gsM*;Z413TrWSxVb+0U4(F!m3i|r*KIqmq%f=OMG|N zj(9zOw_5W4i>sChtmiw_(iXNp|F2U$;n44=#EyG|h!1cQ!Hvqg@?3!TafM!~Z z#o8Qhh>kU_v@$yWqHfYD93?MQ;BT@2-R^Wfzs_3hkuL+SnIW(qBC9!X2UeQdg^!X5 z8AgcS0ZxQTs5Q96y7p#8Z%VRgXs{_`#q7%98`H}|oJ{L-rMMg8!A=T-!4mRwttZcl zjc@cAUF?SeH`;<(v~9#|_|`OM=(#PcgCP*c*5NcCy}4|qdA;XKPl3+KfVFQsgYAyJ z)(s!uGul6NX_Xu)BWkzlh@Vo@Uo)2()9n@f;eAD z{rj5IOuo%}EL)=<%O%%p2Sf?zL=DZ1tPr0E9Ky_B(ntz5iRL;CD{H2A`TrYI2UsTi1w4}NXi^J=oWbZPETHv*0w;v(VGs|c@N~!V(a?P$ zDp0+2>wVCmQfwPB{S)SuOzrE4<4`bTV>Y+Oo5W9G5+@|v-+0gyb_OmADP^voT>LA8 z9`W0s6|LTAHx)=`ZM+mA4EHrfb*$LclENX2HUfMAf8T!NC`gM;*s=L^XFNzL0ke2< z=#yt+57JI>8vip1$S3i9L~%|8Ds@BmFD&bR8C2F|uhkSbEgHt(bhlsMopUu*gN1=4 zTQ<_(2BR0-9J*P;vi07ZrLjMG(2bW5brCn&T_%^8Q2^_%7^w}+dv*_k;JzrFwFQwB zGTHP@z94yiqbO`#2rddhR5o9(d5+x8$D>chDExRVcbN=YOu)R#IprnKK$7@1HcN$t zu{?wK1BB4TeSICyY1W@%v#0*3eX-Jd>v@6{&Q&Z0*4PziPPGIXB*O=~&yEzAZTiX+ zfj_3r>=pbrB$6|%BNPzILCB{Fn)uC@q=fBtC@pv*>A` z^nl-08V2{>+Lu%{8RrMM1gqA$n62{WdKD?XLo#%At*MH$$l&NLFnF_!sGn_CiXe+& z^I7Q2A#C|B{q@hNJF43;xHZ*_*GUQ`kDPL<4_Sl62}4gab4q@y zxdK(R1@4tLg37<_h>`!O_#@yAEn;P(2I3#XNB^%A#1dJWtJHP>x zC5C9V&awku%1T+F%vN5xc7H<?x$%n(x=e`?#oL_uY8dOef2Z5l;J#wA5}H|KL6?0q^AcS8vd9v)3;9!pZN zwxQGbV|qWG5+7f0J6;Bil?Hce?u@^ovY@xWx1lSii&EH3cTF|szovzuI>uG^;Pqbp zi+EQRFvy#Sja79Xh@mKpI7wzw@Fp14UMlq_Pl>L#(dGPD0|CvXorE$cfhJqzP8$0( zNpR~$%vC<%*eexL4+eu-fHObFw!D+O(F5D8Qm|Pjnnh~teF7L);FWo6N62h>VLy&r>!q!C_W3m#1D~+hQR4HOW%56=Zb|F%f;L> z?=GWH6uPw})1%Rdf|q`p{Rbx46UyjTu2lW}PCs1SbiyXR1QPF`RqnDsc1%nbWbb}M zBXW%I)-7w|&4c#Bs-$k0F9e)ec_gR=CTpUFC zP#JqGcZ~ZB${*5SeEy`zbORs25wr>N{IQ#2{CJ;?FY`k^LD!2em)6cP>7>9ij+M5;WNeSU17M1o%tBl!lg(oT7{n) zdkDrQZ7AgZA(oAW{EYwKz^a>R+mJ)*d8>?I6A8P`%CmN>HCt+RM|^C0iH>ZOM+>)K zZ#69(wIn=!*Oaq-sZ%{8k@9r6bSV3zELr{_YtM(6x-tKC#`QIJyI!Axd${zo_}ZhB z0GJYGFk4^KBW@XX#6NSxy`=GG>%g1DT=N+81U(&Slt}&S0c5)SpXP5A6m&1UK*)d8{6|ei%UY5klS~lN5n0sF znDRY+)#prN=&PCFk?jT!S|`Zx?%p#zUbAV{xV%f|r}vNkiz*jFU-Aown)MV^BHG+x zRu)5eM8sIj81wzD?84c9Z&YttAI{cKm+*h9>~NR|;*{WS_0|9)ycE)0lYux<*E$e> zEYHh7)BVf;hURK43$i+^MKwsg6YqBYs$~;lpep>}J<|w^o>Z}DGZ{)9E;)cFSKOOp zW{wP8#gTGeD??Q6*Sql=$@DDg=akPRX?haH(MtJ5rW?n=x#0F=nuJB6PC1ec-2mtB z-HbpL0}DtiX96Kt1PUf-3QS*GTO3Q3{Ot;F#mm{U+ULbJtx>xPR2H!sv{Z!5&zUK(R*1t9nzF5;KTWEZJ$bk&RKAEZ=}T`LsW(*xTFnl0rQQ=nLlsc5TXX z$S?{SrchIE0J0ssf9=_5$?w{ZT6X3@-86pM+9(k)ET^k7R)*!Rb>6Wil-UznKY^2+}*6 zMkUM9KUl2o$Xk9P364+T0l+4eIx@YxQD*t5F%>v{%9LojanET@cg+||$;lh#>T);} z|HsV*XI}%o4V--L=)=MZiX5a4ck4@S_h*)~*4As5Qfj(L5&a1Q#?wi6vuI}Z;mVUt zOgsOsF1DE!Fa(lUjGf1yUx6{v%wh|1bL1wEuH{(E0$h{30yMyP2KkkR4!27{ru*^!-1;?K^J`DMAt~hGE0w-a-Bh4f0^+~0bV$R{u zIrrNNoL-OI>F)!~{ZrahF*e_}AsbafIG=xKnVX!~UW@1E0mUV@4MjC8QU8ykEAeOg z|Kro#i5%5ruB;Z)*AZE}_k~#OfQGTLYK-I+Z zII1#%3q~T%<$HAb@D~E${79qw2Tc11J|*`a-Dg1ot1|uz4I(d}NJGJLt{qs2Tm9*@ z-0J&d{nBMsvGX=UYFp;P{adbMD-<6~jHa3AWFR^X37IRo%~1z*FXCvF+E>7Ei4nFW z9v2{sPa`x^w`-J#-S3$d5JnPp{R8^Wz0_@J0%}r!>ca-R|5;W>=`=}&%BY^>H!;Bi zD&LUzg(QDw)-XiBiF>cD5Xy$^kSXf34rwXqWOLSHU>9 zx`2=I&pbFHejj?>9fR##uBsi8-+xGfis+qn-8v>aJ}R_EvTV|jTT@>$=LGUGOD~|k z$1~EW3(GgINC`%Li;-}43c(W7B52|lNSg;{q~Oo!Fv#<)It&02mI* zjDxW{HSaX0DHlu-l54!gICM8HSsYKQu8?hYG!x?~2tTDpP{1HSWtm+G69pfiAz8_@ z6pk#w4HP7d1L&H4Gia+h+D;Y!z_#R|ncb(yP}lL}?Cg5b+`fLew4}riJ?-~YR{UQ* zS~;h*R;*52$+rnm^$k?3F}VW7^{@9BrXE9l<0otEtQd^(>C14*|ImmIC2fY^mJnLEeP-t9!e76 zw=C80e^M3BQ<0P13F&5ypL*7d)Hoot@ijgYibD%W5qX0Wf;Z|)%Ahl;8xIj z3L_rI9Df_xZGy7&gT-IgQ|4Lf!`?QF;{^5SZa*g#vfsa z>+X3<=Xmp;oCMG86uYguB~K|f=w)3D;J{uZ}88Lr*At%+xWuA`Tf0Yq}=HKTrem;V_~52GY~J< zR~~#`wR`H|W!^hjT1~Sjdr}_bAaS(z$K~@MIAJNPY%kup5Jue_JatJ-3tzUb(u$mf zpEL1Wch4xtJiQ<73iOY)nxKA(sEP4C8*q`OLztwI3J=qyH{n(l_ofy@Lu~C$J5k)c zn=Owsf}Nxf>md#$gVQZzU40G-GDq-xonbFD=37W|elwtVzX{clp4rulooo5$YwjMh zyomQcIT0cxaIXr6zGUwEIN?{-IdZToyeIJcpKf11i)s`v8@Q}I^z@v^9d0X*M&{t~ zJ6}C(mMgzY^3{#p*tt(QYH0}{KLmUG_0qdZkF&A1lkU{i+NVNFEOe#x0daV-w<6-E zALV~TuXR3szVI1B2SP*lM}oZ(kV*fqMj+sml*lOCxGVmsOw;&T37>MF3olvZ1*xtR z+1H58T6Ow%%qM;C@vo`)*{wAUT&^Mw;+Pf=3t0ec@2B588J5!#U2wP`;!v)>PNE=o zbl6XA2)wBcBtRp5`M+dN&1_UQztH73@Zn<~A87K@`z^G4#~JgTnq2VMq_7t}JgSGG#`yMfe?=|PT{CYB$@PQ!c z)@{evQh`=4XKET;+2>Y&%Z@Dr&&U3&k-+$N7#}HA^-l4*JIDX)%bi#d9^{cKjv9T%0Wm&ZLS7h0VPF*sZhzD7^^jI+039LGD|HKl_jtqo{}tRHrrn z(b!&DyDK;Z0pQ%=0k^h~-?;?)Mm@-y!~KC9OB9$yRws7o4)Aw{1j=AeLwdpJfCA`M zLHkjHzv#+#MQS(X@9YY%2Xb`{Ge;;@j)fXDl{oDXG1#N%B{cd-O zeD9BFi(?QML6TETdT-+-Z;DbU7MKEw!IUYC!S52-{t15j8Zw-;Z=O>?poQdnH&L8L zwg1&&l6nI%CL+^#22f*kAQQ5FYam6XH^{-;Hd|dVy>GapUK+&<@*$8GiYhW+37SLF zTJYgtxxWUYHSn4zTq8Uj7}QY5H2$D8>|kd|nvL^x!wsN?V;I2vq8bO5#_8rJGJCfP z3L)w#Kc(&8>qECNToV6)4zN6;IBusn>lcr>0Yy`GFOd#`ZY4jkwd{sMqmT^70^#O` zBRq6c5qU_44)}B-C^fd5#QqiMpe8|Fh{j<0MxSkxMcs7@9B^Ujt)y^IQV=r59JX8V z`)Svk*czLVlyiB({)~$XjSDMLUeL=)!bi`_{Dp}aZY1T=P$}cYq(sZ_uVMWU+(PR$ zlD-f~w7`qzJj)o)h~af}Zd>tI;8)P}QcdPNuGGs(C$?`Zs3&jT34=&M&!)P_rv&BJ zXl*;RJ0A%HYP&RCa;vnV!R^~n5+_mrI*8;fbvr#I$ssoax#;=%7LFwOIkRliNk|bBUK;KY z$WumKxP4u>aFi>iFD)Rk0s1cWJmpw4UkNF+SGZ{EZb#1uENb@YMecwm9XOW-ptJ*bvl zV=g7THL_`cBz;Fc0i*@o0zfA&&l$3&%7{dU*kxA9CwRZbhwIC_8$0bXc*K%n0v|s; zNBx-Gc`+a0N*FreumiJa%H&@;Y(xM;lu6$3T2=h6hQgfLH>~^$ zfN?osi=P?=1A*oTW(xr%0x7sgyd>!T2=pyW{HwegUVV=$;SmZ!tGz;_HkH#`5e!4P+CweYUKR*U;}z%4bh{!lYa zs%~C^x$tYl5`* z(TJhL-}M1({V4?n0!{)N)m^s;zA zZ=<7o@>`?VCI}%C74H)hI*a3{{if-1eewSvNY-bT5!oKPI5|99=4Q-HLbBx2wxkXLo7(%}DEu7fm~_zxUet_i2&0Rkgx^T9gSX8oWzh(E}J? zL(4XwM;-2o@#ngl5n{C_C76bvR(H)-6pUp34P$czN(PE24b{L(;DfQgvbi)*@QwJJ z)-Yd$)NBs-{=i1;CoTjVdNz{7p)&r%3z*S4W&l^d)Wdy?W26}Vt^V1KN7I|qnvr}%hkFJGCBI z29}H6S{z6(a$aJUE$?TSB(w;GUaI(Anyw$)wzBFya;QCPX8@8F%85%bo(yvMKF@n{ z9$%7eU88KRUZsM@58mf~z8MulNbxq+69ywJM#fvlhwMD&y-hP!+D*}Y&f_FI#=LS&sP6gg*{JirI9QpF;w|x?!oe&}a z>klQ9?wY3w-9aPhL~}n)gSU&E=b|{e?1N@xHY!Jg_}Z?xdk3lvs=n}TuKVh+_^4lD z>Yc2q9%2We0q7>2lxrF>ij1wnb8o-iY}(SNm750;?+W~nHhXxr+@#R{HJZv6Kq-Fm z*J=gpP#}`;I&I@z74BcQc4}D(R*pEeV&sQEf4 zvNe#@U8Zl8-*r4H{2qqhih`*Fh@QM+T;T!JJ@z{(8yX3F5K>D5ajYMeZhNw)>LqX_D~sJ*5O88vkt zz}btJ&$E;A(KTUb{0okRnUj0D5dZFacRVF$BpaI5T~tp`0Ba(yJY`GKk;-}M;#BcH zDVjdjv=Q4zmEoE${l-7=72|KD#Paf@ytZnZTkX85E?51_;*-oiZP|+8-!`ca$D0^t zHNI1*rU1vV>1IQd*=9@=cnfeo1nTJWEF}-O=$9?xJPyBFkMpaaVu}{soVEjJ^bNf8 z2t9?i>^eaeZ|Xt#2aP0ScFGMAXju>98s{@i^!bVTv&*)T=> zsth1X+cgS4!J1z*w}y$gkt?`C9i89wQpu}JW=|jbTW&uv><(D=c;zXkK@x8QQU@I| z0_Kqi)-99NSm61YbMak8IbyeZ_P-E)_LdXR9R>2?s`D3u z>qRA#AUnu~DkXVb+NI0_1Yzc+;_cWR#8(qBi9;rkz8<`60mwQI zPDMk7DYnjd0Tc<`6K-y;E_i(2&i`-tSCwArEG9UaGW_=O%9Y7iFpkK7ugX5)Br+=R z(u36RjGD78>(dQ%dd?~WpMnQxG$dYW4ES=D_HEaw`UFR#V%sG9&3#Ue7e6nduP3$|WY^H2`2C!f_seh{5_QY`#OZp>VvN1ryt2MpVYV!4giQ9J7fybtf z;5CCRDjr)gZm_V?R5)oNHrMB1$ZzM=7f(rBD=wPj#!d3wj!|VOb3BU^@(?aEp#$9G z2giXV<-{=RCG1ukP{@&8c`UlU8$S{DRi+xr&QGowbcD1-=>=e19WaQ$UJu;VZv5gS z^y+Jo1}-zGTpMM?_g?@J6QFv9(aCi9IY4gh4*IJXUZWI-$^0KGXFqOrY>Cb4z(nE#l}?)*zPye>=Ez!4^vEZ<~NX8@kw^Hmr1Tz<2r z-qH8d|3L4tJCs(0wkl)hE@rJugb9Uif8|=>=WZ9*G`0=xPc2d$>jT&R3l_x1n0OhV zIQh~g#U;a`aAVK10s)6H-hi076KMJASeLIEHS@`47eFm+>7t+CpavmvGSuwxVq9ieM8F@+_d>b}Hft?LOoqPX6 z8n9xG9EiRg-0IPxqI!WQqPO}^&d0CHYygI@6ty7I?vjm<*#)CVZ8%;CqXL|lC878;~#RU8rQqVhMk$>OdlDx>pRUC<>`T5U$ZNP(eYZN+Ix02i(d6?q< z5tem*qw$^VUy9rchjEWS2+4zIWDtmj1Z$c3wg-=g-h`fCRC*N+L{ala99UfED+LPc zl)dhxX{13PlsZ%kTfwA6@l1t|2vx87VHj|MKIjuHSB-W@A; z=IxM&lK8W`+p#dNfg1f<=}H4}JBS8&nv~Rd9v{k|K(e-u)JZvM zaL!=tdd8jrj16Nhre1frqJQfCk;b$+lMeJ0L{w9Wt-%|G=PK9+ zLuOjrMO@RBxL_{K+EcRfQ_q1d+@>-3XUCR7vN9V!Yt?&5Lwh#D&WY~@IXxb!xl+7Q zBBs!1U8N8A&aOYJP_9?xd`tWbK5y^@?l*xm$^2%ojU z6zIl?YB1D5SwtvuuhNf1ypnFS8tz^)UB;U#J&6gx=grld>^&R(-@vwe9K+wzvGx*1 zk?ZGpxx)9_u6S$LOF9t70ddRj;n?DY-n~SF3kZhmGpbaK{)2z58eeL8&SWB2dO472 z!>fAo#vBeJM^u;v5$_EPG*a8j0i<0;l2>kDmA}}1;hocupRLb&P*=2lpA|+oX6)(B zJo(pZ>##zudNVW(Z2Q_aUMBEiHg#Y^E`<8P2)FVulMbhWC$+tI41L*hqlRV&7I5>% zITQB3tTo+6%w`U6KBx37pYWcz#UJwao#a5XY=wkR(v^%D-0vk~EA(ltOxh;^SAj=P zwKVUlU_H8=U(Rzp?>a=31&&f2f?|yi^&VXRAMN-5K=P^7E;?jo$!h-D8BiRQA#mNk z&*~vh#D{dZDD|JuMa{$PXNP@zYKX5h9y=U&bmq*cRv(*6dfV)65jB>KK<B8kn z)k>$b?%Kr8)U<}lZmMrn~hr5O6;OHts+p_gS#ia|(m8;QIfNa56T zoiuQ48uy}rZOUN}VPZim!^jx8^hfsNBO<>92Bh)p%rcjZ|crT0P_a?4z;1pNV{h(n?tq`Alsk?Ha?|@8gue z-zuWIx#NTftI%ho2^1Na^QYnWwp9j4GSX$GZ!SV?6mg!i1fWzb*UZ7t-MQadU4M#- zTrs{A6r;y~&4MgzpMFxO=RzCRK&lk6f3~&1;ha+3qbR#Zc!L4CjVfB!kO+t{4g_Dy zPY`P~c-xo@nty7L1=atVpMLrjhNXF`R6ac!7~bbKPqM%Jql$GW(SOv@Y9s@pu}}(9er{?0GY9_g z8kwNC9k-gZpxeDc{k+vcV36e3zFYkx%9nqin}V4%p;L4~;IDT02Pt(df0gNX#$WU- z&~F?WQTpbAK}OkA234VYz+xPrUZ-$4W~vVGFMUwg=cHHb55(>!v-AE}9V2MfPZbnWM9baF_G6RC^tS;sW zrq9yBIQ(8|;dSz}OJV=&3v24oZ#em`p4N!s7VnKX7~o-}^LAg0U(faGWT_MVj)rn4 zBx6)ZDygY6tgNn$;SwTV(Pf+c9URP3G5XYK>M@pvxXMn%9xnQKxBxaBvzJPj!T{Jb zOx%!YJz;nE*zY4Q4^rk(Oay#xc7CA_^gkN8hM2?D#LEgD6Afe-AWb)JQ`Go%(Xm0~ zWKb8gK{bUR;F0K6R1*bY{2M{7&wac!20%YL-k&12_o_KEWHg}v~ z-wXROf_5W+DiBd9Yq{f+3rxz1pRhVEQAqpJEndqQ-c5d#D78kmbG+cgu7t`WXf08H zDYefzRX6nHknd11L(;#uV`BET&V{m^lPoX8)9!H2yRB29P zxYvlzwYX)cPHPA@sUex6K9O>+J{LO;mbS}ny)K|TRfu{ZVUpmwEvp)3>wy>et{2eH zTYhgr6e1-^>_%4^%hUltV_Nou6vLe>Cj-dCS3)g~;%+B_Tk}`QAgcVN`#>=o?dO&G zwEmW)I@ZzDP83cYU(s{pKrIwJ>up#uS|2RsEDzU=#n#xwHA41n0ba0Ty&A^7__ zDkKZuSORITe6ojQTdba~5yzi(N)Q~*^4HEb8ZAV+FsmbI<&@aoNZA|4lEA!(g{U7a z5J0_LspM@>03Tpa|6e6-!l4XUhy!9|%eQri@%Avbdz&_+N4 znV6n_=e19i6}p}Q>4R^cQUr>w!?ZrXd*LDRM(eonq`%6{uhKt`*V+2MQQ)WE-R}pk zs?jFXiD#Vyfp(B9dYw0^(oL5Pe3Bn~cQvc8BD8*{aBH?M-~8qWN~R1>@?O4hr4&Z; zLl!H?6o3IYs;L}NymO7(Cfx=0!yMaMnoj2=mj_Ks1R@Jf{3ICpFIm8;V3my0PVNLSmAU#Qp}Q&`lE zVd~#yKnBNi*hE(bVhn!?(9xl%;^PzsIU0l3h+gER*i+09&hQ?OsnKvD&UV<_wA}wR zHWp=3rX^f#`q!5Rij|v)b--{om2~T|1WdiuKq^5=P2eb$>b!cxLoCmv-zpohZKjK1 z2|7}l2zZT&Z7)ma*!Q?BAs+Ut$WG1DX&YH86vV02DZ5tNF=@2s96OP`;MuK&XOphQ z;v!dDqDZh5^3%g?1>`1ocXKfyu3uxDwUT5P*YXvqYSI{W?rF}dH3Cl zjk2oREz6U$(#C+k+kr9}$*U3#mlc}h4^mr@U;L|*9g6cWqS$wSmwT;z_dIeWI`dlk zuB1hhi~Xed81K2vQXRw_^(&SQ)RX|$9ehhk*6Fc1x(`DNDG~J`oa}#Xw=Oubg7Vqn zsMUuYmU_x7O*2Xl3Lza)b+ZA98DeqlnPOxFQ{q*=SG_bqybSL@yniRk72dQzm})f? z)Qf7PECIO{VFzNWWlwySGk|B>2UjS17>ZF%RX4M&28w%t#KYyTrS1nG| zCQVsSiS~qKz5c}f3b45!-2E@jcolNd8kF(xtT%@XACDBiQpx`1`#7)Rr~mOh$nr<^ zDjhD9ekFWf>qR1#h`#oH)~-E1-%DTt6vO!8rM3CJaIh|fUhT{B_ zvHNF(VzgZOL?o-jN^uhNllST~*w7bkz!b>-PV=CUYW9|0RQ|5Qq>-BZ?0O%wUq z8o6bRH*P!Dp+p6u*mukxqJhKJQ7bp*ShbrTqA`Td>)llTALwgJOEf+=J8ZzvkdjyA z+g&|yJv$Ex^txX=82aY@G!SGKof7`e%{9J%Ihju8);^^*d5>xPMzTiFK@0mO~^x<$2%rUt%M z*-bJUV8d>a7=uo|NjkFZee5R0iSJ8NVUCSsfYl9`N9_lsS@-euf`I%kSzfSYUzKgV z<89Gt(6~Uu71m*U0r1u^6rDHaC3UV5U!mD!X}4eHPxfzqAw{yrkfnv@J$(-KM7y;5 zQ#|aMha8xajl%5roa}(D%`;$-W?kq5P%8&;PG!BHsl7LE=zth37~k!zoCI^R#uRLX z1uTlwxeJavh`iX)4|l4ID&V6dBr}k(z_zu}uJ%e&xI=u|jJf|xY!kgnq>`6@q8abC zUU%YXOU(n3C?Tdt8BqHI9Gu+kK0v5O?%EkwqIlr!SiGEV?I2gOKQkX_3MnNigY;2t zh4kQuS2~2D(eOaD048hn)I>w3J3&8Vr|#Vi35FaoR+5XT$}qEP$R0Uy6=0dDmJ z9(4Pazhf3%%vcA*59*-6Yq{O=-O2Z`jyD$^Q)5(?#tYGbNKrr)U0m?03Nn7453!Kj z48m>MmXrYsilKx5#Fr|`fI%v8>uztoUWXsOw0-~V8Mr8Nw`|l2ClTJG?uROTN_9yH z3BZN!mIqFpoZU(_G&9#rbq7Z7$DMvYl8e7SLRG^8&;!qap(Amp=XNwtSKop_UEEXu z@T%=h5b)lS)RQL)caVQ&m*;KV;b4HZqrE!6>ZQ|`lkp*g3M~E(u9Rx*l(Vu{`MrB` zTk!SN-4p|v4fwGf98dd7-@scuQTZz_-E$BTZ%ybx`fg0_R%3O!CH{zW zALoZK#Y;UxPXa+-c&tS_vs851Q{mW%HZUq2{Sspr3gm<@w7jn&Pev)hXHF^lwf?Cb z{>^#OmyGD5RB5Y!ATfvQM_*3riPFnT8L`+v442mrKwmhx|MQJfie)Tm@TwvlE=j%p z0yg+TQ-pOZElS|~*Pt{m?IMIrAX>V01Fvp%PuB-6CY~n|>1EpfZ- zl_dPT5{H>XAvEaky?cza4H9qkqMZavs&2bVIiBu}jI4cfEhqk&H#iQICY%RHlpI4- z+gB)6ZiB9V2ykuE1X<%<&|vgeJS?y^;M%aPMr(CO3l!)U(4F7Z<1G zDIedfQ~YGWp^s8WEjC4F*_zl$Tg}tYo+mqTnI8kLji~6D66i)Jch!H9Hkm)W_#V6d zgC>gl>tRgn@gA+)M@a-LpTs@CHJ#MI#C@)%ag+ralVJy3a+K@FQvY3Q5zI~f&_C30 zU0SNt)KubW{F>QS_LMdfe?+pboJ*o^j55&K0c?ksj8mclM`6yXUC!HOtzXIj;9Kg~ z4s+A+N4NJ401CWblM_F@DMsWayic-y>~4_$6t4+1mD7jBpf+oetjI<0(zYGmyfht3>xH4|iPs9r%@n3ZxhLlr z-YC0u@oueGEF}Y+QIy@Wvd-oCBe!0)c2+P)Ga!`dk>U{6po_m-bGR0IYg?kw4izAM zoY3Y975p<0bKSYZfAXProLY5v(}3lLh4BJ}#w<%?;iVtHiMfEu${$*D-dW6#c+FpE z?YN5&`1#ZiV3Om|7Wn9aj!fbE1(q1=G#MxuYfX&bYg!|2Ue2MEW>aCw-(aOwxM<;> z5JmGs&`0vZyKL?HMB6l#ihS7C_R=l04647L0lfk7jd+dV_S71NYnn8Ky7x zJA-#hXPsqy)l>Q{x1@uo?d~yib_Vqco{IC8 z*gmX?g1YB4e0g7zm*zE>vBv{>VtOqxaHCPf?P<>6r_HCYa>8oh(Oo6VoVtK*ZImHt z>ecvM!qq5SaW-(nSCqbYw)EXMkM3mh->x1vCM|)rCoB{C#I!gy1 z_f|Z8?_O|daIb98c8c@(mal`!)H($_5I>ve@YFH8V`sa@;l%l}FIL`e4tQrgP~{>{ zt&lqqM@z+btpJPFse)I9z>e;BRv}DC*ne3U2aTY;vOk>sh4{U0@4FQE+pnXrk`#b1 zq{Ah%QF5j2lPZllLsd2T*{|ikaR&0A(&4LACyc+VRxnz}l;pY26;*pr<}}`f6sL{P z-#G)Z7bZQ<7+N~p;>XqdHJV9!SRF2Z^>pxO2OubKa9>$L$4|Y{rSUPk$LprQxEMnE z*}<1o2k5<^fyFyg%Ey$b)GMXnlm0s6$To)iPK8Ony4Ow38j?xxw`L7|!?Ey`9Dkc& zI@CJQdbDsaG~F0wnLM zLZ53(D-O^Q+P05SXC;IsYM<1^T(Yti$LrR~ME9QX7=vB@XLCQP12<1zAf<7_5uKcq694MctGhjbGuG@kcfQv}6hs(= z6LsSP0}K5q)71UMUy~0)uwsI^pk9?;eV~4#$rQqeW41a_eX>L9KDz*}-cQ(5$5I>F znfaYO+O}??a;esfp-z4gay?cfa(G*Me&-^zs@`1<_IvOG|%# zG}t_KqG<2|RQ85(h#-4rFY27Kz5)ryaL(Jels>D)m<_#BB2oI|C>X5CP^V2!vYKaY z#oF9;kw+u6=B%=j9`=M##pwt4It$r_sXZRq-&Y|pVOpeT^d3dhez$Ncjx0wFz-w0- zl!OFghmmeUJ?H3)Jb?0;*@}% zIn5s?!QHiF!cIplJmpLIS(YSeFtFXvyK$h*}9Y zvg;hDhP%c?m}QGFfWC@+?`wY1;KK(Qz4J$ka>Z^}ppW05!2SkD8x+RRN?->Xs>N~v zs3L{#RUw!Q31ByA`1h4JHaPnp(QH;G1-#CFERq%A#|HfqS)25uQtS^br&>GOvcJd- zWKj)&!*@!WfT*N{<9=c#NU-*BPGLH*P4W-;8g8f3gpJ7qkBG2nKV+WI24BefHgiZU zDugKRVjOox;pUKS+9JlFEB(o|)HsE2?#GOJCnC)WeOIB4U& z&!pQjwQqjbiIs4r@B^(HfIyq%l({cJ1?Ga!?~*#s;;vG56dR2`+|ue3lG1$gDq{MH zeLswG5F+laK3XBP-lrMRiKRu+HG@Wn6wn^2X!v*z*W(?}RDc~g5TBb=`f8Cjfj}@q zd%|lh8VtV%iW_pe5yY>Cl$~?a2-f;c9!{FthUdYUE$%k2&eI1Q%a%*Hppp)ad%@cLwqYe1-6V zR?L1ipIXrS5jYuKdh4E&y3WpS(fJTyw1B=4eHrN1;FZy-=dhn*Wnfi$J$_@^UjPYL zmD|Vxef7x9l&(mNUo>F+NYl5szZ92iUf4+w1G>9+0F4*=QAI_>}f?S)1)>MNN@G8cemex_%67a@9If^ ztT|JoLYNdd6_EIPn;nf)L@=cG9h%dgdS$g#eb$i3zVY4d@ ziq^ofFq>9yLCr<&H*9fa`-75zlnlcdd#W5`+eeOmXw@A`1@ z+HP|R3 zfBre?umW#SxegJ7v{ETT6q$*5L*E7le_Jg4G`(6(GGaVifjkZ6 z=nSK8Rf|q}gmR3V{ zo=R>1B5<$sx%@kMd-F%1C*8GLfP@|iw|VLmP6!)q^G(03(uxRt-?0|ndUPb8-Q)}) z`KfAVYzbUO-u{+SBSY99Ar%fMTlU!+TMm}13bu42#+4EDPpyV0)gIf&ruIRQ70W@Y z{a!bevBEQ>w5w{~${($sT~_<+jns1Qrik82CwAM2D4ws?zW2GoXCg4WyPGi!_yapt zO#8>C0V?=+Lv;KdZfIYgR49i-&bffM)%C}$wIwD=Us1BjV z#_m1?y4eq1|MM8iq1?RMy?Wp7Ouh4`AQE#zJITkct3^5`O8*h#wu9u!60xcukZ0aO zdPrp+1`>Jc+S%I6S1(>FWX?cp4101OX1)a0w>y94rNB`JjL-@tLW&sI$TazKx=+&C zx+}goP{da5KoJ)J93{e*dOdE_UddOad{C(n|DZO1#=WS6|h2xk()`?3|6_& z;B=gW3%*%?ul2q9OO2pp+io-S+bjfT@GWq%ersx5-f73{D`gkJNc<>jRkP<pPS?Z>87OLw~sK*Y9helz3j1IqE zbZJTasWXAwSVWfiC$*fB(^H(}kE}RzIyE0SRz+6#lIAK5(`4#Eg5xb`Q+@AB5GQ?Z z`zgcZxV5h$+xLg4GhtM7hHEX7UhS2C+10&2(3%zki3wGtH=0?@=LYzpWpak}TvR^u zz~W`tH-<~+Z0~6vVji&l{8#bPid6-OTS&+@kTcE&Fp1#*NKruAcsIXt(npj1A_Fa z@>+*P>VF_#D}5Q8jLM0!H0Hh9Q|t1OUi2Ws{>3}svH585jN`-mIew(*uIk-av+}vG za|)R?ss$G z_?E36-;DxzfzXh2RL*Gr^K`~wCgko4t|SE zPL8DQ!zJ=cdmj*Ae!@#To}!%%`Mq-eR%($6HYtAEE9B-8g?ng3Vzu`eHr)sGVJa&l ziJOBT6M}SIquLOi0YtvS+IBE4bvS>isnW0}M_ZzvMGCDF{vc%qb{^G+pIbfqK(&L(B(Sus|ZFe*4}ZS zb|@9cj$KHPAf^Bu?Ey4tDj875o{@M(DGDd5yq$Z{{tap#KQThu#9%Geai%j>8V`Z0 ziPJ`>Ui!;a>v69o#-$uYVUult6rH~h?BGWZIPin`@G`5-4mxs?BmDSO{~C7Lkoz2Q zDRm{+aCijn#G~a95z$WoDR<2B{Zb+JRQTPIX5wPng7E2h-cL^3;~#{N@T@4xp1~N1 zv+e_r6ot!X$4YQKXi@oU9rpUW^;DX_z=4!imvr%sqWG)sp-?RGEi{Fn^KnDkqw4RC zWy*C+y(W1KS~>cGPjHW|a>J1>_rIFql%g^lz%erExIui8P7$R@h0L`i zrVK2yj87M&r(GAM++R0OIFU*cTpKPIdjGUx^!m)`Zn1C_nj$hEARQ{aU3|)ot7aA( zH2idjb~FiWgX|>m_Nte{go&I1P?MS7J0Eg6Fn~0>zf;ors18Ty4KG;$bn{%80&)o_ zY==3W?m$eF?L}#982C@BiRD&V3_6(r)4^UWU^0+p1nz{)ZaBDBv+zTu+kUV0A>v*p z*GF)`LZKp!`pU*}_N0KhvYcYaCQuXJU(Yhsa#9*jje@2|uO-9-O=T`{2cZfV8r*lk{cZ-aahv~Eqkgz#t+ogfMvy5C=kvS{`jB)6X6 zcIIm~5^ha~uk^JVwd&Sg;^emZ7q7J*Kxr(6VQ4 zXUm$p9vke$C&SkhddezNaIn}X2hY+x!j>PrMx4WF&?%O;?NM969-9FQ>^5h4*j-Zl z?^Suj&WR(iTlM4p`+jYSob7&bu5OdvP!&2*YKxT##PrY=2x;00qyGaX$2g^jpj&Sw+(ZCbCt<0Bsp@X9nMKPN~M`w(oAxbtDK>zn48?Okc~M)j*;ox z@Av6{kB0}J_v`(BzFx2A!2;MN4D%_y4G-g(=s_ZJw{Yf)4%w84tG0tD0bTpYO7Cuy zZiDwvYfZrWEztw$QG-u<+{Cyn@b(gETCI-3sNmg zgFiKkb9swjFoV+N>0!Y%O}#3)>+uQ@oSsl5>@d;zTKs9|yfpjaTUv=_Q3cRRj&L4R zB450jahgnvRv3EN^{ukY*-I~6`aj}Uc(`xj#-)!S9cG$t&Q*O$j#d7JyB_81J%eU< ze;zUYBE_fssOEPd?diKQHm}&nGKEP4>q^V2Pbm@q1EH04{RG|aZ4+xi407{tD-2Za zZofIAWVA?Qu0P@NkS%bMCLZ#n6f9n}F6lRivDyNaw5)*FWQ-G%GPAc8OC~NQ7@fUd zumpy>Q=C%j=$kF@v+vDjMYmsz=Dk?UapP=Jsk<&c&V`@l%}Tys!NVQ!*R-{u((v?(K0S7+JXBK^PdO@W)|Wwoy&CDk3r8|7!Qr`sawla6Mg z&GqmlvuUF{DRG2Y$|#iwZ*l}7ehi?^Ey3P{IkmlNmNS~NT7fx##-Ym?|OR--~8`Z*6fqZ1?ou?j{u?jStv+V_wCwrYUQW@ zhQUIuw^|RE$;@vX&t*OSjjidl_%FbG-=aBVTLl#yzhc)fC{nd3xWi4{g#vnA%xU~Q z*`nyxcv3|hzvr9pTn7m%3U62b2ZF26OD38FKCYQKTe!3SH@P?Upr$|e0Fn~6^@reu zYtl0EG)1i>TOO3INm_eeE|)dmR(q-S!&fxj<%zulNrX%o9>?Tq+#b7Zk}|PtK(DJ! z0R5g~Emqw^N%j101_Di6C!akEP6|K$N+<6F*pt7*0R@$j5(-%8Zb_+?Qzz?A4u1^S zTcKT+{@*ZLE@RQ&cc!^wtM7-72Zu_SXgar1uOs>G^))lWrW(B+^0*fQ%R@?~S|clE zUspX(Z=-pnU?T=pit#{6ejqm0w;){U6&mnc7-4X++4)ks>m%eKRvp-8UU=eH1-$pW z5=9X(=x~D&Zj`qnq|GDWJ`cGXIQUHC&b{K@rK*r&`s;FWS8(aMhTC^+uOzGU`2N%! zkn2%WuMY8t@Ylw+yEk_UB4b`xK9Iv;?ZPnr*QY-R!AT)9xr;cuS938%6>o+b9OUuHG*DQr}UX8wg z*cX+Vq2TFC&Q%=ZvapMtJ6~suQT%Lijr6T4O$B|hL@`X4YgFaP3KiHpXdD1JQ?nE>1D#t28R~{xKxtE_&E$kWM-o3rvw>%?^sVmfN9 zuMh^DBBpp1RpZIJc4@X}=tPV|j88WQ7=tO+%rm_t<>QyW!~~7N-h`vOm8~kiSml2X37%84)RbmLp7y~PP~Cpujsd1QjD2<=zxz6_!2lg zC8FxFuKKA5s!HCm`^g6G8J_~ozV)V4bIr>GIEgF((5}l)P02Dtmntu*q()Sqr@T|I zvgD+BP>E*(%VxQd-9wh+6)EQ^uz6qTpu${;uS{OR<^E`sBgt+aqha3Zljt`2%oqTp z@W0}@DD2)WsgRhQx*FIU=vq*(&wQbFETp5Sd-G~P3IAz$i>zRutoDY7Jr+OUXHOD| zA4IJe?L4wk2jhbDsg!_HNr_Y?H;;%-{pRTp~mRD+6w?ak!(T@ z@~vA#WxWaR?xjDZKrFo+FNMLV@xFJmCc^|P^ua0+*O|1d_}OE}RtY9W+{@Qr1g_{q z=`f2D?-bQvli78;XO35WAI*u4uL}E3-?oO9242q3509m6o0{d0OS{QFa0%ZEt z4CT0*ft!OyVLg}AB2OF&iPZRMVM7JhMq&P{z^VZ7WbJ^#;)gVbe?WJB!+$TdK356| zOS{8JH}AY2;iJa@T+jk65U4IOgk^)X z8Y7o(`v~SC^@~mz@G2j!qzO48(EdLxnclv|;oj1g^);{0QDRefxB#A?k_wE%E^8b9 zJF*pndZ@zT)fyyqz%$Bn$CD!&Z3-rBO~Mv(Ggn=c`*%yjt!ayIB3Zf3fI+tm-e`VZx_>fb#(X1yc_%CQMXY4Be{%Y82oJj}pwZ9UJH08D6nl_N z3|@FC5W6G9zj>`EMBW5`#NjY|h{r44mcQBi*0i;!D!a_O=`XhQT|eU4@CASukL^T?ZLjvjaYab-W;HOja4Q>kE&s3|{-x`_EJJ|BHwR3b_rYZJK%~$>R7o-5>z{yxg$@Q7F8-nDdL&1cTJ-}C&uyS<@p(P zcu!;WypcE_w{!e{3302C`1I;o!;i5s-*7V;J;!;2U_x5!n*$epZYqaE2kluBPxi}B zd8LG(;@Jh~VA{W&8)$>_%A7>*mjw6FU|V^XrwV8O2C@f+_u~em%P)CA83}t*^$hjl zC|+%lD;D-g<6{YSPz~^xzNWl<7Sb<{bmMHx&t$X*YZLPN86)X6n?>FI_myC!ijc7# zt7*uVR=CJ0nR1ma3CuvpcFn)I8?~UiyV10IC1V~}D7W(>h6tO?H3-vRSw{HY^umrP z>1!u{sAC(rP}Q#W__(DW5EE@iZFJinrhW4UVU5~fH{UL-&+9jKgK-NRO}xqQk+i8q zDXm(0-E|gA;Wp~fBb}Kr+ktw|@6re^N~nM<1-GsLH_m>0f-$^beEjirC6 z2pFnSlyBqx#XR=%YSdacbrtm~W!**Csk; zpHYAJM?rM5jV}4INScG8at|cU;F(K~dBUfM9gw%7e?z11B}8{NP8&IbN+q?}D0Y?g z_2Ip`$}?3M+{0z1q0SEEj;mKh?;uT6JnEMB-5*jE4@VOIBrL>c^iIE0%Q2^ExK3>Y z5Q4g^8{VV*+pH=&5HoFx+BjV;)**GxFQo0*s{Kn4tE_v|mN$qI!_NXDlsM(@zNQAE zFN2j=jd!Sz5{Wff{+TS@MCNyE%evR3)`a;tZ_;B7F6@;r{gaxEkfMXFBH3qW04E6c z%v0>j&O;!QMquIXVjbv?ZAXjHXD1~ma*^w7v*#!KD2ppW;CzWlwD8EgsAJ2;)7^%0 z#8AXs&QfW5xX#KT>(Y^KMQwtUC;o?;Ap^wXt@Woj=qOsxEv`o&oAUhk3#y~1{r9T(h4wU4 z6PU;R7P+oKLXBFOyT8k%>e}&tqr~Y79Ta?5_X(>PuE)Z*F=jTi6*GD%T-iN#Dg0>O z2s9uL?Xg_yoBfgplv%Gr_*NcmI>ReMqUIBoa%QU$$n<3^$3RJ}D&&AbtT_Y`7&;ia zY5#f`aZ`en+xcR)z_wuXAF0{;7goAthW8^P>G;4T$RSM4EI|@1aCm5M5!jz3GC~88 z?#J2t8W*{l^Axt-kAVBnYh!e_R`Mz%w)Vd)Yu&pMr>74MBtJvUjTCo#2x*G}i|oqz4U)@W z)XOn%ti|%W#*&+MOGWG8%}VV8#7WY4?iYIfrNU-$xtiv7CacnlQp<$FgxGaH1g+Pa zfUMHLxnqqj`eH25_7ZBynwFDZ@A6jeFL05q;ztj)sU8^oN8Gu68&mli1Xv49WE9U^ zuo}N(_IaIh1ZiT|^UrRp313xG=+ts^WDcB^gDcFd~Ui)RgfXTJ;ktUeBjseI;RNIa;_I0SbKJo_t z*PD3atrXJ!fZr3SQ+zvTJn}e)(OBAfYr)pr?;7^l(Psk^*n1vWBH`LxMg!0`0$XLt z&&*_upt&;>HksM~d>REyUBL_nj5d(65^BDUHoEx#miGtIps-M|9_dfUm+kbOQ%m{# z@&G6icm5-~t)`}$xczl%m@W0IxHWPR8#& zn>|zq(Athtv#Blr79D|RZ<|61`LCu~~UGeh+2mk?#sGA2WiN{#f! z^Tn6TdC*b5rC_AqXyO)?jk{TU%p_ATGAKQsD#)d^H404cPu-$T*XPqB_SnUL=UbvT z01FS`IQ(uH#>L^)Cy{%_jr&dQdQp>U?0wAq)noTUMbB3r^re{ z>C~g@qoxZOqF1aDPjC=RPe@oS=gv)f0EwH1g~zA<=YOC_=40g9VWy|VfqQEwYyma> z2{eDJ#EfT~u-NJLA>=HJHz`n1Gm0C@;Jb3 zW&=Ldr5a5%L|C+NkuKc=xR(xjV$7~a!A3Hb)5#FP%EyP3n`_G5i{}HHszQ{wqpXzh zM6pYNW-aWIBA*NceZ(xz++gtOx9g&hpWAak5;$P)!*)x2@L`lNL8{TF-GDDZWF6zI zn3{9_oKw{kieML9F#L2pVhF`_dL|ZHM%R|JfOet%m~nX3IUp*Kx)04hN#QoT!YSq0 zUGcmW#C(Ke0>0CBf!Rk#3{67ZRC~Z0ExpI3uH;UE_B1I4V}JR!eFKPKHJbmR*@sFL z1GWJoB;NpTyG%Bk8wRDXgG$#|6)M^=^G1?1Y!qxXBlL>46m{mAC#VWz_>4Bu=XJz>bv8JkfSt;8AL8^}RgHt#b#~<{(rz z4>zAU13sIY8P@=1dV$f3)E2=vdYOZU$MQ-v&tCx?K9OuRsOa8xS`(?OEG8ByUom4A1<}ITZ$Pc79yi zXR5W)fukB`_0u~Z&zFm2YpXtTw4xvDYnr9nMwiaES&{CH)7Twbj5R3EaMcR#eKBHX zt)o)J>Lk#baoo*+VYH7!7vFAEzX@wR3ZD&*pcO@o)UGanUL0f8vZOM81MTZNbzF7o z%A=dNY|W?8IynzB%6J6i8KCfr3@1a^Rn_b1*xAP)FoqQhxczL_F!d4Sz)`zlQS&cj z*TDx86aLTSZprHo(2tiS0G%(maDZlDikRv4@~Gk6ok8JBJr5-qv*t+Tf2vNWW)q0{ zRiw|L3Nl6C0#(`v!dUmKcDNroIlHVq6&x<`yXfsSb^hJmmvIq6QnPilBq>0JzImxT zDFw+)!61JJF#pwQ&4&T*XwC9G9EDC3A<<#Iqr4~T9 z#(trjLDh0X;+7+R2jD;ab2zmQ#1OibO>NY@>Pfrc9W0X?PcA%DD{8r!rPyL!Wc*C2 zw$P#eVyAT9@H)p~YP~;E<(OCU%QY*FiNfj6c;^bAVei_*a(?ND#+7odkA>#>0WNTF zb4e)NIWQln6@8_Q&`^NfY!H>0T_t)V1_Ha!uK4&X^ULbB&rcX#+ut681r&Yknvx2S z!1C_xX1s%F4)2_70G|p)NGsu+mP)_P6h00w^|Th>w9)L(;bEf$T|XUe+iFc0{%$|X z51TySBlo%pdPJEbSs0&etK*c5x#3jN7cD-y)wMyiznSCaq_ zm{a6)jo-nWt_Hr(S^b!r(7{5hsQx86TFSPL=<%I_8O7Q;xa+qF~?O zAlZn-s0T7)Tm-Uu- z5Tl6+>WV4|Y9Z5mQt#F1JIzZ|RZnFEjQ*VMQg=GBL*?6Ds(<>v%L*6+AA$%pC~6N? zqzdkCmreTw1?&q0c650koANVILyH)}`ewbcHcg|bWs4?i`x)bAJ!-~!h;nw>e)jPQ zTCn0(3tAf=6<`b<{v*{Et*8x=DsH9Kj%3q!(m>}5AsSilGMk#Z^@|IDkc-IT@t$+4 zVB_I!8M!ay}OrxcC@F1fR#;O&| z8NTP?DyjRW^{1)n_g}+@0ueH-=92Y&M5Qe;07C<&Z^wTQkk};^X@=%=r~ z521zRuDTCuaC`$P=fv&+hpzz`+9x z(7!CVPfS}@cCcS+%cf7%EuW8XbO{tf`@b@mw3e+xF;=c1dnB)r-%U=Cm9}B5r zwC`n}izn?4dAS>#uX{g24jH&$N=OTpd$M7;4u(OcW-QNfq8;(ENt`)isVhM3=q9{r zHCot6u9jU7szmKFF(WK=13t<$n(IXT;}!Zb7!0?w)CjJT*O zC8*7*bgGo{P@m5qjxFzsOC3Ei1lpOUR;gTudA@y{Tp$m8bBTrsaf2kTm~Q1E;`XlY z#q$wB@D{bXP*R0|cYr_PBi@3^n4nZevCG0;ND&^93op(wMW|7Z&z6j*fUgg#?j`08 zk9Y%akE!tq)#oi)5iLJ4c-*qtqIJ*BL9RUwM%BU@At4JHuBp(H*RekVlR@I}V(;h9 zcD)^|dt9t@<^Me#1F*t>HIa_1YHBDksiQG~$1X;xC$M%_v0*_w1*myiS7>uq_1B7JB?LHuGT!UMo-*%Q9FK{2M8(q z6Ve62#~8_%Sg`8@veyHF&36>tgv*Ce>R3pq3@S!RJKg#%}2 z92$)NYUb5NWS6zJ8m?Yb)z|2#AC?a3&i zZ%u_mHbcBQj#EdbC9o)PBRD6~yek4agmY**51c2?yGsf&(6sxlQNHy`Qf35TzaL&) zy4xt(L}6|>L)NZ1+P13`QYRa~8>joFeo2rp^1uo$08J!A`k`KRKPIx%cG5<>OhR1+ zek|@Qj~#9&Z-HJQ)h`I#h~pee75%ud*JchWx9HF9!Z093bg^tj*-+JSNWj`4LDA6U zq9j(8j!PVtoXtYKq?`@?Yuqpbrou`qx~Ef8tT!HMBJe@&R8xOxO*$(V>v zQyaNU$~*UuuXR+5qeIcUQ~r)uX}BJS)L1)Bz-Xx0jCf}CwD@^1515u&kk?kHe1|Nz z;YvEy?@F#Jd{|ZI>!8aJ%pzo|GaUQzU=!x{-FEG;pvlYWvUzQqu>_3w`el zz@@OK(Z7~j<=#IkpR~8i&96;eqmC=~G{^LANLz|^2P|w~^-i&Vxo+_`SOvsPr@2h1 zjSQ7ZnyMZ=;*HTt3rn%qW7C4ZD0MmV)B#6*S7AMEJ^|UwjRc&unj1n-RCLFZ8Ovw_ z$LU#rfj2Sb?o3X@v$)W+Q*i|{xScSXreZ~E=DZdcf)WnIkGfY*TO1_5S|pwn`s zU!D8$w_t<Hz;yXWLos&73|h0=ceB~9lJ4Oa(yW91ZHr#QQ(n* zJY8|TwG+t`hujzYVF$o#R^7znV&46JF4^O)>4}YC^69Z~)IiW)?Sne+)$o7%jl>zG zR6BX44g{sTZ??=oM9B}>gzU!&W6%f7(W}}GM}&KuS0h)|ozWMD*Ka4LbyDtS_I5+KFddk&FfbZDK6pqIIPVyi3ZA;mKG}Gh0fJ{y4S!PN8 zBtIg5!X%`T&$XY1QJzmv% zBfCA+49>_t8u%WKWL}*0pWYgCss+mIo?qdU4)IMI^tWH!))y?JS`ROHccUhAGk@(G z^4Wy*jIMbQBM+m1`8L(F8BbOU4^A*H`Dc4)X10*$r_uHN8k!zi$42tOXTQBHQ06Tl z6y1u4`zy#hy?xcsg$pRL&&@PR8v3oRSuNwhSqay}l!}gWdU}d@>dd|H3tSkCQQ_U~ z{$`puY|C=S*;SBh#vr_3Iqf*^56%_{9D!Gv5vygjF3Dan5j%?eZPv)Jx_TJ1Jrlko zrbDwS0KjXHEW|^psTw3jt@~QZ2$B9w7;QA6Op@Bxhm8ER)V|c-P<;^5ebvY|q6E^H zhJvT>>emYv)+ua205UN+Hr=MqwZkK^A9Cc;H$)qHpIZc$I-daH5h8|`F)|A9Kdixk zHzHwjw>0^kZt-87vV<>hwAHq~w zr~x6mH(*p>Y4g=n%Rn6;d8hqPNH*lXdD*tSslcaj!wB(>dl{l|%1PmSW9#Ff;qVH8 zW<6aZV9)Me1x8`lpArDf3e}3*_VZpf8bpaR#FnmWgt>vKI_Y_qnHWrOyL;t>oqP=a`o+u5Q}trOr^7}J(T1AxGV|c> z{ZiX2w@)fb{a5CiItj>W0zEHiCJ@%HW;f)v&yNOhG62l@<&ZxdylySrSnaa)!aw&@ z>_RRzdd;j%OESGRH7HCh{QSwUgJv-DyfJ-{;2^4$2SAWh=A6{Y$u>hU97rpV zifykxAF#o~pEtI)vmWGQrE^B+Y=r4%zzs~5)=l#7zf`3F+P2mN=gV%ZPOkcYh;+so z0Hd^H{fh0d7|1N5q!IYh!fQ=Dld1Qvi_^#ZxMD>g;&moAuXn?-{?88*ZotM|`u(sy zp4KQ-q0eTjh07-c;Ok3Bmf_NbVbYe{z&Szz5;^kw-I#Pz8sIDJH_p2dPn{~7q56MN znF=7)!f~=8a2~s#fUSsKeJrr_J%mYClI5*A= zI0gS~+KKZmt3_7~Ud~>dF-j~ll_be9HR1u*L&qCr54QQgndZ| z%)>b|36kMrh@Ikf8CaEdlfg)9NxAOC10SqYnOuud!I}~H@Dr*_eKy@C;~e#AR=XZB zfKD>FcU0SizOlbRenouTFD&sqaf=jpNmI=-O+ul^?LK>%7$Eo8;j8w$fQnsw{0_mU z^G@+ZNUkB;tseY+HHu1TQ zrezuP;we+R|0^fQI6e)8TE>_U>WnLgoCY+898!KyyH{7V*$2t8m^h*D6d~17RP~wY zFDnUq4!5i9s?5PMjQ9gPy>_$S@F-w2S%wa&wQ#l%dT6Wf36cpBFSAt4a=aPa1q{PR z!v3wB=yb$bK;aY>w7+ARwFw5-@=ggDDRCmt%LLDhq$qlTJk)FEt8}APe>pw5_ozgf z?}~TA?E=vJ?{BM`Eb-JA=!ox^{1Nw41Wn!^i!0zs{z!%1zTTHh7rK9x=AaA-Ox1|+ zL3Tq@*Q^eHHn$%|9^fs8Py?>XTBU&V2FEpmK7X@C$hyQOZ2rNin+Y=VU3|#Rfe75w zW#S#1wSNSRfDp=c=7~+4%V$z>gBYBHq_$wg*;G;#G0iM^?7~Alv~17a0y0~CX`}8! z(}A=&?M4RkOx4c@MvOWsb=|4J<#{ELks)Q`MXLdYpwVr=L zlq!#oG5;nE`f27ud@B;i%io1T0{kkQhF9+pe|N|_+k^qDB~?dpnLNis`YlTMxe0D< zkeb+txME}3guqT0^Bu9@#zkXXjuIc++8B`8jqOPv_k#pl@O^$nx;=nRQvcfP zFrEQpFT6kfVzs{VOP-1F?8hMjrfV6~EWiu7;t{{%WYc#M7iWGzn0=q1d>y9gkoz@E zA$y)|4lrlJP;t%R3joa?ka~|xUh9-!tM!p}7bQoa7|9>2;LM6F_}5E8ITo)Z0CDyB zJ|(yZiFhxl?B-nXs-9$r-Q znRNDmub!2JFfC``$Mu8D6FQWvk|R%c2SWFB%H7Jp$9U@IF#Rh&{~*2iyZR`ebujp> zPTH9*N|Vbpyr3NlMXz;*rPt1d<1=b{`FwhTJdHmgX4ut%4_WMho~SepdJEY;4%bNC zrN^*%RNdKy`)7j{Yr5s^DOhVSb{VgZlp0~p@*gA3zjKU^NjwE_=2E0wWv6H^_m;Gk ze8nkAzDx})wU7*;Yb%B;VLt~;9&G0HO0nMc5?8N*bsu*RN#*rY?=iD& zvgR43mARD!r6kCba*R16&$`lrhRBy;Y!!a%`Wry?1%41Ooe|&UF)Ui`wdsDLad`KL z#MchSozQu2RwC5nqR~ObAdzzDfQWK-g!MXa0FxhDqb1&hY^b*{ni3*efcNZ_Ib({68+9im0cT~NY^E&?; zIy69)*YiFabuLITiB@ex^2~guw-1)ob!08K=_cqmc_FB|yRsH(9!+m4U?2Cu(B4HAZkyx~%2Rgi#xJYdB8r;L8f|0ddC zVWvk^q==zFxS&*=IRH#f7&4PvqsxB50o$w023jDmF_W_MpTE7>n&pJ&w&c6K)c83u z*IHHeSVJ_bxDE{956uKTam?0OD0*#BXVa6ix1Kuc$J|LYZ}bNIrgyoUiy-2Dez{+? zw#@(=qmwC-o1>bps?M1=a6_m+p$PX^b+*G4d7cq^5Q`w6HZapCpJF$*e#Lo7E?E}GuCVEAVpQ|KA?17_o2O-WV=S1|%oZops1qqpdLjcF zFd-mfQ1it<1mNq-948D$jqKA7G#3$srt9y2kNFr5xs6Xxr$!|qAeAy+-KhQbtFw*& z;P4iQt-_dk#ho>ZgXzqZJmC8)`S6S8$hPeIFpxHEA%X<988|aNrEVv|n-Db0r@A*H(wK5y%c^RRdCfmw?=S=iP zXDvY1iUlH()n*!f3E&g?Eh0?pPxc!fB z+3c=p8M-!pvU<@bsDr2B`<$e3o8RtOR(sWazXW#K8jG8m6wTJFS}=2aATBlb2$y!! z>YX>`dKTDr^{#C-Xy(xNk(ptg{Hqro+O;G+=Z9r^&yiDGE?7i45 zZZ{K4*5!olm6WMPmNO*0g~Jd! z+?NNor@{ZGMH-Bdcn7ol`Vi0na-N+{0K|kii*vO-Hfg+Cu`yN=t4?S&1pT3fVYm?S zUU9aOf&6@E4-#oGSUhp*>?z}dd)g<4r2?Gi6ot$^P?8p$G5^#3ai3rCceI1`-tKl9 zZ$%~+*(b;fu;Q2RD}D_>ISjy6UoXWCMSCgJh_W|kv-e-DkRwwa6{@RwbG$=xu|-(@WsHOzweLv$bO z-FLAGcT}9t10GYG%L%rTr~&E&qVh)bfbdag3Mep%nKml?p%6=m21ln*j|jYdtzLk;0tC3kBjK-WY7=~ zc_DZA>?{lLJ<@vj?}4l0)WLgq%6PsaQn>ZORsNZdh1T~`6;g!^`incy_l;|M(2@G= zBNNm&JMq+37~z+V+?2(PCla3aH4}w};O{@LEPZvdY9Svt9A4C4`Eq7y?95n~5lICu z%Q5mtM>guX!8 zTA#KTAbAsP(6;|ny;3_3<-q~+NAowXs-94a2cb(ouemJn?LQ_Vud`XQ4q1j@YJyIx z4>M1UR9=35Laz63`M9qh$yoOQym}|=aW4B0CKj~)hLG^oA=V9r#8+#VW(diQL>(`gzlNQ$kCnSL|ne5v0{2saAB<$uthxE;z=f6}csvv|ypM z;Hm1cjLIFoo(i>o+Z~D*iMb1zt)gKK-NUguKMAg%S?K_AbZkGOa0hxypiP}(|FR5r z5+jV7cT)43y88OFDk$ssTXSK#>x4`h-`XGk%U`vWdJ{!+^WWb*7%bna#*i0e=0*fn=Eq=Aa9 z`p|w-M4y>&^Kx*VhC?PNWcf3Ru)gN7*vP}nlY+6bPfKf74dWB-vJ74WVjYHxP_I_v zXmI5wFR_d&2e!l+W(se_>YRJVZx%{gVcugKZKZo1JODRRWtA%J>rfP(?jff zr-dw47+fFmq%nUP^iZpur0&7O4G~}Oy^g<652mx=8c4SyC4s~R^QnSuZ4pXnMXF_0 zYx)l0M#35!`{Zy7rF_wRt_Aeda0pBp{S?3N%@Z!&J@I5}5MbSM77eE@#URy?&0Fbx zdK+GGc+;p!@;F;}LnDSa^3Sd8DO25MaS*p^N`Q_acX!w}y?j2reZW$+iL1{eM6#?h z)R4A^biDU1ve1h(&jV$M1+M~e<-?ru?cSH6tZK`u-dPVr{v%B^IMDT51Q5-M*dlBF zulpsL5<6XxFMecYIYqnBW=D>LUN)6u}xDa?8H4B<){CZYl!y&mAE%`HMkUBTugvi=IACYx!TUz0%^Hec{#P0e?vF4 zEMsF|^R>^wUl-9)fCOsYrctFjInvriZb_I`Lm>|At;9WDDS0kdH;gLz#swm-nqiJO zOFVKr^Du-haU#<>O^2-GF(xb`Pj5}_DUl~A^F9aOjTLXdot6uj2%2?Qu^FA1O1*z( z%S&km4p^9{@k2Cz2(nOvIzsHqdbwrfMIc5=)^2gk35pqwr(zVGVZv)81<_C-!;G^( zIAyqQThxAYQg4z};^WnlQNtGm8+{%gQn^3epPUj=1P08(GjlGv&lA1cG%UJ#2v#k? zpE7Z%>5LonXFw2bk>zG~&~D-LFC%JqL^uwvRz_+D86He2y!xO&H|1^-UV<`V1;3ma z14s%SkCUWbvMVn<0Q3)sDk6aTEe1_+4+_=!D)P@=dv6aa&gNXoNZaA4i2%6eT!E{o zWg3fQKU3kLRB`+ZfKqk!Y{d**c3y|7A)cmvDuot%RJ%MkvrUKHP##cx&sAz`QuD*Z zmU$?oK2f<=F83C|rs{ejUH6X~zi4sFuCARh{OO%;^SGBn9j7qD@uSUpK@kI2TxA@L zt;;T4xm6HyfB^+F(28@dvVXy;04?F4*j5sFPBihlGYe?!(_V!KqrE%8=t$P+3P!M{ z30d(0=X?~HnvEW1eL%0AJ*UPuWY?)^(euM>?dq-);B|2*YmuWOWWk(#ak{@=XV3FC zd)?+u<9kIjyvk}}@(a|4!bd}+E`G_k19EMN(#=F)6l?2cN;9HOw`J;uGyqSb1oJ+c z%g)6x`Ylk$Qi>Fc_G?VLR~6z2I5k9D6v`RF@qZN=x$djo&=*ez`Nf&qlByFK^IIuj zX<+L6@uUA40w4M?Y=lV|K>HlLQ8QvgWhPT*mcuo%>K=G(B(2E&4JRc+a;4c7=bWKs zDku;Wr#fA4oiA~`RnM0!fAzF%_)RCI= zmW^5?Bxzi)k^b^d2AM!@S~tE-=0(mQ+RqMtqCdO$W5>$FT!z9C4*0TGnhe}8TcaLa znQuoC2cuzCcwx7nI!+m*x+&jg_yzcR82N)l`^Sf-BShkk%{@o0D=K)r!h;QnECa@> zyz{;=zrg>`Im4A98zWHloD56a^3lEcX5emFc`C3-Rwda-bYawp^8#nKchNkZv#a<{ z)yxe-PBs62JMFtY^OWSf|K!^h`D_dK5a*xk3d*GK;O1hdUn!)7`wG%T z15Y*p&oO7_RCkNL<33;P_%kFfzAQgy`?&C`MNlaM zviKuf;brDgum=kvNh`XNlXhlDO0^D)`94;!nxYMsBS-8Nz6kCI*fpaZ|LX zCI9zWW9&TY1bG4;=e%w9-MPorv(G|Bh8r{_n?-}JTgyE@EC409O!~pbKbrSc00)*6 z1-L3>_*YgY+e`^bs}3*9lV;2q?#flf$IrrkWVm^-(CtO`+e{jw;WQ6nHY-ufcv}p_ z!}I|BnIC7!L8~IVxmx1MLm~KxXSO`}8eq(cWwL4=Q@Bmr`|mNao$@ZULQzf}V7_ zTN*8KrPh7_4Mzc~w!tg`s1%d1Tk?}5^zDK3r&L;Vm%^L&fiHOTZw{yXe+ZiZFR$k3 zit*>T*vSTf*%B}2tg!aFaXnDLiQQ6=qh?4AbRM28%C z>VKESN{O=RGX2(@AIsL;v8V`E{`VTMBxRl(SLXq!BJcEO`Ub}mXh{7w(@T87I$`(e zQ}0|?WFI!NT-q;+-vJ-C+Z7cxnKJ6=$M)RZ$eGwTcEj@{Sz!@u&GILiP`-9136`dG zNhxLgxk8M`iMsS5gWjUVb0v7Mn}duEC55j)&=*{vgiAhR>5+)`gq1a!E0R^O`QRk! zO0TGjOh_4EZN%IO>Wh00DJDkal^iERQ%&*wXpUzqU+=!zn}y1d>yIg^@$CzhD9EDB zqIm}dF7`I8Hf~HvXP!a|EfYJQA`#Q3J~rkz{#EOw?F;>&G&6?-EWrw-jpi>Szq}8W z5aG^1Ce{R&QXpE}8+pW;6q{nEUy$>2V`gmkHL}zbM`4cKZBL<{OUNQ=os~76PjGPC zMGqY10SJ9+KcmK!!xh|2ZZDcMK`O+hLV@oMl!kj7L>pg^dwVRPfE?g)V+vMKA4tqkDem?Wg;GZJ>H8es){%-2F6+HLJvR9Oh)~kG%*pLVlx;pUY4a zI-1#~W>D!QUyXyFpp@~PpkOUZ^;%C3q**W^^*6qb@%cPHg$Rax(4_1gaAA+l`-*pH z7&$wo0z6u+*ag`#9xxTcU3WqA`R$+55myQ1mWqK~bY$umOu(Kl+Q8+|^qA_$SehaF zVUTS33MD>yuz7Q8dII)2P1j3Wdp{YM7~apwyQfY?uZZ0&xHxygy9WKvM)m^({#<)|qe4+>bIh;G+3j)6*JUW__dj6We zBlCC!X9Abi;G^*%Ys&n!IU<0ui6z*`D*vCO^Khj4|G)S(vJyq=%C)*8GuyqmW|?*E zk@dC87WZad$%;zX#kIMvy?1s-lwJ0o$;Gv0hwAft`~3;`zSeo4=kxhEwhN7ci7Pdgw%Phn!=Awv z8J(0XRc)m24aTVHe0SqgZHEvl>-gD+3M9obqgr&&=RdTQvzC+Kd?v4|6zJIja`juA z#IzQ!44sjj?`T?m4P7pkJws`$D@J%^z}hF)HOabE;JKOTmcpsRNaZjr;{g*wxVvfn z#uc9Z1MCUnkw`iYN%xGTP^E=<^eFNzuRC}0)!uF?qQdBl`zm}ijGFbDbzHVRrKvP} zJj51T!!tXG_*`>g8=_=a8+ddUNYt!Rx%Zk&1$%|el&y#oHX_Ngg?Sl~ORM3pW;lG~ zVqH>Z`+GYXL*yAvpDf(`Fj@06vqC6s%_7YAnL4Z5_EI(1+cZ4<6Du(>A_MO1b~z}_JqU)A+O7%Jn<3t^tuAmr zVbILyfl2S}G17rg^JnkRUzt^f1Ig1!ozOq#TYFE$tgl=eY+PDY2h#$T`KI_=HfC@{ zvstpdOPix_flxK4aW!Qb&AJABF!+2T?U2I^LKCp1U0?S&>52;9(Eyb3xcG;@GN5B9 zmaoO=gycdUxf4hs@JfE&RBO%+{8#v{!bGQ6y92>k?Qtq^&-ifxxsV;P}yzA52HBUz>m(H@)<0v`3aaFU?DZ5MV1z4c4u-xf>vbXvT+|@hr0i3TBZ?t^} z%3v2FkhD0=R8q#Ve7L8oB3b%NBb?0UVSS~WV#5&hMD0-6n}M(rKOn;-PPb%Y-6;r6^&kv6u#)hfRH9A1=<{!!v97$to{qoKo zm%i42ASy+gp{9ZR5E86cq4BTqclYgyYtKZ97+{1l%Xx^s$1~%;x8b7#gw^i$O(ycO z^skHd6}%g)*|{UqOT)5&R(grq(BvZ%2Io5FrlCFzTtz1;auA}@hiVqJ95CC_uFO4O>g+K@ga5lMZ{?I-iLUh*o z`ML4qH;vXmA+g9HgzI!1a2Jh>KXc#dyQktARO}l$e|wcG zW0MRHz)$zWsOkZLsB8*QU_Gy^CJ_HtKc)?%@F)oYa|Cc5uQWJ}Ugww8hAdGAk~239 zKE7%+jfcU9;C(wfN?V-$yA_2H9BFvCY$z_N=M~1(Be3g;NuKsA_C~iV=cZOjAL9&^ zHm>)SO@5ajfN%qYJ=WP#g^#g5RE5yGhxWp})@KkF@6nbZ0S>Mb2aV;OaWM*Z@PKlF z{fvmE0N?RnH?d@JMf$k-w=l^$O}#H^zgDg5DPA6Zt{r>B42t#o$yxWG;Mf z0P$S3R#w+%NX6B$$$CW32vEs>yEsjwsb2-ZKz$%zQa)hAj&Nly6~ctuSBQTMZ`o)G zNOJXS%tn?Sai{VmI>OqyK1aanSKS@F!L;n;shdX_mWkfHMAm!Plw2$rfT0(Fk!e-v=4JNI$zbg(?LW& zDw&@*zC+Pr*x;L;vOn;WJ5dbE{*wO;JAa)pX+4j90N=2Y$2;Y^g#bl@*nyZHSU%4V zyFjd;XE$cKU^Z;t^JZuR_q<}fsw{Z{}K_j^s@Yq&v4$v)O`g8~{;mMw*`VPzFhHBw_=Oc6G3CfA`p% zK#0yyCg84E+`zsRfCEwkZ&0-d48WXo>6#?U5+LEdaJK(#Q_`!y6k-Am!B5x@2lp{t zpD@z@)6I*9n$CV?QF^|@BILZnq|Tz08&%YQz}r2G&+2s7WxBa)sh!{ zYj2TwTZkivxDseOYq8>U$yA8E_oE@Bhg)d(xIp{X8qY7`FLg1hr<|Z4( zqetTlp_maPGmSJPt(tb<#k3;EBqE+q>i)_~^g^K1f1q-kV?o&?#SIMrCUYDoctzDp zOg?mrYasWVZ>F%oe{UClR-x8XQzrTk{hxJn{G57-A%LNO~HuC*SX$1l3gm?f)Fkte=#{ATuc**k~h8a z3SXUH0Te+d&u5xc!){YE8-&2QX0leOYAY3)eplYn+T$P)e3l?9_F-oGTf45eK1se| z3@|zkJB6_B=vaCx*(h9`WUt>EM|z3V(0V3Ha;JpHwey^GS+D}4=6qj68`kk3NO4rY znD%M|UYn{SsI;eg-Z)8&3Cv4|aCS~;YP_S=_Q)+|B&YS~__jQ;@6w*$rA4UPc+vhe z%lf1U!tC#9YZu`Rs$aw9u&k4Xe@d&Bo}p8LO7HN6z^~(iGq+(%IOmms`cKsp)m)=* zP+DsQjzSp*QBSi}AqjGfYb*t~m=*=?YQejzpu~VyVfIn6x?}q@mkef$kc5H=m`$AmcW3AgupTxtJ=am-lft zLIqGZ0^oJ+zk(50L+IgDlr3GYxaMhWyA%PflWH+gVfNBw*W6I6FT4GeJX*JKqv~rM z0dj6w%814VZ6TuSh5*^io1la?ID06o`e*AK0RQso;e8$WFRYL`EoH$|SG_v!vBawx zs(I5&Lr=|BHZV1@1u$n+Mfhlhr?~Q-XLs*cyJP|zY>zRTfLBh`rJlD7RRmzK%Ynm* zxRVMijb1WMg6uZAs3Iae#XEj{%pCo&Ia(qDJ>;sPk0!RZmUv15YWKFMm$uTsqg^k| z{>DH6q!l^L*p59dHmKP-E_t$j%~=dyurq{sVrEDq?^HCPHqV7wI@&Tq=w7xsvOzpS zg#SSDsT?vZ-DV0;#W~Ln6aQ>k_qOGUq`(KHf_YF<`V&zPLl3c7GHgZvHsZiFe$CWg z8CY}iVyZEolWetv4_il3+Ez*bN$h&RWd`pueytQ zEhDTy4X9lnE)A6GR+IxJO7)wUF&$UvW5jGF!C!42Q=2TN!1;trjEyV zZOvjan6bxLK6VCG(8@WvKv-^f-Qu1a{SYU*r(CeNT|ntS(7%!~jSAgiKp^}FDzIeV z+R{OpgbYdw4=z~ks1nn?=#<~*QK;d>o7Q1P?liE4Sh_)}4TCJ66*94PUwhMn?@7P8 z=U_0C&?EaiC!R^qeEKwCyQnbZiQG$blN%JDF=Z!JyiTaU?+VvPPQsQ5-f0<< z7@&a0Cf5wy0h8x{6YFDXn5XhJ0Ti)l{E+M69fdchyed?o?Rz?%@n3hTLgS)q6Yv%> z-{1FQrSRe@_RNp{`f}j_9wvm2ohLX(C%GV(j~eoewz4?C0|A$Q^bWXLR^vhh_E;27 zigZ}}G=mCp^B0(@l!+mgN2tOzY8*4wIY4}pL>WVv{?fB$e$BdPR}Kar9C+ncj-^o+ z!`+<_-lOrp|X!?m-D)s(6fViBbdOw_P&lG zRhvg=NrhR>p|+^T{%R7@KPwa}AEIA9c%wRQ-ag+~~wE&GL0L2RU#x z8xoha5?vA)Z=9jLIN#XAzFg;vAI@(b0c7tJ^T_#WkwBu>TA3iVB-W8ka4LUw?kP_! zwP3BcW1s4KTL;Hd%}&vx3CQUv0+S@J8#NtmYq22E3B{t zAL;OkR%Z1+8C^pRFc))fV>F$JYW!boCi;BbGPO+~$@~JdpSF&PQLIla{ zw`3H0E{S6fg4`4=?N9hrvM4(&X)}}Ie}sH`Sn+dwW?JPZ$$Df=;>& zXx{$QnVBAH;y0c?4ZOXU_fY1frjCMuNEya}xjqqp$`)%@ghqvkpNKJPl``b2vgh>8 z|3FG`wzog7;ubdQEA1?;>8ThGV>Nj$hBwPp*R|TAMY*JVo4qmLkx2An)~kA$;?dH? zy%LocAhYhc=q``27nxfY^Sr$CeM=H8doKwF1E%;61jH=QxCrr0zTnQN&CXE3bsH+b z_B^lHou#p(eZ#!pYV^~cQ(f;OQ2YT=!Kai?O%ngxAU3E=y5d$_L>z~MkU921s-yU> zicVZia$$8&FBgFLJlA!Rq#OlB(QOdXJ<`qMs4@-ti>XjPOj)H&QA+ez5vJY7jpLHg zXLYgU;O{6JdD9mQm^%VN*REO)Nf+^guK?wTwvUWrqwJxKUh|=r1^Lwpi7As-u~MO7 zdY&%%x+r=^+7}kOO&pg~X3tjX(%<>odY__I_@EC4dZ}ObLKj<@+;YbzzpfZ^V^%*L z%pe5Sl6UIh0*4 z9B@koOyFw&>-L%6+E_=6T&kB008B@YR=w*O+K^1I>s;j+v7H&clHNanUMDFa+2unV z+z`ZbLK>~Tn@W&G%{#6v-C0CnJ)DZ4vA?$!_r^LN8cMx*{>=#hzm@7vBR3)^KsQ?7 z4;i&{mWX~hTw=6)f2^#k*80xOBJ;n|Q#3b~Gqn%E*$G{~mSn5aLyZFmn$i_i9N+JA zk9c=%<2`*IRWiI|+ZVgwO>mqvfueGS5!b90ER*IKzCsH{@xm1!CvuytXf+gYzV&YJ zHIqz%xYyD&VSakW8}XwBV5K)C>Ct*@Au#H+Hn09ii=>{XQsQ#m zbH74V@X3Fm58we_221K!(;M?q+_7x;YM#RR)o8@8Vgi9N55XKOGLI8%EjNA#s`ahO zRrswBUreHR{6;3Gz6^ZJkbx+E&6x9a{b5cpaHd8dL0$?}TzfeDEWC)llCArkE6gs8 z1{}i7>4nxGV50gI_Fa;ONt67v&)Tx&j{V&HE{CJt!JqaUKkB`KXdI@zRZJ*x!uW^m z#hb*sI~$E?0=H}4aIHH#`uClJjFg;nZs1j^=OB>3+n3{Cd#0RE#Lk6uYf%#=!qfI0 z#2iMP9R%HpA%V-KsWx)fD{|jzV1vvyb%ZhGx|`w46!&gY^VDSK>RXD0_{No8IKbdp zt3q7i)gb_^#5TbeQfQclmka(_X5)6((U zluR8$S|aVzo4fBsO~#Hoo|~mtkKPBcZqn&m|7sDM!`4lGo8D(>zQ}-oKEjPx36+Y5 z;zQ8SuFacJK&e3fl;s@+p5!ewP!%6-*%+rI$@XUVt`U!X;45nx74N4tc3sl@iF$03 zBhf5*;WrPY2Hh+d7fhLam^ zE(JPlXe;T1zkUW#1I>68>>LR9@)NvlB)B7R@s;m%EuVeo&sHzC;#lDhcMViJU0i$6 z&8aB%*y>o(C~PIuHID9)#-=4=bM_N8u!Sx-mj>leHw@ANf|9r*tBsqP=CdB5Sf+r! zKP9UFfnuC0+@#BMImWG5yf6To>iX9-m$sa$==Or+K1K3T-qD?Dz*vK<9I;Cu^N9us zt5E!QU^dH#cE4Z(2#%k;pa9}IDm?>-KYoO8H39y$ z?pv{<-T6Am(rGD02b+%7Z0tmYUEH3@N=#cosq6AX0YA=7|7jBO82_paco3&9G{Xe( z{F(qTsndsT0Zc`lxNe(A-UA%%^iy4n_%J${dyHp7Ix`=Oo=xpJJtGfJYBE7-k%M47 zmuxHrtSeYH=;M7pVpFBqshPsV1;Qads9JY?^nhY1HWwj37CK~E$9aiJ&aF|e$RtC(?HLPiMyk~L~#)~4I4J)A6{1y)*nI!Pw?TBb$hL%u)X^^VH# ztYN|&m0!m1ZM7Fd9Z~)eM}p&pX`uV12Bl^sHgT%#UV#g@+H1kP;U%A@w)J@ZQ|a(j*+Rku1V+{II@W+2z^OCvBnKwmusbs^@ zLD~P?0Qcjd}0kiYhq&?adTV#?7 z9R%uwtj|7n;`Ias(lFA}T?_GpNEn$Yhz`a3gM#MQ3bm>(b>_xfP9y_fJYYb>tWZOd z%tJl|7DyqE{S6J3=VH^)ZzCE|a55D5pftqm8Ac}a9QdC6aebuZ0BZSIJh&Tz9rwsK zCh1j(+?fb`!vb4Nwf6Zw0f>?uLiKONH3t;Rbz_h>U?Gn32E%c zINZ#X@;rMlJQsr;S0Y_bY=uL(T3)Wj?lE?*NB|f(|Bo)~7%bFQ`=_oK{b^y1mpP+t zT1{j(- z!011>c=W8d{iFw@&*&Cm)YpfApUNyN_#hnjvXxUn3h&s@Vid>1E6f<=xzmY9Nny_3 zR>iZ%OG^Y}#-gFPF*r09eN--5-dW53gD-T9$M6%A@|%?-fcBzOm3c`T($VTuc(;Wm zkU&O86ul%quACO_ay`>i6%_^)g)%uRdLdEEhKWY<{_i?LVf-V(FOe&xc+;E&7((-Z z|ADOSGv;ni)Kg4VEKB?z`#>=UrN%d-fcEq3r!|)f(_=z1pl^_VQ%EwD;DvFDvr*H` zU+cBt(d?hYdFf@Bl<`pqGm!A|z${9pP*Q}3HKjt6(Qk7svE`qPE64YuA#eS$NNge7 za5XUnKnpvC#*{xqf*r&3VC>8FYb~BwA7DqHq>P=~KA;k)vv`*>tVyI8`kuwD^XoI}W3`;*=!s`3dM5l|WuraJt4nODq1H01FJ zK}pS=1QGiF7|bhwCT=XnMrONl;kQOlKxCd9pvq8EM9rIjn3F5@H7(o8>9)k~7cPMYqE2bz3Etq(Pozz=2iD=xrcdgMe&vMc5Nc@|AB%*tT^W{O_wvf zdXFcpt7pFhhu|gmLNy1W!ZLnpG~ZBtKgsHE|1XP8j7`?MWYkRwo|l8bwSR0-1&JPH zAW~s`y9EV?9nnuq5BhtA&(J#q&lWc%BCqyQ+d_OO3u^C@9F3id``ox7%uOMDch>lE z*2>=V%)*+g5uozxNTy{j#)ZE}ej{~%EBr%AjKL6?iK4G<^tRs@ zyn*>A{@v$C7WiCrm{!*Vy%s542tXOV0XRz|=b(CkB!)qU>wkPkgVa0N8*SQ6$`*L8 z@hcihpE_D1>jFPQn(-)Hv=y17L$pf}$1o>f%uiKewzVc)!<57b=-K z6pkMMkO9?!V%+U--#0hVeEv*$)mbhn7^!=e(&` z(W+aJ_)1$vDL?IHxu%FVjqeWQW8bpM=#DwiwCN~}Ptj0jA} znWFhG6&B1tHo+Hq5N~xfI>3r?mI!I61MoI{v3&KX-yA&#|KsxR-~Si`|Fyb(bxU3Z z5~tr!oQfd>P6~8Fh^<~Ut_|0sKIV3xF{)An{*=<|v%n4mtbC(<-K3k9OkH%f11Vqy_DH<76JjllDP3jkDOv@HhVxpUpN4lNdLyY8LFU z3j?OoWzxrNvVcU1$%|`zrX6H~!(u;%gE9G8K0|~{g_o+pP4_np=;JKx-(9Wa!eI(M z_cbC09p>F7eE}E}7a~~lWa+2GK5i-Rovr}<2PZ4@k`)Rf>`%!TC0D4ZNcZp>y9{-& zRykjVwn@hDF^>c7Vwt8lfhw(ERN%SuWhtCaEZ;s1LDB+j#zHX^3IHA}omyv$|1{f)O~3wbR( zyrYs!FIl|-<@1V6e5Clgt|6NefHUm^0K+1?#-+uhH(mj2X+f7prb^tC<(91ssMfZg zO=`BWkK)LhewY}XFHI%F`v+H@*Z>phooHHgGPNyfZZh{J9UvE>RG;|?Ow2`<570H< zstSL5T&2d1x2cj_A0UHVcX>NL25pqdv3Sv!07J|Z7hI1^2u#5lk7~Ko!5vGP76*C9 z<2!01Dze(&NbJYO%k~H_*jmzTcB}SRM=uu)F_62$&3J_4MoYku>vP#-feLT^iO5u7 zL1irQ!5P|(ob5#8rK!~0`GW=T7F2BlhQK8|*DS5N9&Kv8Ih6F5{zs@%&=ixIu~2z#ta}a)H8sAi`9#=UaORl;#!mKk1#BbqS+9 zzx#3_Qbc)-;oG5V=|5feCd%)#u*d9c<<~FmYTj6up2@6dJS&8$RMElCuh|<$%t=}9 z20x&M!A4qUaB{o_K!lRySOsdpYo#sEm_g#~d}O{mg9h6cmsv1Bp9vJ7eZm@ViO;wD zSkWBQv^Y0=`bldce;J2ZhHI&8M9=JOS&DrDo3sxV9p?d|zBk6vLBcyBxqI(8z~8GZ9#D1$MGPX=k?Zm|-YAR<&VMENGMPWuaPq6w z8x4a~*k)^l@<89&J1Z~Q1Fhq=8bj~-%Llh3kFhLZff(WCvZ^K(YodYjKRKxs`qT6J zi)0aRD0S#`++=R7gqqo2XeKBYjAb~{z9@q3!>`^utl zjis-znKZxc0D&A?R-W{rgWG;;pJfHpZ~JN+_EgZ&Axi3S5tKh^d~qnWjy%O@(I0p7 zzsyEo_Roh7$DFw|qp)E#8bXv=OR@n_FuCxcl09(b6GElEdkM&sCshdcd(m|x(FV0I z#w*^G{0z%z!NUgOT;o3EU2^=8jQ6gSXIUk$SA=?8ru*ShL33dEo`f2GM+q#9C5D`^)1XF*t3fc ziBJ($`MCG(QT#Sxed z?jPr9sahq@sv@c_EFbpq@Zz?^6qeH*ZCilx!P&&qN0%trAg5?ADwgkou^{P6c7Z+0 z`J>8fJLuuxPj9hHj5D>IAU5_63zlxLbu&8{xlNCKH>am~r`E|B1mrx(`OJ#9I2Ci= zPr_}#oge&!d!)>>@K=bg;=7Y1RY%YN`ac?aCAB_TP5^wS$@AB;rYc{r5_8*vHopq4 zEX9Q$Vy50KjBJ>P+n3NlV*|OrWY!kLR^Rn$kx~*#O;}$TUQfe*a$Wh#qb$$S!n@irR&40)5h+NkeaRO&Tw=veQ+VKbn>7jPI>{hFPE zd^NVCL4uFssC4*y3HiZ6IL?2-N5fJoN&DkCQw6&Uc;)Dy&s{jL$$Q(+$ZKvvBeFnA z>YM?I0;8kneGtX3KBv5(4IL`CTazgPv%cU6`4ZpEx!*R~l<1VzY$Y#rn5#V#g zvNk9ZGla@cHlvdbrgjOVcwg4GRsM*ad*gJ2bc!cCUCtZl<0m_HXGZ4Z5yu+C$w1TI z`SWk)Q5(0j5!wRSllJob_(7iJK3kMlpA4yu?3?t7H|?&RttU??K;ytS@5d$1#bUoU(6p8$338?(;&d!!^V*{EgSZx((`C>QVl4q zkDiYrzCsyq7tD`ue&z8F{R7(?@IP_W@N0eO!@gu2N0h&VYS^RtxWeoX&>w_DN+`A( zcz&TUhB`zi0qP@iE60cDGR7g=y(D&if(oo}<9viu?$$Q&Oss_V7u#wHkB1MrI>zN< ze`;u|o|$1MlbnLO?+V?gg-$sSDtG4#8QjD>l45C%H2R_eqgI`U8jAy!pgO`BaKyUw zsArF6J}{=gK2r!wTq5zE$~edV9%7U?xb5>C4ph#^&-*@zgYCrwz}pIjhhb zZ-3)Zfex(*%WFJPYA(9ltZc|pG9>XZl}W@KzNZoH`;9!&;*1OcALk|h?c>%dA4z}sE56q6 zkC2)i)t1F{T*_Sne5>ITX2q76!4i=PVA!g7(d*P0# zfwU($o-qEFBe>kkdPL;?u-s2$$I!%3YT{oE5I88=l=l>P2Ue_FEZ;A*x1XW(NM@u= z6cGhmnu~rFl`*Y*{=y;w`-8hu12H7L_s?hfZ$Djb>Cg+n`IwE6w3X#zo^QgvVDP=k6l2yn zpq?%?;@k=7%=5FIgBhxPGr`qQT&!r6_cw@_a6dt;R$;91_jKqUQRt;FA39dkV0yf3 zt4N}KwL1270$V@POJ(Tsh4M_{`F~A)QwJ}>9 zR2EMw%BrrVv_o)pb!YFO&-jk|PI4p~Vv3=h1GZE4r<}1_PS{_9xJ`4ap`%hWwaXd` zQ0#UkYHxL8PL>lMg1+p}ANEy>3THH|->2o<$AR*N79*Msqt-i`8NUfL`YA^U^{SBX zwbjb>BYJN2!DjU)bMJ$Akz?EPcj`*2h1G$}WdCUc-g|EUcsbtNWZ@Ji@6a0X;0e<& z0dOB5@#tr4)6mv{Ax(uG6m&`rxe*(X7k5&;n}-Tgsx>!dYGg;qlk#DqxDf1desk3c zu$j&`#vQr-iZdS-d?bO08mi!qO3`wr{k%}TSAG*rI+v^-tHQ@sSX5l!K+yxHhMX?P zEi-D!okEF=1+^Ae9nJjx9C*?5QDyt}J2URGTAbQzHTY&xg-qb~Nac(@5}gLREuKnV zp^l6GtAL(t@wa(kH0o^X@m<@l8T5CXV8s6APm@TGqC>-E#j-m6Gf=f?`wENNY0uW< z6$eNax2*r(xM6EgH7|9}3V{Rzd^NZ3B_GvhA`?=`V;*Q{o;=Ua_~PE%z0+x*VzuhK zB7s2vDeVCUnd`t1IrzM42RTkn4yD~ehMtc%JXc^5o9wVRqb}a1SB#>zYqDuo?cuMr zIcTisfz8h)klYUx=>?@rueOCYLd z{Fnml<0C?uwXliuU(S(G5YzlFiD7iOX_;!xuyG?9B#ZPvT0w6i4?B8Zg`sg0quE`Z z1r@x2WyN>WqpIaw+-vguc=!I~DIc(V^)<0r#>_pZc)gzfPCNkUW9zYI*7NSo*CkQN zdHx6TUnX!$4y{Js3$LWbVhnsEr?XhVs~X$!2jt3wyn|SZ2oBA9Pzkd4y@Iovy?q|` zie2bjp-pYh^FBT{gx1dQ%V^5xOyE6zc29DbFJl+!<)V`$09sigFfK9d+fURX=$)aI z#wajzvY(BDVNI2YHq0TeTk4V59`F*}cl)nVVJ za=AqAA$wS?)?mO7(P1 zy03}%L_Ak-LU+6LnJ4Y!ULF)BtyFqPMRY782yJ9(qo5{dTY6n%f>c=HM$VZ-=%PKX z)f>4`LCp*?wno^U0q4cZ+p!0Kk;5=rt_cpV;D}}{(-rl@I}q>wSoEH8r6}NkIj@{- zy9`**y!oFnP!>4nWzT-OkVM74>-K!nd6hOqYKRJi{vU$Y&tVcjx=vJYM*ASbLZe~? zzzX41y}~%v6%$eRG(J;PQfTB*RX(V3P>x@U0Qf~YQ>s_*=0Z55EU!*wm(4{h?BOk4 zIAUN_KV-1O%R2zR?{sTG!bzk+%)etu-|hXxk>K69%x|-jS~KxOcK>6uNfsuzeLv0S zt9|b1CkZtEe#Sloqz4IyNgE4WdG_?Fwbg5rg~;Su%an;i58c>#koP4n+V#lnN>qFj z`YQ`F`1~K+`q(GfN`*zFsgp{TT#yE|fWJ}e7(?zXA2X!Vj zIt;)G*E%WZe^Cqyr@P%uDHH`(wjMZ-5o&S$4Uf6L0vXD!+I7d^K0?(QJ*klTxad{e z)mP2?wN>P;0iBw8w$bs>CBC!Nc}*}3x=&N2>*~+b0E6vmmtN%A3<4;ElbcCyF6^PU z5e-+^dvtz`y?WTb9qT0znMyUh^=f2#k!9EC7AC<=RV~r9TmJO8RPsUE)mOQzM`iz{ zJ!`K}fQ$G@1$z8MO)D<{<-}C=_~_0h*4g;)#|moLl_<{GPnU;lQ__6*V&?exIE#PL zf9Y>P<=xZqT|XePdk7SN=&=;IOh~cql!G>4O7q$ohzx|&LNVkha&q?y@{7AanP9t| z^6emF2Jy=@G96F{qvyZEJ9t>9a4zMyzoR5@@>8*X@6^R%JhtRQ+SzjWDSf%Uu9tBC#~J^Y4wSuwtEL)Xz_I!Y@^EkEJK0^y{62RZoVCc5Y6QQ7n*SKzy# zJ5^ICDZH0G3kTbjYQRa^+^ZcwdUMgLp|Yi&(fgIK^mh?$m~KJ!@S^F<%Kkc4 zc=dI{8=!Ntu!3xV*A`PR&g87EfpQg_T=jh4`IVMdhWACMY4yYnHwGz#hVL{_(5^04DJ%1`u`kWB6og`SWmwGehdbrvqw9^$yPIB`af$ub$Td z?(*mb{jzYgr$!*gke=a}W$}Rj;J(xAmC!Z=qGq{*Z_wuHw4SOekcWMXw3y}?BCw@5 zAl>{v6A+hj{-scwKJe&>+DWtbvPF=y+p`y1^ns@Ha+k7?tsFxcH3K(_nJA&60Nm*v&ZEG z2&N4@rS}?bwIP39TjC_^UwcsujsS5+I*{)7F&Zy@1=xrBG2W&ohf||DqOBBpm){ci zWdje9Tf~4$xGSzTQY$?a3?fywn)%15Ab$i~K#bHMv*B){^j7ue(NTRa*^f!yv9-6N;(t^C#qe?Ovv6R%nWGylUEAbg6xoKN4sI&i{n zJCLP%z*pfX%pyYOkubSviSH1o)4@xz4x@2TjIA43RbD?MpDp8P7ITQRcr8sxRFts@ zGZjs-@H^nz*#DrxUBnUm_H&&MUk7|^*r7ne<`LoP{PDO^BgFxU+Lv@Qz0I?nKrVN_ z$Z>~P1ta6~KW;UbC&Cm=T!zOwzp>CJS}34Pl|RGrH%LZ3%3x^DPV;AEaJc;y5e9iMx|_-P^|E74UyC z_I}o@!8$9U0^l4Z%ZDA32rOy8H9_zTC;q6yBS^6L{`_@KUKuT{`f*sZY#@%1D=5qejOK{agFRkGQn3Zx;xm3nTXeYkn&J-$R+NUdHf&Daz9BUKzQ2V0mC!3+u83f#c! zX>H|1xFAi&hzo_G^r|Yap#@&QE%tEN=D5WdCN)NMQKO{c@MY#ReA3|MdsEN|yL+DI zg8us>t+wtRtwBgYKS}X*B6Xf5;a}>&le0K2K#5B6%l5Z<@1m~3A4r_mbnH#G&@}lV z^xl1}DVX!#CiL*|RWYeUy8uLA?o+5(I6wB2gr;I>^EGVvw6U_=!OTSU zt)H=SC@J0K#AY8Eo%7p<`XnBomF2u>N=iRjNi8Y?+|wBfyLnS3Acc}bpnR%_{wb;ZD$G4xMZeJ6@b z;hLa})S|Q9&JqXuP>w1y+FHJ4Z_R)92!i14nv@rgrOzPsH|qnjE2NFI@@K_~Wjz&F zkKJ#K`wR+cP5%N@24S9;+>xl&X~&~9G-%!9{<^X)RIA5YdV$<)Q9&qbBQV!Hl~V}$V${Mw7JgZ5|^-i7wXCQdhe+G3z!O~`dt zAm(X9_bNhG0qPpn_02MR)nra2=sY;Wxkr$${fJ#TP-bVe^t%`G*6_y$$hBsi7c-({ zR!0iI6#w_1CNgo3{V4?h9uThgpFM~KbCztv?)6gWI{f|wv2S{jBe;odnj!qsgCV+L zAPql?O@* z8{aZqU*^0dN`56AD>Mz2KYV^L$0X^6l89B^i0VhL`mMXx@kbg+%+*riB&N>|YCt>l z>^P3KrSKhfSBvcbC&Yb(_v69W(V_8^m6}3*z0mc*sSotl(?|TD@HaQ**>+5xYdf6f z&Tyzo(*E2=QzK&E~D=;8Xoh$ zu2_PZLqr?PeCFf+8AAAOc?&%XwI_#>HdD zo@6-#C*zo76)FHGOGjy1t+l+_%l={a@v!m0oU~4x@35$~yyT(q$(AcYyC`dZrZ!cj zThoRHrBcr4jzj8UCUn!);i8@j!6alqp6-upJ%0}%RvuOaj=2rEaNf5%9N_k%C=Zmxk@f!#|S@ppSlQi+|U3-*K=s4AJMZwYVHvlbhp{$g!1OPc4Fc}Vw zG935ey@lR3osw#W0^D+y7_4RuA9GKgrszzLV{;XKx47UEK&~{qmkVf4SdnX_mZp{9 z5>CUvQnp{y-d8xl1568pM*UAZ423i&P7Lvravq=_zKT`thRg7HA~wew(;)Oit^o6P z-`21E?xooeR86Nq*Y#+(y2KSIwTDPHk6XKa%XR5~h7JMamjGOSt?r3d#=9R;DuGT^ zR9WPWr>&eH)QACF1}G*?Jqr(OIzWr^0=}7q7v_AqrMFdNu)YrJ4uNp_7oF^Yxd#b} z{f{xtzA|=vdxjbBo#P|VjgfEp&L@A|5CE3-UM_80;P~S=ESd&A76-F!WLJCMXL|!k zK2p-nr&+GcE09xxG&M;*v~fO4D}ypTZfbu(1C0xP#}4s++FN^RbyCB-k-M-pq5#CH zJb=NfXxBN_oJ&flp95ZQv<9_jzmD_&(`@W2k(o|aS@GmalMa$}HqYceaD{$l>3qVu z>vGbadRM>mI{lzTm)PNt`~Zv29psXZ&peFJ3mrvo71tY4b!Gwl#=wB^9i>1KZ-pu!Hhj${Y!g zZ$3&{CzZ0gDcg@#rnm5~TkO-UeswZpAve+n|1>AT`G;uvY)MgZ$UhG8nKlJ!_V#S> z-lcKcN@!g8#`#zpi!yLw9D4B)RgSg{{{zvJVI*LlddjG2{l39mn6gmBl+x3oSMiyx zvl+GHiH)I>Qd38H)RBnlF~#wZU$JaFKD2TAPi~)oq3=DNTdb`Qe+*n4EyBW%wp=sC zISEoP4FM*Iip75Nr=drscn3c!pcT@_0(<|=ZLGpg(;j2=r^Yqb&=>OyBTpXGHzdt@r79WvY8FLG{CU+a=l3eC~CrPfk zi@8rEiqZ^2Ni*brC%IF6e(7ks-AR4()lFw*ozY2KWUoinCUd} z&y`5E)@ZlvcUl1+tg&m=zsW^Ovqppa+*IF!7hC!rjHlHay9&b^sh=5~75@5I$U``qE21{lFRWmkC(lkqkCH!n?A@g^ZY z`dW35$gblhTYwiRkxLI=io9$5s;feq(WBI`i&47Sn1}eJ)$7-4JC3Z6B10hasD#WRN-1pDK@A%A7t1hSP-+ z&KZQ?tE;yJ=0D@xm(Q-g&-nGb-_`nuzf!pTg=~NrcV8eRllU+z^ey3=6D~} zy#~Bx)Iz_d5(51D*T{cdgDGy$MoRtk#aLZahi4u+eK(PL&C+-E0))fh$mnHUd%}1q5IaGpmjesy)xC)t zq2?ToDG*AQu;I2BKNFol>Q>b8WA9r!-XZTWs<-yv*KaEo%5~iq)u=h48ThL_^i*9ZtYfGp0Xu znm=S@ui^=Aq?Ia*VqpRaW)}y1<$uXTX0s4YfH*nRLK4mYHKq!%8H#y863M>U6r=N@ z>rN7G+L0bWJ$iK2!9C9M!YW{RfvNrd2X@IEV&iyi^mwM~dRfk=^g9*QCfg{YI6sPa zUxhPGHPO1E$fM?S(78eLg<_n4MY3(OmAPtlP5c#Jryp5-hb{xQ{C?EW@7Yf}(?KA? zwi*r8OZ#30#&hgVw~!=sW3*fu5P$7ac3GQXjmHq7r#G#B1hC5Y2cs`+=+<$)99#A- z&YLP(sSS;vk89Ep04&r$sIq*^}iiw%o2ZzsQ(;u?pCuao& z*f+h@l6>Mn43xula}tOvo6HVY`T5-db5%{W!eivu-hSnEme0aL7IExO#cjEl`wIE> zM<9YfYjqKJM@eJMBxS>S_qbg-2n7;iuuL{kIG)-J_47O%+p63n`ssv@^U!_5n5PMQ zW{iorwqk1S)9cB>Q6>HCn&T@Xs{5tw-noM196$-fXB2v-vuF1CzAS+y-0zGAB+ro& zXdn7PH}a^WC6j~%q`o}KGWQ7pq2bwZqK&*R*$2M?+}#s^TNn99y@8@dqs94ayskt| zbnNXZNpTF(cV>Pnmy37j9Iu<>F>%t|Got7e@5#6#(H~G+jGYQplMO zOBw0~7=kD8U?Z~cK<-`YW64t3wtgkU&jej3m0u7h7F%84 zVt&S7rg`j6;MdH3)-^-{%2Zf<==aDn4{O$ngSzXrfmYwvH2AY7%%QgF19P+GcUV~+ zw$TU*s@S=hsfN*1;HQ3q%CcqC2(QyZb(t3{*G3Dw#}`4myxUBz zpx_D#z?g*=#=Ysvi&`gX551XVq|au02?0mT?hT{ujf}ljNM}MZo;YNCiMColY$lPk z2W`K8?k0^0IZr(#%ks5u_VmzfgPt~y@9;FIxkvDoLAP{QEo?H^Z7L}Nz&VT7ISV4C z5u$@)9!LoEXD6-gWto%o;5!3eNe8Ns8ti!NLHG!a&Hr+n*$uQldhWSvG+?y(=#3^{ zi41d!P5>vOz?B2*3h+bjyahHwrcT&HddQ;#1a=EQH$;#;*mW`FbbZKtz!zK^=PLg_ zPbNr5%?+n9(Lw3Jey``$BN85O+jF`yub{xc09`oB^JzSswli1i8%kNkWUkt_0QLaW zC#=mne>ru81=YuP)erc%P-3hSFQ!>0*91!kN5TU8gM@jZb zrcBwOdBTAJd%*aeb{tdG{&KTDz75aI#=MxWE&U)MJ#>Z*rCiiu>TiWrC%|wYCVFR# zX2jEU2@`%kK)3yPF}^Q{o(>X)U_%~T@@iHW1}?fLz&FW;Q=MHuG0Zcz_d(QxgTHp( z!QsfL|74Um3v&5-#b}ASsYMsFPd*RUSevCRMLbJ#RoGrPPu)rVCW9LgXe}o=`M&-C z#_DviD>pY80tJJolANpL;SW992KW1-QKjS)K(ms#f!4q2rVW4aYMf}auHTlv1tR1V zUXtKmo2$7o2GHHgj_178>p;8lu2}o|;{@D=^ve>Nf5Lwfzc%t9X6XzTu1aPxrn_T%mR~tX*_Ps~?!fB8G&5KuzhQrr;wsZR(ywpac zQ}2Mdy@GBV(XfC)R^zNE&TbAdpp!^M{H_t*mSI-B1T_yvKCc+l`INvE8|o<8>il)B z_7RY>b1DMMmij4~#E-gGim7E!DHu*A7nLoHk8Z1{C(n;|dTu(AnZev*n3z(C2MpX!jm#s^zi)I>#?306+%6KEo?Tp>^}k8t}42Ac*v>6+dx!)fGKK5}IhVwo8|f>~0b3R-la26k2*4ZgAr z*L?W>Dcs0HBdtL2;O7C-p4Bn1vT|%`)N+SkEF;O%h>Qs*Z^$t7pqb3-T0DL`e>xa`lUpYrDeJz(GoCV8mbzKVvt7 zf^4fuga}D#^gf+yL6nFOB@chO8VDrrQ50w=b2|kk!m@;7Oe7={B|kh*p#!nwn;lWG zIH)T+eXcNteU`&jJJ|vS&G7C060`5~_wl$_ zx5jG09#Phip>L<_T0aq&wqFEc(4AC7e@s{zykq5-z&FgoFHP?d#OxKu#KFULqwg{e z62YP%k1}MaZEnzt+3mBH88-`AFoDzL9EEG$UNn%vG#d?N+(oW;qb)op{cqmVz)@(# zi9$VaYeHXgoAQ4~msDBm{vjekBj!E|`LBXO1XpT&-1`>Z5y{Nfag4vUoaWSeshDsTD-u#~u<5ML#eGzjVcXf{c zWc1x{ODA*($#lTdr8=Sz-$t?Zw7<5SAx?B4(nLQC7%=p!haZ&Zh2+250?g|&Zp-yH zFzeRiJ6hKh^T!JD6c305j$y=Don?CF?Nl!$y@2?)i4zq9-qPzvhK^$XhG#7jsmuO0 z56q{Be%u#t?IA1O6?pQC+|$A%V2(i4BJ^84niWTZc$>5!y|iS~_{HuQkix*&!YiCe z!8qW^`&(bW*&8{wHwa%U8=tPZ_pVi`g7IRvcc$aNYZ3SH4ym7^pF!xjMB#j6oTb6| zp2sM#(skT;2bn93gBo9|=>{>pBtNRT19%h^$#6}*Z{{+#4OV;Ei=^PqZ?7? zrrLSK;hA8hOkY{k>lISCu87t2o@h$(sJusg<_uf6O)i~NEah%1i2?v5d3z44bgJ0e z29*KLyZkG3^=JzN3PFxuT{m@*Yz=x(2vDg2Vr>a_36N+p5f(SM6d7oO{sTxzo3*#Sd!{VWMGEbjzK*2e!rlJTEK1FM++ro)#np*(({qJgHWd zq;d7#0Pd>z=$SLHo=Pmp-aES=u>u&3*E9NrE`M?x!s5MC_3bcAF3(*8Tr!dWkVU7pofE0i1#1sZh z_W$kND|5fhTVM?T95U7z^rdE?f`VLSiKuca43IY@l}6tRLdJ|vy90@Q{DkKZqne(1 zK+Aa}s!)>5kdxATEL{TR$uSTRGp^9I%WfK8;jddr{hphC*<(&%?d_93uR*~?T>ey{ zmvr1)LI<#oF>%7fzr0RFO6!eS`wyEb)oiKV|3M;^yG$tIQSjB(KgW~X1ryZb;oPq<^r#l)g( zuj_XWHK%hYCo^UxO`FY)kJ;311cB8R+JS`fts51r&kVM+XiI5DU?ccR5%H1q@QlCp zt%n)7ygduUyG7DE!|=`WGbj^8ljfRc>`ZKhZ@XAe-W4dy-YrSurD3^@dn>v%1;16A z<~_S@G>geNShs5AhOzFUYE-WK8K)8m9y^=X90N|44+l+w7v=XY3cJcbh4a{KMvpqo zZVCTdq=?-YKNF4t38M5P`E0;TR$}hgw(*TD>j@OI+!r>6o_>m_jR|3YEwp>p$t0}D z5B@e?m%niiI;`e@e({%uDV?b-Jd8OXct|!l9WRw96IFv1#&V6iWxqiLm}G`rwvway zMcjd=9+sZ*$3~UgfiAZ1vA_LibmM?8QrrM(z${9XIwjDzeu38F-WQbKhI73L1hgc#@d~q&|l{P6)Ob3WgukH62nW)AZLI z)94v5D#LhtJ=2kuR=m>YAdeoz2z2v~fr9~h^yyDmw0!gm#{I3ma5)v6i1#&VLs7D% zMB$8BB4G^e7>EdVHU8F>?4a1 z1}~HkGuC&`naDnrmQ&Q(&OCT)g&n2J_%JJZoPWVeuQ9FN_#Q>|rE0SDh3f9Uo4r%` z^M{U@l*jm~nQ;qf(YxTUNV-(N$?La#z+-oO@5{x69vCakBT(WcTlW<=JLwCsGyo%1 zi|Jw%-n~HSWo%wx(una^o&rYi(m!+u%poNf*Pl+&SZ|BFL*@Rly2LlZn z!)(^HTuDv%HP#Ds?D0W~nCrt^hech_srusdvU7YWezj1DChQMDE+iDYAU`J26X zm)J28<{j;7fq)SarEm?vAQ8#lWZpo*y_IyFsDLc-!hT78r9#(wdg!InFDn|lESKyY z*?5y-9E8L_x%T|8B>o4Q^4%`fI@^*}ado8eLbQ5uudDRc3^QABMQwFVtBq5cf?z3l z|3VodbiZVVPu?*zLA_Zq#&TLAZ>u)GSuO1~Nn2_VxhC5XJJ-76^Pu%Q2e1P0uvmD2 zcR7A$Bx1xlykz1m6UQo=BVa-pG|{L>I2bL{Yy4%OxD@jyEZamYGBzgG|Hh!Ibz}TF z7>r(_lJ&DlCby^KcCHoW=xclw3C5Rq)fCmKx)Cz)5i{whH-1ZBJbm^{B6N%0I3yg* zHn8m)sgf@tJsNq&r_%ZXwexhaCOa}vIM$@xI_DBaSkMraJ(>9k@5c5U221rQ_b0?t zJDj1kszb>kb9&51BFfH zS*68XdH93GpD*x>i9tm&T)4t&PmlsTaetrM3n;xOj+KL)lg`PrX?esenM&WxBs^Xp ztA9}6-Oq#S0TB zoL0U=841u8`=$HiSv8%_E3R}W`wUQgY+=50WeA+E?%JYVSepVJqs!IS4rk1rrtDVN zRD4@bFtYdAwbB*#UblT((c;Szjv@Qkc{qM9jJtNXpj;%zGjiLSW1UoGhBWe$+WfF{ zuhT#cV=F&2%2})BrTOsVP(zlpSD0VkWEzlZ&Z*j4Q63*n*ce0{%s)42a8tq`s=JU+!g}`?oNJ4kqBpJ`C9)MViZ`TY6Kcx#};&^_L&?oeVclW*e z!qFE%`^Q4$EP-z$AOh|a-*~LP|3&r+Yq`HHSqk$c zqaDioRDINFILG72n!J)i8H2Ot%a`hxC&^+rtQLrk35!gWE;e?iPV*pxS`7aSNo(~6 z8i8KoHJ7%~7`PakfXN+ms=t%_kH}5%#o`Fqp8eO;ZWN=Cu~pojshP8Y>d99w9*WZ5 zBVtzGXd2MKzeY}ELkUHSW~FKbE!x@CrLgUKnB!V<`~ft>$i%6P33i$^IMS7 zeWno;Z`GdB2(U~mMIKVmwNk686<-Q)gQSFH27A4mj1hHGY5*?XbuSlNiq;tauG7X{ zIKkPOG0xpj7-&^kO^cJcOc9vs3o?Thq&ZnLka$`Y2|+-^X!=At6B!JlyO@{x2quc1 zjLB%jmS3#9;dddLV-T{2ovP7J5-ik;bU~N~&UY&Q|3=#hi){dHse@tF?6&d2;;{qn z=TuqT(zE4Z^J=8O(L1sDT}8~q^_7s#RVOvqTQRL*_`sT<&NuB;ujQl>;5d=I#4bNe zvzc}aX-ZmuHFG4uN-w$PC$=%<6Bn9*faC&6=AdS7 z5(<*p-(*z`e zEt)o_zAw6McRlhl@MfK`CXKjEKn9#?soek}yEx*V*{9$b!K~eGwnxTezpwSQh-#hM za_P0wJWAC=?b^>5Ne$K(&Io=?Gqy`FqDDa=0?{g;#lAML00(~FQRo#sAl2Q3JG%1`(f|TgW#>7*1 z4lDguxS)r@=1RmS$+*{xQ;+_sUnm3a1nVBY3(|DceDg>y7^E3`H2u0^_K8B0M?H)s z_3zy;BMla444-VSP8Oj zOjJRGi;I9PWS0>A9?88S=5XE^P`Y4__f<*+y4~%Jw3gd`V9-k*1k_B`=6GypqsCdMUh^QPpTZ`v`6LpyuWTu(EPIJS|KGBQ$N)xON)`Tr}=?zADlf z^t2vAV+1vX{&uNwiDU)cN5V-XKW^1p{xZ#%;UM2BRWi5Mh1&(3Lw{ShJ_@?tZJU zy`5>KYqRHifPn3p9qI+qZZQaZ9Sx=1a!i~|7^@~|xz9&>BYu}hcBMFh&s`X))rFi& zEj}iAa*$M5R+G>AwZ0ZPXqF73F3de4hs;bbYl^DW9I=_S(0wy-6D&{jhL4uU3 zg?#m-^1*du05LalEGPw?*y<5LY}*5JD)b$R0?o(wwCb)@Y@oQ3$xOf!9A;GX`cq!O zrfAW-9+*B&kxH>|8n|T2yFm(c=+DNWz?1BKZX)3@@ zv5R?T)4=`yiK|EEfO0u0vjwVY9q~~T-BMnB8H{D4#)q2mKNbyHCtz$b3BX3x@$DFT zB4KCUVyL>jT8A|xbFA}1N8@;dymJCvqDCS+X*ILoNHbP?Y}z`AjhEOfsu@ukn))P* z!p5Z4#AzStWq9)wx?seaDvG=)`$YYUEsfP%%>B9F{|`wcq|`2ce=5_!I`@nfvaI9+ zn{Psz_$f+Q^M1I{uM1l)&J_=)UAn@1^s44so#(Z0b_FboWvmQK$wJ0W9OCu5U^ruF zZt8nTb5XO=6V>rOfsV#qYMt`fhfu*8SiW)AZ-8hydK@q1C6htH;{H^hblfbGu3GjW zc<0wVq)dBEzIdPHMCp{ZHfo&lE<>)PJmuJTVCR_EwE4!an?+}f2ckfXoHVMYcaxDr zkRy%>v=v=CEmuxHgnd^(?WmuD9e}&!CWRMO&9${lSbm93Fb-rc*CKz z1m*#uXLT&PdbT)QR}I&l3z^@-E<;2Kw^ z)|NK`gnp(irV9d9KSb1$^3n<(%og8G*cJ^5A;W7Vun#&ej!q`Hrh9wW2P0S-HW&VS$b3Z>T&AS+8B1@Ah4F<{D8~*h1^6w z2j+xN;Qr`sOZ6Zs=<&1!pz91maovJ`2*}v9D{Z>l7fOQiBBjQu$@#>EqgK&9IITjPv z)I4gVxZkSIKN+N!5AdiujB;@^$#$MoAZaz-t(m%sLTGxg`Q>+7djo;9ATIfZ=^Rby z;bb=brDo=zOc#}!wuOF+b3@6(4|<^BCViHi$FLs;kz97y1xD)%J+%c4EG*)r-U$aq zl@&Rq&|DS0A84!n7;lo>OIJvU>zqIiooyg;@aJQaY}pAo-8zYIkETcwTj{9&wNRd$ zC5@!5C{5dr>;F(*%dhX|b+gy#%j)(+bsqa${i3P-M8X+Mwx z#uz6MzjuNcggx1cryl+$mlWF&pB%I7l(tQNUC4WFR$Kf}(>n&`4d-mroWKGw@pQt<=vO`EAGVy${C}Ujoc^1d+YsO^gFVN9SY5SYC3fx_I;Rc2 zf3fT!UL^!H(AZ@`!mMeZEZ?!|!T}!zyN!sM^tv2o2LxT=P>`@_B!DCesF{FWsp^jrM4hzKRs@O5 z^AZRFsWBX{bNcpLqzJK^dlTueamYKG5|g6KrhY%EHW>i7ohXA%Jth9D+c-@0j8 zYR(q-zQOt7jHX)1>g^$y;q0~uMCARliy5=+MPgra>z{@aewV+N#J6Ug^+#)&d^_Hm zMPt`6SkHO9hOH|vY1?`X^ETt^XA(Kon$56_Qq-&1=+i#2B2ke2_7tqyHdpw#ncJk7X+M-%zl+AaCc6$KljJ3UXTGiik$Ky zVG!uHNelL{>`Hm9!UW9In};<$SpcC-t8Eh4(Amk^NeCUaF8-p(Oy9p&5ByXLOM&6n ziTE9az)mhOw{kIwwVHEXgVU9(5-?~!i)CY?@S|%#;Cm!2Jtf?Mzf%9t&)*L;7-A21(u7=xGH`6^MO>F3F zeMOXCs(l%7wt`ZL(k)-wpftPq8NiCtIhNY=RzDyy*I&|Y-Kh#`y6_ZL)4w@G3$gp9 z>&kuFHG=66RxzhlNP!{`=(+W&t)6_wvAo3{Lcr;2#_N*Sty)JOQuk{AnHTVsD`sVX z5NZWwFSD3xz(=iDbWqtvT=u zz+xin)JatWzF3NbXoAJV$g-F8tBvM;Dro&60&TowO91nqbY^3tNIJ6pU3#!Csn0e_ zaqh#f!@st4!N63m2H;Kl`nt&7VxBfpC9k35MvM~Zi>lmt=UvqLLkhCo|6??0aiR)c z2bePVR9ZKRSEaF<^Ky*{AWGE_*K;Sgj7Mw#V{psJn6S}v<>dXPum_u1m^Li}()V2S z;tdJS-`xjQ9HflV;9y0KI3C)j@dzmh#0*4xyR0GkIj>iHR z>J;JAyVIGGCU_0gAn__aQWizk+%vOYgYe20FYb?gkPJD|GtGF$D6e6#5VAmc$9YAJ zs4eaAq1?E*?zCq!x_)pqoMIth9-8vBmj)=BVIi|6RV#kU ztgxr<5MKJn8hBdH(mMlqiIBoS4LfZwxCVF!T!{ZkL7}gs7}+|~L?Pqrc7gh#K%Kh6 ziVxkT7Lt_lx&&No)n{|^fZu3|O3`JQTf5yQ;|H^SLX2MT5Wl%A^>kd-kRfjNl!B7l+>$OVESC5?6=sS$+i`pX1(< zi%o-`5{Qw9J7ekToeE;Wk)`YgZF_Y3iv`6ezE;9VawYC7)hvUC~h#7fs*x#~ln2(nWmgpB}JUMB@XP|aqg+fDcXu>p$MfOIw%KwAgp zPB3MqhO%C)likHNn z#H2o*vUv@2xJ+^1*1tddB_yvTUU+}gz6H3!)X~c2N{8ga#hrJt(`r2wA>QTEwtCmuOdM2h9171vT=9o_KBm#Q%1E45IqC|S7(#wd+s}^DB^ag`bw8JW^rsrQXD25+2Js(e2U4Lm};0r#F z;=R0J4m!5Ch!hLFGTIUN@Y;$FV6n5N;K1#DF_W+lPITajHNrQZg-JjoBjmGl+4Yg2 z^9I8ma?H+4aV~i>^d_kK*K>x}o>^IQx%jteD4lv9B5~I-Jer71j=0B zxSOBc2&bL#Q6sC?5LN?B;`l3#wdv+n2Q!OKe^in8y#U11 zJKd*)nsf*@jhv%(cOGdt)@jfom?DM?z{%f1>Kpa+pq1*e`MPEw5}HdQ+NEzB!L3#w z+kEOv(i7-P{(z{k?wH_P6HB=*pzC76pBeeXn)i1SzZxylrF*itrcf4$CEU6>sxbW> zQ|KRJQ@=kEx^Qo+8Dce_hB?C83*|d*VfM?0$V?~Zt&Q2uQiCIiRrB`~FF^0|s&zn# zl-#{vGieJk2&H$y^wg>4cIS)TiJ7B2)8n~q+;s5L@!r5x*X#|OFNDB~@N3WkdqyG~ zTAC8d@e(R?ni?iHKdqN*1&rPf%a<0nMe|=V)fE4M(&|OKLi!D!Uk%GnOn~={P%UoY zg7f-K9)1!k6Ywo2Cb(}Nu_-RRAIk{}Au>a$k8Q6#dzjgRfBGUAVgpz*bGuRmioFXU zDozI?K*wwRu;MC0)`-l8svPgxO>6;$Z1{7lzJDhp`BF{9f&b29vRR;GRDwU+uAPnj z^Mu27qmoKx?0grsvT?FznB>}OhyvYA_!7qc63ihCS=UucCzpyTElxCg>C3JKmhVa zx&QwJ?h$B2NEb3&{71bmBypiLjJ)izX8)qT`4b~6yS3j-!X^{}Qqy)Kl|kqE%D$xj z0R(*nVAqY>P*?<67NhY^u6Z};Y{%nnH+DnHT$&=JKE0TR#Up4_mWTI5in9T_B~a*U z52UkO)EHQ1c7)s?ao#&aI4iXVyQ^pRnZJX4zASbe*2g@jtHA3PtFce^^VyA!sFmxnSqU=aZ);&QdYmm*t@jIjSQ=zn%A6-! z_kye=L@mEIqob-q5Td!yTCUVD)fTUX5|4^h#(DrJb;E1Kyw12T1yX!+csAxLi04i0)D`7w&Ew3as4DeyBW|FIt)~ARsjG(!h6#oT6s4Ij7RVy*GWqab1_K@xfZ{Z zd`ZsCm-W7jLU|+ud$q}gyZhn=5^alC!@mB^XOmkCt*%vG&roOSVaHX`Z;4xvj7{UN zy-SgdK&p>Ablout=C4;+ev6+`Wk>K_yr)}ww)gR;eqa4o&Nw>hx?A zr$u&|{3EP&0Pk6m3CrGB^6NIhO!~TsY90Vw@pewH`=*{$z+8N?8(w?Armb`ZI7lge z#K;ee#obUBr+{?~69b7RWOc@h+H^u%O1R&+o*4A^l0w?Laravf(O`jfu{Pt@@$`9J zZrU5Mn2GtmtjV*^sH7U>Xf&D4pKMOQ%_UK)XraO^j`|y}m_jLrqwwq&I~AcVP*Ec0 zp!(-_h+}ZN2L!5JA~$L%s#56*;HAb+*o<`PtJ`)9*BE)|(9N;VRehsPQ>@f1OB)1- zp`F-d8#l7aYdz2-?Dx5E>?^m41$38-&v@@>PITi!6QW@@W(VS_J}oi~q<)MykG&BK zSJNBKb4Ik3F{@?Mtc^3)Lu-ZeX|2)f$R3aU>v-4AM!&u6)wCrSxhez99el|k#X;m* ze{5yQ)xk1iUUZ*N@%?dKeD4;$?rP4Ra$v$&=MaNWHaLPpx-`JNBMCL*$e^QmXU;3aMJ}5EXrJp-my!8lpVkX6dihoz=ZQsix0D2@9ngJ$n zlQXmJv6#a4W1Qa=ki19gJbgg2^!4j8?Y*QJSSt2<;Rvea9 zJ^UD>;ygX^5*XYZ@52;EB#uY#u`r94FADk(w^KG7VELa6HuB9e6P$g^u7s+zSE5aJ z#|W^#3>HX+?WOcvt@*}e3UwSlA1L3vS-xSeK#V^1>3Ti`+{aH9 zM=c=w)(M}hkYvxAx?s^>oz!~8%7wZC>2;!!7!2su8gnvhmLs}J1LIvX-)78d?#R!r zT6YAp_78xi4f&P6D6&Go@B0=$j@#oAI`f$WP4HGaf0BBp@o6u2ws4(*`dGC` zMh64}7f9|x&ZoP4sv)BbTL)*qmH+0Q$$bAyeQ_K&<$2ErNVZRV04K1FgKf+93O8)U zKZ%KJG1J(sCZtMz{3QdhJY((8R`6_&qi6az!9=`kAA4j_(a=!|hpb?kZXCJ{@>@hZixj z>Y`+Byn{3v#5wQCF(N8$(Pe~h5Yc6<9{}ej zZ`CiYA+mXmRHdv2uTO*wTgIHXX-zGTha*4{$M(;wlucvj&U#5~CJ!>DS(*WB4FLk) zKqu~$5O%Ns16s|dZDV2G#)A$P+je$!Yoj(x=@F=+XhO(el`eAFbK~IdcLbQA-{qE@ zAc{EvJ}R1R#9Pn!&J4FV`@Gvp?352k+v~gY{)5I)1L$B@x8KhrHkF_5pbZ2?q)+B3 z5%XK+l7^AJZ1>)ZVONxyD(0?{%cPh-tD+iLMh~gdwC+ASWl7HimOs?^AUn73yMb>{ zm_$#+Y%J(MX|f^>8{k1Sd{kq%RqHvK_v{eg;Rr%wSnf$yHa;9u=Y$`p@V5#9Q4(&+ z=D0JSk(uHfCJa(af>Y!TWP0sq_G@BEtJQV81uV?)@)xwD+%4Z*gX^tAIghUFmlXt)2ew=T544~9#)d1z zGy(yX$2L!MRSMf2M)q&W)4`gd`9qz)fu5L)S8u5KD;6$9LqUtVzE3^x4v5YzH0lQ! zE-7`SjagnR0(4SQtR|_ z+XYFDTx?#oW0I?Zjz_oFhx%ygRf0 zXEGwmu^0;Z+#gERzm@s5u#EC9Q!ts~F_et4?cV+?J*jg2nGX;}6vm9!?!dO=wEluz zo-FVZNSzY`J$As4ZAD%qKeGKrQA;tAVWPbpu~~HquZ=P{Vq2jO;h31@WqSI41WH&- z#IiI;c&n#{xY`;8mu&~F@7HL=9Ap?-G6yJbA^ILXtu6Bjm+q3$@@iD!w0Yv`9!g#` z68aC*!TRYXSXOC$4oc!#zggrrZ=q@gzzCiX7q(i6h z?H7{>0^M@Vn#bd&(K1-}y@k4p8)j#G*sDM(4)c7RIBILbk3fJD{P|18j#V+4O z1$h1s#Bn?+RUp#J==R)-m{naZ5Y8*{@>@SYL)_v2Ku+xfN}om;Ze?w`v}((%48!l( zhUxBmn=lpf{7k@Cr6a1YIZ_|Rds zWk>@R8C}-;gli*{4!?KrZ_vT(+lusJ<-M+YOr)jMfGXt{c0A(ux{LqB8XpO#k!akJ1OSz%Fzg{Q zDcQ~hywAN$IU7NwgL2O&^0Z(-I**9Nec*p{g0w8_GG<1aMZeIi=twf!o_duaJyos8 zvh!!c743_<_|Jx!23)`|2m(}{x(#WV=Z_DTJ4yZxGfDJ_n8RYR$E5N-NuD;ufOZL- z6GXnKeAV*PfpQmSa!VIDPa|yRwn1>T3f;sYY$k#-&T^7cEMTyRW0y$l&s#^OJ||-C zXZ>O`H~L*+`~?8BoT%Som406zJhOVbKAeU486n}`{_=4GET4v~{Nw-$W>kRf*T(Dy z`AmNHl?NU~YPEjJRPvjI;p;GE8Tx;=h8P4v5` zB%Hf@b+bt0wRAIR8+Ww6ZIZDSv*RRDn4`GGNX((DaHJ+b{Lhzk&#F`e>-u|psz||= zhL(l`X=C){B5y)BH4XFV_1wn?nBB}J4OxwZf$vSq14*J_h$i3I;l*cARiXkRalp^c z`c9cjR(6v#t#Uvh^yXH-?x6B-34r%-A`HXD2szy>6G>(a&6t_aZJNx(R>_L7zuNZJ z8kj0%CG7=5&wms$Ci~6iN`x6*W5m*tm9|F%n#a^rAF}|7!mIl|13!#y)vPc4wJQ!& z0$}OKe~t9O{pbq=?y(mgVw{&yA~!!djdaQbK>3e|7|5;2@IVD5A+`6 z{rmB4t3gJY=iw31--vDN=MbjZfR4Fq^z{TVmd&fFw;y;7gsXY>_lC4zZq(dG6)qb%V5ED@b6= zdF%64BaIW!wtUo#y-Whn*v3bvguFb2u}(+?GS?S-8B9OS#LI)u72*~4E}ip#?hym1O>$E2bPv3PY1yU( z=6R*d!~r1rX4t~^Ub^c@U}49Rr6@sEdXm*OfVkhyx2ySj)j9PYFJ0J`_Pu^| z>*n0gHMB@9+xgOc-w#9r4Jr~(K#$OJu&v?E#sgLC0kEgbkzxzYEgKwRi zi1o|MFCX86S8|Kxzd7PF_;N3FNi#9!-eqj$JJBmJPPBo%KF5NRAi5)7i~~ExnVNj| z5kI!j4DW%GhV{kxi-sy&H0ilVtKmqyBFPfkXb1B@-V^`!yB0&mjO3L z-#r-~l|ZX&u^8_ft*cwbT=D8*%eCtsSDK4@WvZA+D;SKOOvj~-yYkiAF1{%LF!%=> zAeU+_EKy^NFY`ALFm}JaGD6z;{n-q)x@3CqrWqU0zTjn~skzR$VfU~xRbP>*)>h6b&csT)z=<;4F_2 zN;WQwjaS{W5AezYzMV1RIp>&|-O&~g^lqajzc#3WSkK zm?l&Lmk2b@CYA#s^d=s)K1aML>p7RYC^xF>e1qpFYGz-K<>9C6d2N(7`(a5z}r{y0KKi)L7qH`$@ryx4_KmLi5Ki|fS?gn}%v|i#iK^@MGNMuu>wDhN!^+>|pV^20tVELrwca*9 zc(`0|veeKagS3`{t$kE54_S^{;h@M4{rl>=W+x&)4AaOs)k!*%#fFq=MtGz+D zgGAnk4HS<%Pjm_C&?6h5sK%*W%Cg|9|I_QEr8D87Y%n`nb2Tx#pVtoh0`=*(R5{ zQ!34{xkNL$->(ruk(fKV=a!ngP*`%Q@9*vR5A3nWNp67L*=Xs9txpmKz`4!AY zx4nMLKm-Ne1KR-d{tkdh0nJYVEavQyb>p*Dl>In$xanO&D1D>x-1EXGw+h+Y!?C|h z>eoPZJ!s5mq(lYuZty%%ZLmrbHK2tpAamIB~3T6uB+=NdYv1hP*YZzS*NI**QyzIBP3FbCZ;q)Lxv zh(9)$UBboUF{9JTk6c?+Vl8qg@zYhHHGx(zLN)g25&ZfFI{rkDV{%`|S|%c3HcF$IJFN;R_0q7xy; z_H6)*LQR+SUOX0k*4fKPvA@WxG^T@fOFi8%7{VX3gCMnZ4rF7MDDG9$q4*vQp2I*z z_)I81@?)DDr_LJ?Ib_J!RvVn6f6~0|DCK}3aQz%WJ*5i7HdpIA;ImX|GX8^f)Ve<2 zk}K~-^IPwPTx!{(jlc`-vUtmug`PsjqPC14NuifbQVBXffht1j<&C(rm>AfvA%QoJ z_x6>W*cTgCoJVQ-O9rBp_qt_r^g)n9S>zFVo6w%ed?cNkGbFi43tV&+0 zZj0lvA4Y}ej|&k}0wNL<5=V2r`dpD_3sn` zLyd>8fTZMVk0Ypei=^te(=rMfJN4>?Gj~V{D4PE!7yvVdBrYT8REqsR5ePVU&Jtct zEv9=P@Ne?U$|Sz4tAZi^E}5pkAPlL;nDhp;W&4`@opEUocvTO^WP#l!^~bKrjY1N3 zU#I(47h;%_9ay@$dcjs_2W8Y*?k)mg4@5YvP*N^Ddp~tbA#W=&DJ>=3a&~y-#vN5_ zzA_PFh@+J1TRs@#7_e_FZfMB@@Tpdq!XxPK!x|M#%|sM+iY%a-_MJA7pqLO=%oRU$ z+rKA92wY-f|G*%J;tGIM6F#AXu^8MVo{m?_&)i->iMbk9*$^juK@AUyI#_LKyZ+o< zIk&7rUsR`K+Kj@uZ}twCJ-c0X=j=!zpHy8bB23wwcs0qrBWz9?9$LOuwuUq1-J>+w z2RB#3J^EI2n_)|zhax{sCS+^%QtTBBIeH9j?GPrW?g5RlD9Eo-T7*d|_uFBa zI!LH_M6Ak-oZx>p%okux9{*KZ$V;hd40}~j`9f5mE>svd5kaqa^k$SiL41$<%nHQ^ zm)(r=*iAM_h139n+wfhxcHTZZK@F8;p_xKM*IiHY0-NJ8;VjvC(^@J=!8(U-st17H zTpZmWa=q1k$?2`S6Z4u_HoE!%3@#aKF!=4}*kvn6Zs#actSw^cyY>c0E1tGA11^Qn zavj_Q#P}Ha(!Yl4F6oS;Lp0bK5WW0J)|}=I925|&%e7AtcW%Ww1?r;oZ%1m^(Q)9G zA8m}R88e8~s*RQHI?r2cCCm*3|B%sk*F1IRl zKqmzGgdIZ9ONCL2v~Pl{qg$H~`l=4F=YLDI378DTDF2i-)Rm>@jzfp`4Pho;0OoXe zMtfyCoDF`BLj!~8|c|dXaZy$qxF3>d7I9+ke8B%k!@2SJ%G21KE^lU8@Md}06K`__g zN`1jj3WwicNha;tX4CV(Qs5%Tlf`d^x^+a+8iD#96o=Kq=l>pIV&h4%#MB?5TZw&5 zqfOPH+(TWs#DYx*Vt2b0Q4IttxK#KjM!S-BRSSTjx?X-v)UQ?6?tvkfZ0S|Lx9JdD zen_3KBD09LYz)DSad4Zyk%2cKN_fs2g;)ANa4s?sQmSQK6N7hOds%)P>Zw}TsPu1) zYg&`;$0`tz zif@a2D`e46*epacFx1RbIz)9U*PJmIO(tf)? zb0;yJR(Q~^mDH}L^4K0dV_Kp^L|G}wCqT%Nn^Y+Rbpogev|eg16c;-^YH3D^-NT8W zR&;G*ZCd13MybNC2q7{}m4*F_2=AskW28j;MSix_w(idJa$knwlpS@XDS83TsTS!QHkiUx`%Ma3VKAovr@3Ty$FYre{NR5wQ9A5TAd zRJUGVLUK}xsQ+>xe2#XbN?ZJuWK9EUjU1O4aLglJ{rshqTjs-8f-=3)5^_eUcvX|#w!zN8ofd%nVT#ym|7A-yN$kZhe1pP_j|R? zh=!DIZVDBmz zuM6z%Ke19M;uB`pElG>_UcV~cVGQ9QU=?)A$u23oWZ4x!C zw+7~knfVxliiwPrc%^O)U1lZ7_7+bSLJu$IL92C^m_h{sHEJ6pz0LXC0dxuK#ZM#8 zzfm8)>ueR-yjt#rdyI%rwjZikS6HZy{@>?A-}^e@cFc>xWIakrd(iR2=RE0`mf{9T zT+@Q@n`@K;m$8Df5ub18XTUpyQec7qkU6pr+^ii^{S9Q1uBjA@Qa6=d%5HVpgJw&_K(vi)*?pdy z@U;@A1W>ak$faXX<=0$xy6mv>YS}~Qs{rn};1%$i9e_Bj^w>BJvnHP9hLDTti-XwZ z1GB#pZOcF9X_e+vE0@H3K^aibvJ!oM0yCvwP6qqSzAEU7ndOgO%Cw>~ELuIXbn^xf z#(JWN-P7X{;3AH!Io>}|9{t&oY%iZc;|{21_>{HjV-+Ch^Zi)PF+Le7iAV|0hVFm? zJYtFITOL1wOp~ixK0C9+4@(^p0b%>yYGH^dCckE4L&gYQkLbo+iBKnun z4}AcQ*zyN|9d0%o?P&-rub;bolR~r*FY{59;-O>-$+2u%bPG#OE5^0Cd-zOcLK*pk ze`JrX0wd_r6I0e~L;n3tO!?A4a+Ma;PN<-Y!;&TCTNf8VQ9&gGHQ<$vFGkz>MA!hS z*J5WYozZ%A90fod`tH4lB|E#0J|PnuURon?*pSXw8IhVQ72LDDVQq1j*Pde836%#D z1b`*eb@bKt0A_e&Co0}z$kM(eU5jxbD5#xp3TeDs#e@J%7oR2EbE2r#Xjy&XM^KBj z;^!OsL{hdz_^ub9dTZKn0r25OeEF?xE*AS9TorcTnBrozM9r<@qgCC?hMNBYlO=+8 z%Jm#wd3j0)b4CoFJKih=Zn+brC@6e9p0?}g=AJh(y4!}un7xZ9OKu0=OL_M{5Uq@R zDnL2oc_(!$HTKl!a2{U*oSXRjQH>F?y<#E>-?*|kD;WP4-vzZWbVV$mSp9eH#vM~~ z3;4atd8ZKb7LWuC@~^lq&42f@0bz&H$&?(9*gv1SI|o5~Dhg_juaX3xdn!C{CU&^5fQVW-6i zOws5@0x+>TVHNzciS@**o!9&Wp+}>-!))#ee#*n6O&axcI*t=dk1o21y$BpJ`-&`Y z`^n^}tCgb5RNaTa=|pENpFye8OCr&u$XUC#vxDux!}Wyotp-Iwa-Z1XIo8%a^J85} zuboBlexCdPKyI#h$s)m9aW|bt2H8;^YX333M|<}P8GoAw^HpMP$G*Se1{~U`**<-> zf`h#2V8MwCSJ!cw^Z`hOnW0Mu&79!0D4Y`2JIM|{* zFleZ+pgGZf&*uuMCF#Je!GeE|&7q+OBNn9ndkU{^{00JlxDM<3dP0vsH(lseF$OVA zBO^DZ{rg*h7Q9gqpksg!M49R-0d(p3d#S-FU2Mp8I~h~iJCOaaLJeVgDYcCMMHQsl zEy(>ac*C~9_mT=h;nSV|9|ciHVGKDfe-v?x<)+Do-KlU_E{XHX z2c!&G!C&%Y|5;!)hQ$xn!4T&iBA55@C4F8T6%r*FR@st08Z5dPcJtHDQ472{ z{hY0{F?HDFyi|ZYnpv!YokP5X3R%8rMgBrek;kL(68ab~2X_q6gDqtl>O^3OpIEC; z5lcdm9N421V|GgUDB;%MnU$Ck27LNOJI>`L+%k$hIYuD7n}6bKhr1Xzh=~Je5%{SD z^EGUOAhSqm;oCZc-lS(APrsUF;==m`>P8P+ zUaR3@+Yiv1_xM-|V{~PVACl8+>v35o48)T$LsnHT>A-B~*vK?~yufo@l?I)&zi!Lp zw@5kE(7(s(_Y4UY-p|PjP-!kX3jX#}|3daF#)v+` z01mPzb?P#V2n zJuAvgu$j@q>@&~~N}r5REZ#lylO>8sk01c4Rf&Jcqke)0VvRA?QScr4xd7$8OgZ23 z658j5HdHtIybP9HQsOhz{ULNrTKd9U8*kW`bTQ~M$5@qe%oTz7x4!;Uj}^w59^NeL z(Le|`uL&Z5>63!^280@OQ<8xyt2$JYGoDG?kf3CKBNU}l`}!Ynp^owPE^`r( zrD-jX0DalyaX)-Yy@c>b?Z)B<`{&!4gZ(j(pYyJ?n#;%XpC{RqS!MJV3rN@rygsD_ zxu>mS$NUf!XJUYk(+M3Bse+Pn`rLhA@D`gx+wjHofm`xXb@$r_S3gX z+l2ss zlD{Gkai_2UWGNn)q?z*#k7vLTC#&xhd2Us|BS&mB&~Ax#n$p)7P-FWuyvytkM;Ol9qnO_&$Ozq;i}sN=x3}n~9--c6y=v+t#?) z8Kvz{e^Cx)TP}yA586VVzpAD=HMHWte-KB?C;NQ9(CeEAMlqmCZe%^R;g_4XYohh~ zh@d<#?aE#^ZIRUxD0|Lk)0YHlYCN$AUSa&LV*Y=lhb4_x4__uoG9!Lvmrjk{`BoQy z_;joey9sNRTPZG+u1wT`EfCt1r{2A|$_eedR5}j>0|}>qkLT^jEjdX+xq+Sxfslxj z8t$KS&xhrhj5&^mJQmug{MV7{4Ar_Hu-evqd{jUI004>4CmbR%s)yLnh)CbQc08q2 z<`O*zL(TQPy?r$6v%^TpKa_^)tqgvxM;M>^(Qp1t| z1Mz2ulztM8K`f=tl9OWs!+3{{&YJ{FT?OC`C+A-{tXRneEGAZ4bKSn6?&7tN^r#ee z)MAlDD{P~8=s&1chuFZ#s-%oT-vmkUtL%~bK@qyzpbI6Og2m{u4-$u?S*`9zXsQ9g zNAfnSj)c3`TveFScj?DZwpx{*Up2^Z4IgDYOVj*zUYxy8#5pO1kVhMTy=Q79rzf^qF}nsp zkc_$YOil-cl~!`}l7Z~i9-DX4#DR4cR`eO0ICF0ju88zzd<-&y98YJ8_Rr~hOX}${ zjt}z+9Zb~Zi>~X;(@I~m!#2i<{7~H`dJW{}gQ@cCXGTBB0=6NA?!^6h3+0xCOBxC- z3wR&Fsd!fdHzIMaNRfyUg3)-CTI=pSWCx7YG2Oi4CWhe_KF6<(*MOq|4-U9~;O*9{ z*^N&gZmXcFR2nod;GbSKGYn#^@XR$R%5M>nyW;qmR_Z{v5BVqP3zuf&x{)-3$$t5* zjVs@$P~L8~++u_^PqbmE?0e-vi|-<`Rvl;u|nNwH%$# zd&c0AebiX~ZF^ZjeL8x)%{1sF;jtC_^;a1hdQAS_)@(4i6WL#L@#gK*l0R>2_KKzC z=qC0@{!ADvFL394zTVrt7slJ8zj09Eq7_T>azDM9UGA@ z?R^zA3z(5g>^9>04Q!5kLTTIzOZ`o~sA-{7C}&G=P+PxWn>opaJyG5EEYH1}Ll`hoe5;Z-z=tFsRd zajjzepi=*di(s;~SZ`kY^rsbKXny|?i#4yWa~?&d&NdjYzmf;~Xy2a*&alor-ChtQ ze5=_NGM&DRWem8>gTw>tym09GtBACvLrPTREoqgwnG9sUS~?1k{2-}b6zhf%T1(xv z%=wHo82d<{Ie(sbSgXvQeswS>*5U6i5VG4{5(K=Qj&p(N&#SjhUJ(r=YLD2ueJO@*g6VULdU{jySgBOs}w!GJar2C-SwDC~;B09J3TKUN;O1 zycY1Mk>*|ZVbXA zx|-bL_m;^qzmlt1o38z6gh3}vgkzb9r-Jw`?lm~lpahLgto3%Fi zC2v_7bH`F@OM~moA^{o7@l8FYpL90;B=&T1Qyq}!Ia>JCbYzPL!Zt&&nNAr9(1SqO}3ykujeG>`bmOI0(-9JEvF z5x6-((CQ0FReSBgYH%TG@c%uStZHADorI(#k0thqdv3h4u7OiaNK zwoDE9m;>n;R-m*IpR9ESB?(0LxkP|%g}6N`*_I+XVLF)_?)RKNy>pwu-1Q&0jw$EX z)Vc-(vD;H+LD(L6tRG+gPLWfnyZ&63h&w2`=h${Lb)Z?IcX@hP8zw*VLAHv!PsX&W zchkh6UZ6Vo3B%Qp#zz5|_aD#Q)NtX!#F%i)#Z<*$C}Och1B1>$>;AQKcQtdglVj6g|bo>~tk2(5LI%OBquvCIgcFlN2zbb1$p@O9Sv9k}3l^ zqJan}qlZBsTJ6vRab1gP_wcx})86JV^Dok5U54bMyDix=8eN`wOOx$Ea=cDl6%uS8 zM7$`atMwj@*`p25F3>m6jU)awaYTMwmoZw={Yp*DX$0$3VyhB|dejb5>XXrs z5NWDFOU@v$dBhkqUbAJe&MQYG#n2(SABkMG7pfB;AS>arI5{K17B+snTGtEET?mHx zDgDO0io?`^2aD(E>=Ge3A+XPr$pY8-6uMoq&&p0~>g8ylj>sx8pPmbN_a5G;l&g^E z>>#wc*GI}H{%o>AiWNvHzw89L#S$qcMLL;m{IVi+wI)7z&nwI36QlZ{o~M7A7P)GG z{`rZm1wr=B%ThS^CD*HmNe|$ov2LZ+a-ID@*_9^(0PmCI*99yBD==iMMSinsvmd=N z-HkSZh9B7Q3=Qd2(%Q-UhP{^{`~~dPbBBRa1!pZKb^(T#VnWE%^UQ2LHYGe>ET)9E zRFc!UAevcNaGqVoiq@i32b55>)#y^Y&dp~dNv$!06~m8ezUk zbqhj0LM1xr+m|oR>2=Ge2L!^@3jk?E9R+^6wea}p9r?cA{mgGKUoYNU8G(|lX4Y=X*q(&jSh~ArRRh)< zhrLA-BC;-5lbWellWg(kXpV1N4iQr`<1S+k)l<~hvnCG7(LI&)vYmiPsp1xKHm*ipa~0^fU|LVBC8DKMtub_fU%f9meZSd>Mviy z3~O75f`+&AVs@}`$}V|aAyxfV{xO!z@i^BQyUk&``F;)RgiWqFTLiuz^Fn94{kZ>%7$MxH;ktWD;3tv=t|iqR zP#cV%T$$fDO9=x^y^RFp>pR?tDX1YhuMG0JLV>hKk~j;jPvM6f6*vAy0~JhQ*YROG zcki_u1&&xP_ATc>V?<5@-5i$X*&heJzBS3)BRkg^CK#mhY339AU5FEx9yi`ZF;~i* z4ksX1M-fD2W9w96`Fgi3t7U^9dia5&n!6Q><;fDTxjSqCcXqrXx;KHt5lLL;@`OOX zw4dm6p>-j;uSZ|gw*@i& zXoK!zj2}g z?``P?U>!mJTa^3frAsT2pTc*wd(H?kyUY-%rN5AD zZr5zv?`nKS;)_IUh)0o^pq>Nuy~Jfx{bixBIyXKUPmzndFzgYu3ww+%)=kI@x1$M?s)Htk8+i*AXCy zKcC2^EOVML@D|m`t`84UT>rENy*^mWhS$_xcTL8+0=xLoM|s!gEus8b`oKu}Py={t zpJ;Tri|{a1YXGJlRgMu=n5v7e51}}6==UNU*t%+CPTKuc6SJdUiiKh5Nn-WA7iCPV z=IH32B78t>Gn888R!D6zu>@|a6Ql#CcStR;2C3Nc*_Ta3cszKN8kr!o9HdYI*aN7A zxHPHvUxjxTr}K7*Fp(N&IDq3}%Nw>m|G47ZES)4rvF|`y(CChdrq_xHKA~`5mYCWt z-s*Kj0*GDsT4W>6q%R_d4<9EqOEcf~d&DbEoZ%0WC)i$W0Jf%weWku^S^=|y$&+gi zGLUg0RZK68XZ2KXYvw6RojXGqHDYhEMY{Zh&GYS(7bB3h4PzT9>zeARg*U}HfHjb# z=E28QTRDQN3&#+}pd@_$Oe4x%)+w@!8ODy^alPy&=0B^#L|iPzSW?^nldI5E#rzP_d?hVnPo%7wQw+yb!;m0KY1Z?prYmE-vg?-;a!xs*vb~BZ@5HOXADu8nLpR*Vj`%kmUGMhe3RzK zvZWZ~NJ&%M@YRZ<&#X*Qt|=VOTLuqqhWl^C_bHla0+NT(lMAcEp$r>e+f~YzA=s1Y zSNSS8HNV-)z@R^YY!EZ%$$KO~Y^vW*=f(P}^L__}K0MxX`Aq~DE)Q`CD{rKFIJl3- z4|-X$Um>en3}daDA70i8G-f^k>=UO&j4w-9RGeAzY`8H#5+jWv?l6H@Mua`p9Hj<~ z*ZeDWDuIr|sVg%31hn5Pma8c~r%`;T|Fy~^1`*`5ks<|d_xX+;L5`xkhapmCgSnAU z%~eqE3jG&!;2<0+x97z@;PV|jo)hQ;+)Z)3!=|1LA8xdDm(2~$v-Aqbm^g3jn!R(2 zLB2Z}vNV`^-23y}Z@9zXZ$pMeCSeSL4jde)nge*^jx}#jJb&i&qs2XdJ&f;n>0p!@ zlraBWQ0?NM$-A}E96&qGt^UU>bwoNoPY+nvr*7{$DjShgdXiNzae42A(q!j8Hi>{^ zXP*nRwG8Q~)1}3d=V_}&w_+o;?HHIkr1lxeD9V0WQ%?UEXgn#`-la+FtarkLqRmy~ zq2s-*^B}I&UdCynK-KW?ADX{7uK>YosKgh!-$dVxC1h$6oAan%U3B|9oxL5DYF!6Q zL)@or;s1dWd@Ob@Xe0@2GccCdt*K5jXeXz!I_+t*EpTU_0ny7|{qj8ig&4EH1uN&C zA>x5>>-5)00mk6x!jTmYcTxG+CyX;OB-tJsxJuD->lfH4LS{HpNc<(SKVOS=t!sGtB zoj!FJ%YSq*Hcu11#N8aIZhFc#_h#6~=!J+pVQ`Hpr-A2~Wo0|S`_0EM{U02^P`;UD z&vHbvT)bOO<|f}meh@nN)dXI<)=9-B+Z%0O0c6t0R{5Uu^S=m2TGHi%S22QrKp?Qe zPyY8$%d_mRXMEIg8t(ixcMWjiHy-{g25Cm(;T7f$mEDSi)i3gm1~Sg=rxsArOl_@9lV-6Vp15&nZ*64&0^3=@2PdXvNPb?>QVis;-` zf*(BvpZfINE_2eq2`qx{3%G!fzq@>Hi8%cxXd?%h0dW<318RkHcrEJ(Yc${I-c9JY8 zm9(^0q=jtRn-D)z|9EWkm}Y|%&9fLJENT|T%Pz4VR56R$I5tNcHS=|I&zYDK-j~)^ zCG-NMubnZSwQ03+7?nKv2J7WH@x(2VE`XOR0y&2M>l4_ zkc;@+X5~rb;hpaJ*Dhz|@*k@g(uS8h`?Q)tN^2!0YZeKW#FdoIo~6aM50ONxn9j4Z`o zGv=+q9vvTeu?%@r&!wJ*YI?@t0xKMi@v_wkLHf3{TBX)Lc+Qt$%R?O;~P$hwE!!R`^ z4y2DY?*nz+6=RVz>^U3mKi6Ukg1V}u+uX~r<~yg3SbC&p|8r&Mgi=^JAUl{Kht>)? zJS@AUwYA%A{oN})nIR>#wP7yqT3V(p$+7rM)!CE_JI;SkG)@n&iL%nL(?^?@eX z!Xvx?0I4n=YvXvH!V;fXJGcrgCU>v(*-S9?cNq0~(7hGs8&q_;$S zmJzVwHhH4o0^cXrlcQp(mBZ8HwrU zo0YVmxDAN0PVDp;_@Kwx=5)t4Oizuw?vei#%~2FpWaeFU_{(4FId6=ZjOC+N9o=sz zE4hP|FpHH;-_!2d%Ql>0QU?fCq3?3r)`Fmvj?8O@>8U)grvHvM4Em(xc4R*F>od|B zRFOg`R}BU-Go5$zY=8Ck$m$V;k>MWIzbCBKfWtS^3pjXU6zDZfxY4_1^pyeyN!|Ey zzZ&@f=^g1)gJSqB z%YIIz(Y77^vsErK&+84-sgf*WV1R6!Ftx&UO$;R*6S|sG>e_o)ZUgoG#5_m1jpeWY zePXPmr|_c?F!7o=-cxe-;6=75I=e5BWi%+)+n$8Vw`@vP|4`CZds!MYN7}=(P9-;| ze3cRWy2zvK3ZWsR(h4d7KSo_3$S^O60pkh9Me$g_f1C@n^OM0K7iJhDUiT#d(!@yq z8p708^He9qHgpz9Qg(4Pu~x;_$_u30jPo9pdpgq@LQaUFvta0;h5S(K0 z9>V#@@4adxwI*oyB|cxPD`2jpgQ76Gv^13*o^p=iB3U;A;*#ezEW1bYgzakS=Z}62 zPKQ8F+p+vE1Mz^NvWY1>(nvd}Q5?8ix^K}jh}BdX3O;}m*qPKmwl(+9=F~rXCHn#4 z_V>qMD*j8cJX^E%f280wq^wooWO~tq)`^QF_MR^-%Ag_0j>@%geo?gEaG;#b`*umg z3INp||1y8YHSP-Z-J0Z=ZfF>MQ{s-Z`rH!;eHfIw*!C3q*Xb_!7ohA|%hE)t(J zAAhF?blj*bteXWQaOw5vkCjYE8t^$11g-mL`uUZK1Gnl=j=>Pl1-m9s*g9xC``J<* zNNI)c`5MEzuZuyD3NHNHq?s4{qS?+L`p2xf}=uQ^AM>H z`^5$2w~aS$NS-c4nHGc~!Bi7T-V}p1;XSOm{d}YzKgc2QsxxC83}DO$GCD;NAm$C5 z0_#YoTtEqZd?PS3AUNhEnk;qmLTh-s=e2^hmE}mbh%LvVO>AxYf#PBK~ED)o1Qa-(QJlM)#icm)P%JEx`tdpX=kJkLk<>aZ##7JGXMPUM@vn zHu-ipviB`O_8bR_2X-WV0XgAZo_y5b_w*Kv?fXq_4>dfnSXT;h&>aKnT3(^EYwQ)-QwP?V(7BNE#09#mWQ~8Zl>Kne|Jm3o79#`m1 zLz`q@3PQu3K|9B+|dM zJc}WrtRHBUWuZ~`B7{I8ZcXK-@bFzrtQ%3hGU`=^EX_txlGYdlu8x(&&VJFZ9cJ@bu#?-FHU1t-@%dT8?iqwN4GzBQ_tnE_%q^uL zI)FSGdBYP&HyL{LN*F&wMM@IyF&0el(+Nv8#U8D`srKWCYZbf&0xFjv{{ty!QEIj4 zG=1;Iy-x5$Emq63M7jBKjgI45QuKY!=+(MB#<#pJVtCo)esXLI590J|DAuzmG}1&D zb^o&8Pu?>kpFCyz65+P&3fhyfif{aGd7oTVaWAglUfXH$udKaPT;*LiYBLb6VlRdk z&2#)dqud08UIY~V7et=AKk8*wT0USHJ^w=ST9p}4W?$Us^mlPo-jO1`8^h}VZa(_q z+qwE zDzX1InA#vlVww>HWgFvVgO!7&0Xshmt(;piPN%Jb&G4#lfj`COW!Dy86E2|P)udb3 zM(+B#+rL@>P%lmIR+`MBI;)P}mObY%C(a+{GEcb6Q8Lw*_y}HusW*N5q|}p9oP%`y z%X-Y=8WPkerZ|z)1fL!2PHP?vW2|5YcC8GWi8$9_{atcgW5Cp%?G~rIo3T**C-ETh)L9#46shvn>UbLQDT{6zuigr$~bMcp=!s%3z6g#Zf2MIFvg?f)@nEIBdZQVUS90Qca;LUF2=W1w^X=^ zHqzt}jt#vPf{c#-h2ikOlA>>)h@o6w1Wz?95DLbp)I z=e8_n7X#*6(d4I(JO{u1XJPXED$t^?1_r8JlHWD3T!RgTq3;{FCj`vO_rPB_K^b}} z0UT7bNTwU3F__rYxkepJh$ka$L+eLRg51(R0r^Bv%v6Yf0WaEI<4g}TlW$CrxN1&^qV7WZa!0YJo4z(`^buvlB4KH=SWAngka2%U;w|_L)F!ZdcUBB5+X;8} zgsPFfgZI35pb9vQ87Hr&n!$hbUN3f5p9nMbiHMa|&g}qip%X(z7?s4oZ=Cu1Wigd| zc2kzwVi8=a12m}0x8}_fZyRYm#aLD32xMhq*!LJcMT8*60*2Gpg`=iB3 zBWp>t#>Uw5!b!@-m?q4~iixgD*tZJB*I$H@`$I5>czY=?ec)UXs_g7&<<%mKuZnA8 zn6f;;Otci|I%?&|{P+_clz4!YSic8ZN*wUai)3NxzHtF`uL3jV9sKMJ$x3`@TUW8v zfvEn7nE5L;L<)PW!X=u7M;jRnAZR65JU#9*ZS3>cT$|-kSgXC3sJ}hpU|9eqj{KRD zl{n*B6>v0Og;z3R%Ll{o8r3yh3Xc5P>3n&}e~$O&+V8X_zG&W_uP4idt-Y7jj;Pk_ zQAvE+TGwEJ;~3h~Z%QR6RR3iLkim&aKNxs7?6vHUgQj0j4mWhOP#h0%Iasb-;|ZwB zruwh6UUpy=e$``$xC-+u=tzGS^1O+;&z3Fjg1jd)o8n#R;-(>+y z#Gn%Qmx(Ie34&eK`mecK)aNzh$4iQwcHi6#{zhz$311saONW7WIt0UptbSax5eo(Z z3H79QUVg-<5#ZD>#Lrdfd`>}YfJ@rL-nPuj2ZLZEP$wX#Cy9EAp?{fEHh!!~btNoq zapqgXXIw;q(8QmnvWL_@R=Qq6W$R1vIZF{xuvg>) z-^h8m4h`{W1K;rPHI6uwU@UDO7yisNdpuO&{syu7Z}OAj2x+*JMJT(*^4|*~K8ym}cT^Tt_OYbUA#j z@pAotfnuU>2AqPHJn{*G-+mT?z`xjy%qQ8`fR6nnLvNzBM1(=5?3Y6d6B>I!JE*xl z3`BeUD13oxcHd-qu=}Va69k7##rMc}n}^H{Riw{4j|YNk&KC$uO858M836YZ!Q{Oc zvCi8zoqdltVrJ>TMyS&zjT?plu1Os+9D6Zg2=wgQ9nX);@t?g7b5+6p^FT=GFGtn2 z*OG7L;L;QFtZPUgtC`@8hbiodaCrHg#n9o9 z&x46KY~z~eM*J{_=Xv&@m}7s<7OA?J0F4>5lCpm)m4YITClB(z<)s6x30B*DTfDG{ zcNY;p9Vto~YHL-NzWyIV-Jfp?TrbB)J-hWDe|4_o@+X z6?ypfa$`;o3%Va<9*(C454&tKED0VtlL0f7^r+&hh09t@q9%iSv~4{zHv!vA97y>Q zs`2eQqUfV*>Gwb}*q{+ewv_-{0KO&+fdrK&t{Ppy06o}06yC=xOe=aMa91(`L4D6r z6^a%4%=c!cSNh5C4RiIAl$FDndy*vx{J(aIho}L6a175(9EYK6?$qPvxn|{IZKzTr4+OGcvD4XL1z02CO3-ToV>+y7w{{a0J za#jt~eC5G^D7|ZXUG%zOIOA$I0aw36*8%1Uuy&n?x}oQEKBAg{1rF{tQHNh7PL?0u z4oX6{GhG``|=nkJCvGJRaoH&3c{z+KIZH`I2Mw0tPY9@;^xx!{52 zFu!xdO-0WU407e&gdba2{R^y0+MZw_vC@hcax%@nTy~}lUC|W?U5Z~U2x2XCOC{6H z@tHS{)2TSAyZeDMTqK6EoPUjns+K~`k~#owqB~d&sKSq{|I751=qCrx1NQ%jIy5H1 z@k8=dn^i^KdKfOq%1W3%_}ifn>38w7ex8L~KOrLneV=~gmFAgrFp4dn6rH4G&dz=t zMb=j8+qBM@(aY-rk6s)rc)qMTbIsDtl^hB164qFL$IUY;w^*XEQ%t}j@4{l`TyrWr zwU+d2Cj003`?Ug>>wGdtLN9r4{12ppQn93+v_*=WH@#zYft-_^kNvu3p+oWRV_e3w z`@990{yl<5e2SJdEnn|~EJG&403+eCdtv=Yh z_w_RzWx@bW(kiO}4y|G(8mZ^ymh$}RB3o{z;Jz(3A)Wh`)_*r=Jdkg8?W{|N8*g#F zsiXKr@q6k7na)_`W~Pq#Q2%T2_Am4>HEUiDsi7}V2ZugF!iJ1&|2MuRJUKfVXZ+M_ z3*S5}pSbY0)!JR+`kQbejbodEZ@!qGzfH*U(Kn-?6Te+xUHWhsJABSDVGH+AY^psV zL@aNu&&$z{k~zK#-8ZMmI$l8QL~aEQ@&l8C`tGc!Ft{K~#pqBIVs|$NOF~)IUpX6( zpyBlk^&G-WOXI^+DslAD_G=4i$LH6X2RNIzS{t>lv%c+kP(}e!rz-3&3_i|-?%6Pq z*c-W@+3A04hxHZLMCvs*3VRvEffhD;Ld*B&R*cmTmV)yabbzPIHG7h1#98UsD7*lOeFDW|i-Abtkb))r9x8yb_(=x0h)?QP%H+8ePuJn%pMb$rc z6z`u|2JytxD&I&Qz+vlbVcctjmBSm9Qk==529;#Nt|QskoHfr3b;BgS2xnzTpr9uH z`tX})Jh^tt6Hv(%xh~mNv%m|QXq;pPAPr|!$%jUmZfnL>AUz{wblXi%lNrG}Y4YY> zofr(*uuC3$gKDpKc!0L-&wZypB1D6!B^Za52vqUP$~g63eNao$+dIcXG(p`uaWPS}90y~_ReRKV)Ce++ z=fNdTVG&$Jej%@P(hO`Ec}}6K0ElKk5yv-lIKcXP>D#g%l+Cp`+ZTQN@Ui+Qv6K{9kY6t2Eay!Qo!8j9latVR22)K!k)aCBNE3Jsa&}fwE8Z0hD+6`{ z6t3IK@NS!?cNSA4ZLcdiO#H_=96q6cA8?JIJW*Cvo_M{6*mndR&bM5gcb*q$1H=Da6>L3HV!TuTNg?ngl%Ibh>ta{*})Yi`cv3+Y+R z@axGY_Gwk&nc;~X7!yPP<9(~QAAwQt3G6VBiHT*TJ?y!|mMAMy`<9w=1&!-^=uvMm zr;ajvLt&-Ly7c~#QxF@G(miBJ{0X0 z5zBsYmL>fr{gd-A(Vgz`NoV4DS z%SF52Fy8SfCL z=sZikiC20q^H;$>R4wuv&zdYEK1Xl5Kw8NBELjI4W@9?Mkeg8ik94iva$+2=I zlx*kV$jCV4*kmRnBjh;QDWen}dlVf9*_(2Vj7S_KBReZ^=WuLU$*9lo<@@^s&f}cN z<387YU)S?`4%LF{Qn_^TRDzk$;ebzD$+wu>olj zaCbl9B?F2t)?5eCZpNv`A)6T5jV90A@#fz?mEr3)E)>rIiT-JQ_;62DYX5SX25fJ3 z@g*zzQS}mfWuG7T)sx2q@8|GzF%;FXnX@S#yj-X@)5q4W-bzJ^A}V%8*CA7n<{*G>PWXVCEiSc)KJq6U+!59E1s3 zpdDMLr?b}ci;KeibX*GqIj#fGZ9Wzu><&Q+l*T84meSvW!TiBPwz~?m`+B0;o&FyP zezFOYmQP9niBEQ}bDF~ezfJ^Y`@F__RG9e~4VcrdAL9Wq46p7YT>wGp z`T+g1e7moqU@;L@_Q)MOP5BXYuANEnG}>nGQR02EcTVjcmDda2X-C&b3arl6RWYmK z2q$A}J^cjQ8qU7AQ7-Gl8G?&Q;qj&1dQ7V{Vu=3pmTNsBeW7cPSZsLlEGcCc35=3* znyH_m({$al*xT8!?AESqJt4kCE+!Z5x?U$ouj5(YRpmD*0V;zy?MA24!Ei}k98{y( zfW}Y@tO4T6SEOu!CU5Nc>1{Ev%+bqv#Nx-U&M$UB-`ZWkky*bkW)a_8>(s1Y@ztHb z1QqzWf;IDIpa(MdB5u9hDI?W$Z(M&Ey}K`-q&fD7mC z9u>Y|CuI9QVc`y2WR0?L5O}AO=ctaQ3xGSvk1H+w1Zp^Or)$^GHSvFP71{=J>H3W@ z|CJu@O$v_9HHp0HkBsT|3tw4tsE~U!x4@)Y8%Zp%_%4?cGK<|k3H|G-vk!Y&GcBi- znq$xXPvNy>KCH+Z6`E{0;A{>6;1kCUeiY#(jI6%@$oW^yE{B@Er}4o-6SUmhUwsjS z@?=eXVDWBm$Nk2gqfj6*O-yPR?`Ppsso7Hf?W@0kNV}=l@WuS!@>K^WhS!9GlH^nT zpQiJdbA3*-Qy(Mvsuu&LlyW^|w*55U!)1sUE?1*^*A1gN9p+v-5Ny<%h)$deQW{3j zq^>4bN*}tpZqH1|1~S%4p3i4(clDX(KolEwtSGst?H`*KRvDYYsS7^62)e|6;_P=g zQqHA#?qKj~w&xGUT%c%&k2A-<;+y7D^uOfL%y^yN)%XWPg7&NF@<$t4G#~77(2?na z_w(K=f$!@Cnp_LTI)?YJY zrYxZnNwRl=Dw>-d)UweNXD^OYPuB{D!WsNK3E+Rciv#y8LS=_Dpy@B!`B!je0fVZ) zdEU=7PMCT{CV7TP85UNhS=`jjU2(Y~IU;o1>v63)iD4HmP9)v@&F zU?WPge1Dx-?9x+&p+^8InPKg&5SED68To4NNH@#k9UI{jHE;7|VX zR$hTeW+_=w)GjZDt_-G4yX4{ygAv<=#X`4U2ozk+qxVtB94M&iWpZeejGQdtF)w3X zHJcAqme!_+AY%>|{Tqkg?pstNs~q%;>DJ|v;`{Z_fYyaK?Yuscg2G~EA?EWfmG!DYV^}8*VZj5T zH%X!HqSeKr#U-zze7e6!4)LvLnY{}UKu|_Lph=}c=gEzLL~M1xppyk+B0H&O(J;md z^fe40nq5ICEIOiqdQi=eR?YrhE2u>wqSZDj3dK=!=)zCXYy4^VTWcgbBF|-fIoThu zDtJ|a(nRzK|1Qm^29()0%bXDj5Jg*cZTjj5%cR-$CTrU6Ip4{SRaiy)9Retvu`WR9jkU>kAuBi~oSsZ% zz#kUrSO*^s**`Az@SJkqA`cj5SgEI{Ht7M!E2E@;pkDXyV5uuRu%;|jXpq3tzUB3z zaX}Mu^=%KQ;zJ7&*@pR~<300<&Rf9g$tDUJ#1>4kh!(3^znvTWs$U_L< zS?ND+oR<+nBVCA{^O-3vrKd+_UNh&|nxn7@`G{O~hNm#Owt(go;ek5bDrkjD|nV_V2BK~+H zW`#31hW!i0o>Iw^%R|&qLC?Oa4wuHmYB9j4vG0dg1z6(X?=_E3d~&zSL`n9m!1J1X zbedFRcpJ$wntcmtzInG7@nN*_-IT1wQ9Vsmn8SE}m6%;>n54sE(FoyNJTN;@d+v^AxMRhHNVOO zp~&|}vmOK)|EGJLlRWWzvV&;YM0?*bp2OXyELjw9GS-QRKm!i-|*gs*DZ-1JT zRAXQG1v4;$i6so$DSze{XVfV2wPRnk58W7x76$gs6CI?bvLS5#05ze4n4rAbWDa? z&n1NGdA+Cv;62b}W>|LVRP3VjQ3sITE&8j>*jqlmTRwR}oWK0?RYgr}8Z59>n-@9F z$pBc&7|=XB=X7Rq(YHvMl)XVsM2^u-x>>lGJM^A;jrluNE|1g!gx&=(%XXLCexi(qKu~dKPF> z-O|N0^nf-ld34oM8j?0;&j>oNPg76d`*;|D603^peA>iHtrkz7s9X{fk69hW+0NZ* zl9D~K44G~Zg2F7UmHO50VjEoqyj-hmvwWZ*LMC>LMi{hJcQN7Rx~jD*%3^~!V(~3o z)1W)khMD$2b$Gh%p{pJ13?DUeYO#9SzM^C> z=mInF!Pa>3vQIa3%jnenI5m5h3m9sSH2F|^INN(TB^?EkxGm6L3MaCRJ;k>7@W;o< zepf5BjYE*695AjQ$FRKUq^pbRRwpSWZ(8wPqmMlNI5W{=qgu(S{opoC=jDwwL~;2e zh^G}~U*TO_bZBy&>z36~CWe_o&?~K6EI)>UxgEM1p<-ob<(Wp3wzIEj2iOe+Dpl~7 zL8gmyRgm3^5*wp^r zL6g%8RzD3egW&#wt2g__XVr*pjOxoQG2w;%&I>a9fcKnhbTHfCB4V+ucLhVm8dF^x zIZ2-u2u=azY**~*c2=Re#Z3lViEV=+5*a%-@I?~n#j z7;_1JoHIc*e6-fcit-OZd2I%YfZxZsQi5sKJhSYza3D%kS$HyDY;3!&&?eOnMp2^(oKtj$ za|ufq?~zA7e3+d$z5o#l`2|^uOeo08g6ccV1qwzS9*^re^gPtk=1NkA;c^PKmC%eD zRsu|(y(~gNU3Exn>08E1KXpbA*)}TGdCmMfFPOc-%=pu3huPj&a&v z$;_0S>K)?iSUn1;*zCV~8v2$9zHtb!Y*EpEfB8;UDiOaf^&M;Kk1Fsr_{r9oVB7VQBUXg~N) z=R(RFWTc{y<~iLhrK$q2{Sm`ozW(=0?Zkb$DrQj5%lQ-U4ZWHL_beT})6Sq5k-DMK zt=5Tm-z`pCa7XEhj-&{jt@;EY4F3bkPN!9colK?#*2eEfcc3I&-dwM&+ZP`#Cf&&< z!7O_ze(RkVWOMAtas}lyhH%zlYvN7Zf|>`*dFeq5gcvI%l(Jirk(HJR)XFCRjaiTk zmh6@3H~Wz;p}R%Dl~XhR5rIITkLt!SN=XNw%Bh}=7mjJ&A9JNZ|E2AVG(!0Xv0C4M zL^NZQP&j?Qa#x&DRc<2C+NeXd*?-M_oGu;&41ER@O4hu-$U#cJxe7}3UAg0*x(#?m zdY+5f!0DU7TtRw*`!^n+p{1Jdi$Hzh}7h%|UNO2h>QHiPRahB7P`6{$(MQQAX zF4r27wWw7XA+=>7>o9bs_4_;ar&p7zTTw4?Km%$HIXx_x=A3Au6j8n;PiNy#vTpb_ zzU}A$99}5%S+gqfZi{(xylPR55-W9=$AGifm$|>KDR?^hE}WyBgCW6lE@XgfRQGCx zkfSHF`7HNo+5Q?B3Zap-m3Artlf920Hb&4KE~0=9L}L|#xrLccf*f(o^Qd3 z#g(1|C9mfAiH#i#0SYljWpfcw#hXobabo6^%e3lH<)|iFm8@3E|EHyL#X9~#?TkcF zn${g3{FEnoi8%8o@jWi(9r9;kdeQo&Gj`}6W^5!P0ZD1K+#gQ4YCgu{#hdE+Pk8?C zGlQ3ThCuMi2@4=iNC?deI+x_vepoG`PWE|0hN9#rvYQhc%Sj}AC>gJ1lU(`YT!` z{?s4W(Xp;S>{@!H2_34Ex_yNI?Oe40ByL4`-g#?(`U4@%p<@Uj+hfN&RO7etQ>KJ4 zcVIn!e()~h#+orx%Tk%D)pLVj;TR|_HQMlb;G;J)s_}QiCm&cIu=930`7F{E_#zUYw?R&m%R(*^*cURvt_vdl3L~$OFLS9b|w+P9*NE+ch~k zNN50*744uag*^dt6Lrt4zD?KX3o5O!ta*vK-=RuaEyQd{G}>Poe~p$EQ*PUU1t5Nx zM&A_q01<7l6_tJtK+u!NjZZf|KDy4gz`ip&LSU^Q_OqvdCb?Uc@ZV*bjRLwxeE74= zRZi2q*a&qK$kn=!8SR^MS)9-?t)&E;$kawt?t%zHGK7YW9_zgTldYjBipt??TT;F3D_ zh5PY@FQdqXVsqtut{!ckmqdbyEI_~%l$<4=ODuBz9cGR2d)hQKk{=iJu{{u^-0BKM zvga=jkH@UK;{k5OFV!)cWI+l`Pdnt+U$2!g`)-42*@p8Kr8V(nadFhMA;na zDiH)bnN)ZevACTF6oS&olDiut=Ua?yLiD=z?XEP5*=!m4`4;{;(NXLeoPT(`x3{9d z#5GQ1<*xYq{fgf~(!{Kb6_2zT%rm^t2d_Q*8}MG~gCCy5Z;B>f46WpE``T+u5w)6Y z6z>D6)?(Vz?ZmhC`L@w(+Q7IpdTg+aTy*+X&lx@&ZGA&pt!vsM1LoYqSKolrO-mNd z&D20VK%o*xN}yT&e_gU8@C1KP<(`8JlUlpz#*|imh6q)Fr1&_O>&pSZ9U=OUB_K|x zLf>5cs2e0Qtyvy;=xi-qGYe63E;^?(_2Z-msGUrUo;*J`zu*-k_?-|&1NOu1e@4OC z-l3`WtazsYb!Uqgt z#}Sr+z$rPmi?2>eK0ll>AU^vP+(`LgrH@DtLzSNjVdP=@iY?$8Fn;`=?L|6BG<^_* zhMkNJ$|upC+wKb98Z!Ux^;PvW#^OP*zy7vW%IyHS%+jaOlL^ncDXX@8gY8r>s$)od zJu4WzRbryb5jt*{{(3BCnVXskav63?h$#)v%MFjdZVb!$HsSBfKB59#@5gP>=egef z{=w^Jw5K6|WgWFc1-9TEna;YhGn19FS{ZVTC|M%u@;$9&x9@HyYMTG(^ZIsHXmwwIrL@O4A^`1E zP@2kzl#nB1@5yLsauG>#s8~AyeEs!jARrO2&#E%F{`Em09dG%D!Fy)-ag~}8r)Qbs z3yf9BMCpIsB!5d+Mp=CHL`i3O$egYEq43jWhn)42}s>;XbFA>e@5Un+(Elq@yc zcsWYC)8C{Ic>2 zs#kw3*DSxr~W&m7ecAE>|% z7vChQ5QC}KuNsT}JOYD@aFga@nOF?v5 z8_ECx$_cZ+IsPP|RHwsyDmrdw)N>^WWr+P&5I`MUkNN@7bb-`x9vY&=Mt=~gP{?)T zc6ZqDJxFTSkn>r)YK;`KmCf4Q&KtQpk1VQTD!!kLhVosB6fy59@tRJH{l1r#B&eF2 zHF7=XOd0M$%uVoA*~442d93hFEk{P-l1)f~E@GzBY52vu+aHw8kR?;eCi@aXKreH< z7IiY>GXzj!GrZR?J1=K0vC~?wR#kMr9c@BDazgz-+ta{AuS?Cjht~_*@mbGIZ7WS7 zKyzwet!1RE%Zyx@B<$-MzyRCvH_`KiyC?>?s|i+srPLN5FHiE;a`u*L4$zLuMvJ37 zt$af^9o?FsKR&@Zo2L^(RwTACoe9Q;x9t;`&<*!1b!-~^!m!3tjr6jZ(;k3^0^p(D zbhK0>QDC7CuTvSl1U<}!anuP5CF#l!C{)`MXDyr2Y!5(t69nBw&l>`fKp$};I|*BU zmn274T;8mm$nNSvB9@c7`Am11y>!h}dyDs?CsM5LH%22%=?27#D1c+)#0fG@M$zHD z@UM_+CBsYyAo^Q8H5~{9&`Lssf2P_TUFJmNB03?&_k`7^;O)&UlGIA?k0dmIK(F}h zn5R^LbBAWP${8)+0x@IOaE6_NRbzpyOXgk%Aai>%BS%g((79BUA;Op>sebE~J8Fnx z*y9|l8{*A9WShn{mJse)?87iz;JfA}UfQdvtcQC?hfHZ z@5`QdIQ->j_^wnN-px(~p811z2XapC2C8)B4{RqfbhqB!cjf7JCN7H8(PJ8l0esMS zJtJA-+Xt=vI=W}0H_0T$e7>2z^c#~X)jczcr#;};dU!YINC5+}R78dkqW zvv#V(?6*H++AFxQQ5X%-W7Bmrw_q?`435k&5xW~Vr|ZKY$bzIETj*X<_r{VPanVNW zC8-l%-2gj(^HMc;g&2i)hW$=AGIEoQAzi|TX4wPpGIsL=Gkd5zvSZ*%N^@-zCxn;M zE111?t>YvY5Do$TQC@6)C(UWdqrt2rZ@gOwV!tf@Q~dkqwGD7z zq#|?n_g{U={@O77<-Yf8@#ZUMo6$&3JRzMLM9U(g@GvG2_b~n`qWa?W-7QyRUs#Gv zBN8w(RkdV$Z7L2ycFRxl;wgciEKqgV470{H(2(O@_Yu;KZQeIepE~L}o|E*iU;dbA zx)~HvD5HHrc74B`^@1hqpM`@V-h8}mQ^pK%Egmb_@x}Er@dj~icNwIXUQt4Z9?d^g z6(k+C>1^bhHlcmXF6|b|WY}})YLH7S9Y1{(%S#V?ux54pdb6J&3MF|B$Ux~^*7*9X z;s+>sx4Dz=3dsrBH5tiZjwPR5x}2|B6Rh7l0CV4wGbV_YAT z=5>qx-`i$Y9tUIZ?k~CamG(fYC^nNBF;`siu#$OI_Qxf}^0%T=-e<3^*Oz@Mfwgb4 zsVPi|RqJ*p8SRUX9P%SAeEr$>`%4148h4Z6PR{|lrNsJ6KJWAScmN43{8pho>ioR^ zdWC~bCE+xm@Yi$LvCbwz_fbo@jF&=ua&=c;Q3{JCu$n@y8iNCGwLEu9=v;aDpu(jV zD6pvAAFqAwlaoh9k1UcLWW`(|d)2Hes`8_s6%13raPNo(uHAHmsM_TLkLhz$jCw`S z1|?7eU6+PQo}q4cK7tnfKi>loIGcG^d0$#FIN7Su-<@CfBr{Gz(#`_{6KvfZDKmz= zrIR#`=oNf95^JkbcV>y;nps&mIEoLQctPsxJ74+_mi{QMw3_v1KFUU_Jfjm(#YKW4_;{GKTV9X!Sk|BUzRk)mrM_;Rk^ix&11joR@S)-gugfP z=t|eep59)d{CeMSm%^en$fq}o*#0wdH+1&(kB?=3XNjf5`_24+w(5`ELy%OZTSJQZ zyg$D|cLvq%_Z)AiPEVqs-QDS=?#*IC-a=|S-w?&h%wNL=pYs+sL~+2TU^bT0LTo&* zc0S2;d^xG;B~`(m91-f?RGHc=Ql7E!Dd4(!Ag8_HIgi2~T>MWNx=%Ypd_~iM|Md4` zl7)U{uQR0jYTm;qveO#yJz`94YuxuFLl$;BVfPv+5Mi4Si2F9R#XdGb88RW~Cw%Z< z0RbbIgH?Qac&*lxZ+}+*9CZ0%U{!wyUm0fdyWt4q{QcZlGFS@|z4{75-VcaMTgj0^NsA7W!xY9+D@9AWJuyTE<#4+N9OUcjjJ4_XOFCjVvX?35qldB1yak=U3 z_q2_~{W?Wjt`@E=E+(`M0+?0bHP)l?3E26xdvg54K+nXSb5l4H4Yj!>93xa1{C#6^ zr~6hBjnRVwGm?n-Df}xMSpkd zLSN|qkfuqdp{yQ%peqdTKHm}&_K6rb_94D~X0nF9Qd8cYJcO@m9~=wDxT0jONE5@N7~5W@ENiMlX#dRB1M*MVG4Y0*N*{6$z7JsiP?h zGjN+t0a*9si97HNVI*;z_7~fVHM(sR8C)re_G2i4KEZ0h*w}y>wP#W2f0vgbb^dK{ z@}#0w+ka>7n#PZ=Ca5g8%)h)#cRa#-Sk%D9ChFcmGeW>%LY2BR86;RSYVE5}ihS?C zwD;3ufIH2Jhq8d~vvfU4Uzjx$e&t8{FVonyu(4hl;PNp{Uo*EBW?0XMgiPY#m52S&N2fRgC6s$+~H){v(ecFOkS(L{+Qd6 zc!5i{Y+};_bD1z-*N3@|#IoY>Sw=Q1j%VaYO;-+11ujQiUB*jG()oiz(J_n7+^KK8 zv`U2RDtZOncqM`v1&I00xPa5V%^q#~wi{>9pI@pfCk}z4J{xF?Fr?^$4k~^&=LO!$ zhRGd|)Pof5Qft2X4$>7RJyePm0?rVr;!;WueFk%72gbz5wTb5eX$SX3I@D@!fP|bp zUoSivxRI><-3h}8k(R65-S7B$*uGe(3mj+kbsdqpQ{hhP!; z*DI~UP!Bj=ktFpH$gi>yJJ%G(kg5???phYJivH9XWc!&Z*R3oW1bH;8E9^sU{+LkFep84JbBY%&n(4h|}nZ|CvEKsVQo z*^YJwgB2ye1)bsaY@%(U=1|RE)Zg-chlDTUCS(t_1b=IkWb>CGSNhOh2m%o9jgZFU zro3@=dW^5OM=B~h-G%GJzyq$WlMj%($Enqf7U$KA>#zC7`O|e!+NAtB&${E(V5i`j z9-45D?Y*0x2LNr?Q=s9z#5AJ&KVsPBSW3I;3lMC-6uV-10B4X~p#O$|AeUob+S6Co z1t1xYM_-F=SBQ18D?11o$xbI-5Is?qBtAb_CzyTUmY&Z`0CgkD zq?fvlJg_DB+1m+cT>uNF)q)ZSD8WL{yum( zM0*#WJ_N)&^-Nbbx)Lo8fQjLPz#JRB*7tyCT6TQa?EGQZD1NXMBxSUcO{8z%$ymJV z7W;Vp+q*7w%_klHDnUh?xH=?Wc90`PQuVUG4q)^&aU4JM=qcc~(EV;VMQ@-67T*q} zukT)xL*3N67@tOOx2*p1XK}q}(0LZ|6l`R#knJ5Pf65QQk?nV$j268z?ZznIt)W7L zF{@#$rL!i?Wv49YqQ+9%-ps01;V}0SFkMa_zli4ACFBcodjC_X#i;B5NZ5%H%~Shb zeL?)0Rxc}AfDWQQ&iI9q^4J%BE2t63L=PVF!OxTj&&$9ff`SAfRS)Giu8z+<+U7uz zL1WkEvOQN_bfx?SLRcwqR|-Se`140;dQmW{D=w8Vj`VtP)-ij?uI8leBpSp$bo!|h zzB9S*$e4P7GMuqczcj~R3JJU=|5x&HDoC`HKkRXrVu*+@D*dv$uJNix!AD)ON$9OE z)3NlcZ`Xsf!H?K)H3PS=oeAG*BZENkt;~UkRbBo6m;e4YcXcm3ML6eR`+GLl8sc;D1y5rCui-)E*6^ zo=cL<;&7Up;Qk_4bS{+;4jQ=F7Ud2Ujc?dRogghuHCG(LA_KpYP}L+BA{NSi0A#?^ zAKK}a%mML7zDEYh_=`Qa(yw30kdsOs<1iOh&mAZ0KKKn!d0@uIB+`l&ic|f5({tzj2^c;NifzX|H+Cnbn5(O z+}b`U@5pUEwr~FN3Mq5(s&cPj@n?0CuMT5i)5&_efbz3=&lc~FmA)Gu-&1-Zuq22r zQNn=&4vRj#OG}aB-(9iXdd(cvHmtG2mzsbIU%|%s=Y%1F%&m#K&`Rbro&yoPd~Ggb zO7K9#rp`Z;Zb*AY=gG-y46P(Pxzzpf$hC8WhGBFwg?7nc=^#LqHccW?mLH725}mDJ z;p^yODrA4D8X7&wX-@nZuX^tFl_vvra(_cdpENvnH15+p3QaXMy$ZbP$JLv%kK6(+ zy)S?O9%XPjJ%h+QoDz0uq(z6H`1%vqd%jy=^)LuUhYlLSv4LJajELOSN{^Y;dLVU;9XYp0-`XYb%cI1mWS4wej+S=MQxyU#YPjK>zlGqt z#QTP{NB7<;gCp_WtBwN4g0W`$mLHq12Mf#XA`0RzFwYTO>LKc+8xC*68IPh9f`r8b z`CH}mFD}^y(;`!+Q>+hd)E_Wa|F=@5{9jN#b~M`lYWaW4+QL6xbPPF5^>p2@6u)+$ zDq-;n4HVfbd~~a>`v%hzWQI@CYtnu<7M+yBX?_p-qH1h9b@=>?6~hMM!S8`4#@g<oJ9?*!R64C-+j61e8biC}F4=QQk_Dm(o|p;a#Kqc6D*{c_}-hXmE*^9rn>g zR(f`41`WtFa&VjQVh&-O1nTAKO=lt3O7}wq$u*^D|omUBhm33Q4EEqiH~P z7{RXYJ&|a3@devE^At)9+17{Dc}--HGFc?0L~z3ax&)xf;Tkr`Zv#T0r=98a+gF%? zDCN&B3H!Ej8pd$<8In@)$Bdx`$()wDi{B!}q*8uf8cl7Fu$({$F#Uzi;(ez^%=9wpWrS2#HZPkU(J07u~}(H z;UmPtsU^__c_LZS2`}-S%i8C57Vxl~XivQTS{d>voTD}Ec;+X9-5GRbEPW9y9`YCHJ}Ju%{M{lpIPfY@mB-x~< zY<@h!y5lAblH`O_|5-3m-M1|p#`J*`yADfGp;f9WixVa@8dRq8K7=T2z56&e@n-nW z{a0LB_#%}Y+jkFhM=xLELv>vf%JD)63DSTA5_+W2jGIJM-z@!st>)0ArmlsJqq&l* zm^2`f9q+N9KEdwxRLRNAXm1Y6XXSVxMBxXBivOhD9+(fmdE|I{h%9vL*tT|Wx^xD- z3)tfWH_g~(hL~CkMJtnfxrQ`HD>cj+z@rnTg%8u-mg&yA-^dvu=DN}tToS^@M3O(z zYqG_NE_bYJiI|hY4PK?vPEEkE@O6J~;!Hiup~CywUPyfD|k2Naej$h zomo$vfA6)fH9GQ*D4{VY=--(QID0+mNEpR)MVqtS(?p+<{d;}2GQ?k4b#GvEFrnj( z#q5{(9e(d6Xbxc=jW(qOMr=9ywgir`_)!Ns5MdYFL5-XAS4r>NRC}fpnq>W_3E5F` z|MI|7Javl9Sc#E;2nvc~MYD_VUOUu6gBXhOD@51hVb`vt>xw1Tvp`69(E zcJAE&UfV+{Hr2Les|5ae$0c7`YsAI*j`2Yy|J=YYKz1mZ4K16V zCn*K4si!M@_Uw_(;2?Vog^fP=g-icLk_jLT-Pt_Q#=?Ks)qe^I|4Qka?W2QdcLKRi zclP|a9MTIYs9)HTI$PGcMBoih(E%5{bAbe@al9>&{cwj^oCMHaO9kTs;j^EwF;K8K znu)6B4$w%|&;VMcvEoG3RLMsFC{it*7Cox)T{MUfkT0zS z9Oyj3yQ8YWe5y0+O=d_aCi`yu_UWzXL?4ZEd&AT-q z!RLml?!thu{o?^QjIKuv5cwwHG&O5K1q|61Po*d(ezRIL^n(C#YPwSK>&+zsoD+rh zuK`qk_!yt0XFgO6r>&a5wTO%eq{WcEc6c76F8LRH=zl2k?+0(n3 zxIQ0K2PjyN3)O#lM`eoXVH5sr8p*ym_ffc3yn4Ty<)n}HzB`Jat(R=NzHMH1-y(qw zkO>XKr8|;E`fK{H4Z&fqLA+A`StxB07Vy!VN0tuP)}-sNSfbNyB83+KhpUoOiuN04 zZTBQjzQSk0XX9YaN8g2X2+?z0X5K;-SRdkDW)d2!d8L{Kqcxl_Fga1VB0Ek)>Fu z!5Ms%>DMf4FKf&jQ`(x!qLc!>>G>HFWOKe0Zs+R!@Um)>n<2auxqIx=U_4kceeZff zk)H+)^|$Q46uK*m6g&$Q)4-E@VQRW)lFYO7UIN8{t--5Psjg6B6#uf%v$qsqm0jj? zSpztfqoQ(jb=91!$J&IhTRVK~R<`wtiH#QWR`9iUwZ9VeV-;N1H$`KFq$i-lMB|3N zLP?I10(=7Ve)%l0SQzs~{0ti$wp zW*b*CZT18mIV{OPgjTa6Io;x`JJ{~TZ~W70tr%QrO_ZJM{x2$IJL{$3lDNOXCYNSv zjUPbqw03F~GfD5~$S*k_d0me$mC6U|Y0!$JU>}G#juQt|Xwd(G+_Qeoc@kb@87jH}34f50R zYxMkc_?Q*9ZX9isP3^5e(rL^aK~;{9kA&5KtqTYdfPl?Q|^~~{+7Baynj&M>CA0kT@+Q0SzDQp zI`k8gb!t0xuF?)@r@@t<8GHR+?K>epj17?1rj~;v$H3%2i`x-u`d(H!3SUx&qPSp((P7Z%B4Fmc#hdZ+@t< z>Zu#y=pqXfo2V+DAVvMs5Ebr318)cYr8^S6v#qfd25Zq0qqbk!v;HW}yygLsO5X24 z82p^Mg`Ix?=Q%z;U($>G^>4l(`u<}hhAup4S!+ymw@%yt^#^THuuMYv_HDYxGGO=s z@dw@tA5_2#5zPEoSDYUxyYz8vB*t%@uv}4MWe<|9e2nSn4u49z=A_s9pyLdJQ@AEf zBTANcjp3s>i=_IoO;hjgJT(Lp<-4?gowmoQzNC7=o07Hj{fn6@-n6ezr1*YusbO9& zGxp#xa5JvL4LtPqi26UO!jZ#*o7K^)?RE2-3lcqRa+px-9=3P8JHcO(|%)VCI zFx?^h&as!~qn6%`X~aQAy-C=9G7T5??2@ z$Th*3DX$>Y!{i5q=rNb7vsK6DCC}fquFqXsf^FP718yy(LlhQd9fP#XD0}28lqd7T z_&gOaTNNxkD$@th{tUH}C6$5|PTj$!MI^DqGrSI<0c`&Dj4NI<@9ZlWw9OG zrfMNn_T;1C(J51HLApR}6<;eB*^XL$ z7nh{Za3HXQZk1*O#04j;%4SUZv$|eSei`2@3<>(wG|>$(zQY#tJa+J~6U(D>ayJIJ z#Nqf0nmiD8>*PTdB68J6Y3%hL_)?SiPR=t;%jvlelja$*n6Xv7drsdw>tP^s43L&z zzK!&2cRlEq1X8(^9W4N=XAlW1@cWHljtlc z(9(bDOK2PsAMpK+&sP662eO}N>a1m_2t*`CPnZ@L=iBp=AH09kr)Q$4XrE!NmCKcy z0<<&CVr{$B)iy2 zT%>&B$j7Qi(CWSVY95%tJx z^An@?BtP*8%{%&i#nV>rc;2mnEcdhzA(lUS4K7vcX0kN}I&nHSk`+b20wU#8_aM{6 zN&_!j#<(h0x;+WT$khyRp%&aj6SUORjl++m4A%_-Z@fSMFe^TM>+3F}y!K8N=Rk+* zD?aLEEX%c%;^J#RW^q10$)7poBfP{h1~hSBT;1X)!II~?KiWZC_E9pyr3`t8yzuk0 zCli?8O?K}!49{ceOW;)ZAg>2%A6~rb1m#lT{}dn2i@Kkgfo6!-96+7Xe3a3=!pD11 zo(A|EN`p(XBl_oX#oDi5>e#yMp9VUgKwObMYpC$>HF!bxMAyw=KXuPp)+X_?1o=B* zPvXf~$4v0&(AM8#Z4Ru9pLmV!*(SWx-%CZM?pN6L;pvum@ps=Df zBSNTIN3`0NN)xF%f0VAV0(}ZFw3;mz%X2LLYl}&pk^YYE=|WbUPZT~&o^UjOJQxVZ z4(}J%EKB~ER(%hXo{9B@-!Zrlm_We<`3ycHmhY2~}FUl9X$=8QJ zT+{RVwUI&2{muK{7m7wQY!2$vzklH9puzt27vF+VvDcfR_kvVU6{!nUiES&2Dc<)d z5W`khL+w~}e@zF(H4Ak%O`xhAZ_ndR@iJ}Jma)og9D9908vvjAoS>ut_Loy3RV4k`j zO3K+!200ara_jmx1yO6xz4K|5rj^XHQE$ZgZW=Z5@A#i$j==EW0YH zSW&uZ0E$BIWa>3au>iUmEb}_OXv2jGc-0Z=sg?Ta?y5?$;&?Hp0h=oH8>>w$h~G*R z54q*`ujTWmJF9-Ix3zSrU#l#QsXXPjMfm|*;HhYzY+sI; zlG4boxG8g_jXYcpcQqwq?J7ubkQBb$>EbezmX`zX<@%0|?`{eXlP)s_(+aoMVQu1M zbwkRzukl%KZvW`$AO&Rk4w9sF5Z%j%7vrMyj78k7qd8VB09n~S+e z)0x&qix;^5Ws6N>^%*JCb_t}l3_GXUJKtv9KU|I<9S2l9oE5;0MKasEd{xASVf`v> z5bImTXnMRbxg z9pYO>Ur>G$WDPz_7EX5Fec%|PH?=Zl#(AnOKhLX+8*!0vRW5BYQ z2DWxc&OV{Tu}tHx`0#kH>$mo;BNz#rceY`YBoprVviPxyJ%EHnsg%{$BBL3qg?>|Y zz7->AS%+LQ?+nlHQYtEW-X>r`Po=(Ex=UIh*v+!+yd;ToO>zDg5X!Me>pKwQQi3vL z!bM1z4+oX!bSchD^^6*djk2DkZ)B$oeb&gh<;RKCg;P^V%m$a-xizc`9UOp_gr2K^ zf5#b6XG-35srTL~4QXTov-Vyoq8jd3vjpbsbl4U_gdn*}fLbDDAEjwT+vyCeDDa`* zx9PNQON(&Ei5&oyKG1$e#A_$_!YTp%$N942^o67JPo8~V84$>;G*ehoH%x>&vY~{$ z_lzoUtctee>Cib1B_{GHN++(NC-M0#4i>rnJdeU%JfzRtL-$oJNKh@mc@{$R)|Ta2 zUhX?0ML@iR@AqFzOO56!EZfZXz_CB#~Gxvg;rulQw=Z(DZeGb}D?SeM#(!OF^}c64HDaKy*9Ma&T-Q$VE`H`Y|6broNZDPG>8H#MX7)qB zES1&Zby3pYgT)9kG#p{cYN}`KWbt{W1Q_`_?UT@$5}2v)Z6c-@O5HbS7JAkT;|aXG z4BEm`p>F-f=KpEr)V)cPXu3)DO_CqbtE1%E{~~teZ84y>uk?110lJmv%c}*0G(EB- z`=2uroBP7-@?l5X9)^tLND;~vwCkBkgMTlFu( z+bMT>wYrfFDFD2~T$?am6mHP!K&z#8mb_$IV4ql8J`quBVBWTGvx{Xj8KBQU|34kT zy*U)9Ia5|vQ9rwo5W9OKC&Cx!Cp?`!2{cqH$|(;AmJBlEr@sG-Z;&gM-bwzC>69{X z#mSU-K=MSNKPynA3iFxnt#@YBL}X$>(d@;e3D@xmaW5TQLZK?X_^VvkD1r6ne`YJW zTB*xoJ_m}6BWt^)IotVub{G(BDy>F8)DA5nbsQ&3sHECt-$h&$JF(px<*orPhu5$V ze2E=^GzMD0x8z$b{-Jb6@E1|!c&KpyQ-V263J)ze{g|8`P=Qt-Au$X2ddYBhZ%k0} zmKUO*dPV-9dk*YvkSwf!{39yRW!JZB4dv2NMKEezuCGMm1sj)X7-FXqjtGsebY;Bn z*kD7ZwB!Yse551NleXBKjq?lWM`*!^x0huc0AcC%Z2>}{jjD$T7v??3is@(+aPVui z4L#H$@w!oxrosw^y89hzyx;^=wJ^JaX{>Kpymz#Kbx$|r=onCnvx$CfIXM%g`@uUG zc($)2zLU6!3aO1*BmfsM4TIJM$|Ov75H2|+gzve~qgulMAZLF;b0s;q?xl@{NY1G3 z!PE0kJFs5V!w8^q@Yh7&VM+v0!>7?Q55S&Z&&VXh7+6}@G9Zr3q`Ib5e{vAkp7G2% zDo!Os8%#foL~QEs-rCq$L+yTZy-sQyYH$cs+to2Q{K#W}24W)Sn|ajJ{4md9Si?Kn zOoJ&BDcmon+DymZYAi*FX>3cn^KVDEn)2UFG8voiR$iv8xZjQHX!1NWndlauieg8_ zLR*W&Hnzg<7lQZK*UqdImHwOL%Y+=*vvu?T^`zHEwl;jGmrwMQc3zMV3pHE zBl^4K&5v7)@3_Xl90gi=@pXLpkN+IgE#*fu#U_FIgAP5vh?B&gx(x54KmDGp>R_U% zWhTePmhzL28H^eZr!$TTCv0j}t?4&K$#V28RrWC7)}ZWRVp&i6u~MIE=qo;@nk?UG z;b|z&uvW5UCI+tdHAG< zHQj9}Y2}){)d3)V*$!gfx@{Dc#ops1D-Gu5v<X>x!6q)y`{I=wBPTXo&ecRid+0>Ldo_dluOmR$AcNC7Y0%5Y*@H7&!SXG&yp~* zuUgdoTP2*)#fEf@S{rpUOJ6nePz^*?8R2)(bKZ}zo)U}b&;cgr6>~9LL?Fw2G0ZqE z&HGGTd;U+_>J>|Ze&M2sPGYNl3R)dGaHG@V5K&OdvR3mZS2SL~!_(Dkr$xX7b#s0W zRIogB+jrchUIvpqZq#T^bV8Kp6zXuqz)Ed>|7=rEL;Oai6UFm@u|hrLV|@FL=mL)k zS`zK(cO1Dz!Z#4&hP%o(+v!^|7O~5)s(T-WYlOXhU3z3*DK6}$52j!Frf@)njZl`B zzfqFlp(aVnrU5Ru8k4CxL!@U^+DjX(nvc@#sh)PfS_(N#`+Rn90+_9y54T&$#$^id zhNeq?aCqe)bP;*6CQb9BgyeRY_9rJ85%w>Lf2xgJmv}1lQrAV`TQHC-*?}e9j3tZ^ zj6Mf<@uW{l2r3)QMWPy%;7ud?T8{*U63t9PzhzT>`4EH*@DJO3XXPU3ncQFO_y68^y-J-&MONCSGGC5#A#3@uu?lqzAsW2 z1PD(tsC^;vL=;H+TFDm}4 z%=rAhy`AmeP8@10{83r5gws@$^JtoYhf&X+)1Wj4wri)t7gq_ke`?a*4+fd;VOk4= z;9JVa{*(WtT5Stg0(b7-LUlLGnm0Y)95bYTZhk!7*1Dr+_T9bq>XR^w+TO0~gRlr? zc)-Rn!g$U`Cyza~nC;b{DDMcK7#BiGv*uG0&p??R7vK83BBP9+W2#(D2=$?@ul>($ zJ%ym2&N@DQ9y-8`OR$O zAW4VV>!{?dU*~XM8TAkE!|{hzo_Ba(eWD6=-#|95qaL3SK6lk{V$CdRVR6tw>S!jJ z7T4{8i|#MYCjG_ic--)nKx(aOUO*T8X&I=CtJQZYkLK6IABo+TAL7EBjN8|sdJlYM z7XPa%n~WE4;}Y(XsHej*K-V*?nrzppQurO36!O5*Cz;3j)GkglKVg9enqM5(7#A7L ztX`eVH@j@MZP@<>Ra>FbDi?l`4j)7-JoV4co~w-RKMPJ1mkDN#*u2F0cy_UGp$!+}S?&9`jmS>GIH-a#sF1CVzudfGFiYA(ic{eCt5bK?N{^@e< zJ*}uV8E5p)pMd^Hdx2n3hCDmdF>j>l#`5F#XOE7vYXR{iWZo zx0&P<-9rR^tF?G1FRsczj`Hn1K65DM>#>zZE{~Pbsdx6hi)x7?PTp6wOGm{qSqcB5 z3h>Vr<^&!ibuoWI!s!E{?jgKsKak0H`pCsU>a56R93f{%B@6N)rPkhV z@L@PM#Hk2QyYn_kW_FwA73_GkDBC7jk-9;!LWaSR_(1duKy=e)(&rI~yMzG}Gj?+gyde z)LNDZkUs?WwJbP;ykU-$&yTGn~`dZ#wik=*d5p^$Fb9bx_))L}ZARV(#^$(AT z7-NP%$}hHTbu4MYrV2-2@8q0=$x~`~tVw4Zj%du~nQz>ru2UD^Fg{vN zvh7YSC-u*%!GebGq@Q|5%(e&JAKyIRw|2NPp!zBK@Ox}ZQH!9ecdTs%h7&8bycN1 zU?-*DpDsM4X;{qk-tvFFhtyF&^ci39+kq%0uZHtKz2k6iZ@kev#JYnyeW1A*W{!5T zy;gyg|4G@Pz2S`lrJ#B{4SN0v$Mg^WF;s*X-Q?f;vObmVBO z^$m1KbUVc1uT7qPzI>Nz2B2SF#^ivP9TLA?&*3BbJ&bs8bC#(Q{5fw0L6}3^Nf(=!R33tWqx%SnkptWTs zENy&8Elg&@3s@T9utM8d4!s`8WIIfRE9BNcy^g7vJTBI2JCJT`*XM0u!H$tP@_wiM zuCw-9jr+}RM@dNVw0GB9S1~YrPt^@;9v7HU2`6Qq=JPp^HMLXms1B;K;f*mfS#b+} z(>FZpIJ(^4VfJ+9`LZZ;HW(DpzP>|iq&)!c)7Ou9y7bk0c1G15u_g%*{6(bH5_xvj zaFuTGM|3)kuo#^2$G1&1qg=Hm%>w!V1jf$@<~qSX7u7K5u_Q*eAi(ZZ0%Rjh44ab| z#3g))2=cvg)t&F=O!gz@TuK?!qJUnOIphM2hW*19g@!kZ1YkML60xWA3ylx`xf+QM z(TawR6X6AjId7)(9UWuI(&u^!yo{B`nYRp+n*H^XlFTKaYNLVA&P5(KLmk=PD8FN> z2V0lmp0(ur_id6#J{s+8-pXrKt7EWAtAZ!*2m!qq~Bx2jeLS>!F=yRf$n(v17`q%qC(! zLp4+`xhVpGE1Qj|b_fVMzmRzK4Fpcvo9YNQL&Y_6kkkA2?7{%Yz&h(!K(ky5=unJH ze;~vLSy(@&IoS7%Yx8DVBrP>@yGXO@ud~N>JqM+uxmYVzD=gwavb135B-$n>_g@1K z{;Ng)FEYzmT-r9M?8sC8Dm5IvX)WRZZl`}fNyuaUbYGr0L3*7pvb}^2=?bZA)?X=U zf9c;!wXgjB5Bt$n6@RQ>gc;&Yd<1AjXGv97v+@&4Zt4|ro#gf%S001mXD#pBpB|G9 z?V#5!)CGb*8^{80a4ZZib_iPMC*g`Uc)Y5kPtW8BUg-#K-wgtP{ROIJ&f1VfQco38 zDXYi5Lf;P9GkNgVdur3=5nJG$7I@&cH19q7&^L(eN`sW|-r94I2&x^Nl*G-?{3F=A8d4iwWRtAsXSlmyU}$o|%9TAXW$vb&6Znn%C# z9WK4AStLUrN*O~^J9K267WvKetyUQm4U)Q08`0p~gT>AUB`Wm!VG+&HpQ0JBUpyb> z?$=<7=yW6NuMT`9|NoF(?J*P8@ys57reh6HSa<1&YTbvGLV3EgLn|G

(Sw!75K zuK|(d*0Ab)=3_F@`qFykvk(7u&agjme-!c;l$vKLtoqHn#fd32t@>U?n5R8b8;YG% zIa zeo4Zi)wlaQb(Dgu6~cwh@1OSgP)08;3-K;JR9_{yf(KI^FzmkW&74E1nX7N-QtTw7 ziEQDr=EN890tydYwhhb2B22UJgbT>t8Een9Rj4+lyk&IQ!o)ODuo89wY>V)nrZ3sB zsA6P89}9X_S?wr0_T*7lanO>RpmtKqOiH%Xgk5F}6+GW>pSGAS{77BkAPuhdgZl*s zV2RZd{Cs9-rm%YFV0k+<6;GR9$o;0~8{&*xDrxY>OIB_+*I!8kP~ZV= zEN?zCc_(H6`B-sh$J2w;mIg)`(aDF~RVvDqR#kh#@KTf9Uu61)@$J^b5Lx_1!}~@V zeRY=CkG3Z(Nz)D?!+M6LBgkYlZh#>MIKF-JVrVVip*auL;ICB3ijMgvh zk6;be?jCkYR@9UAXEU8abnt}L3|_2LvJpasQ%WZ)Ag?R59ZhA238bn`~NVH z#r_kg{|?WZIo=V5xoMA`4{;9Y7fWgb*N(Y=eeM*@xqQ+t!NXh8ci8qK0L^x`p|YIb z=|=XSu8W3_xHoEX-|W5iAM&gH;lF_0@Uke@Kj2U!c=%HOhbZN9{g}utw&j%eWNOww zWyu7MUdn=}7lSvHe3u-+12c_Z(aDmmdsr3Z5b~Nl)`Ui>HPrnlA*`CAep1!P9U=sp zM-Q9SVf7_8#K@o<>r#e=KHY8uT)Nc}Wn^r)FQiQxKp+y!Q<6@&(9W65RN!0sH2S^89qZeL(Wv(psO%SIe5`+G4k~EI8Y{!g(SHLdU9nOS9-DKQehCsg8Jo1LCbdkVyoJtZZF ze@*FLKdGQ5clOKtnTBAx$KH`}dDO6(`X7v|Nz3Jtzn>LV2V}~l{_pIC4#lp%)~$sV z?PT>ST1$$vo!mVu^v&Z3my=|VL?n^oUT&Wo>GYGgc7tN;`!Jqd!j-=i_SBuk_K#TB z^1&zMiW6YCwfAkEwZik!!u@XRZ#D%LHknkOQ8{=hFB(=xC@A1v5xJQ zGRu6DLjp&rUA}CLIZn@r$D%6c*=#4JH2AAYrhZ!MNPo`=+}r9;N|m`QIT5`4>Gk|N zQIJVt%-7{>*x{=!NuV)kwH82F5Skvy-4IHsn9NVmT1UgapD%=hr)g;%i(`*3u#F&)!v3rr6<$T96g+Ewl-9$1hsPcC!}?;~re+Ae@a>{DAh-73s0{zF z6uA)2JCz_!tgJu>T{vgLzG>ox`N}Tt*(` zX+M1;E&N=PSCpriqZ%=vqdFTB&+N2@dhhsoS6Hf&sc5;Qae2u8ygKGat0b#ad&|Tx zQPnK+0xV6kXR*pExz#kFE!9S^!LEAhCCD%q0I^3keEnD(E6Qjj*ZpD)y47hW|J)d{ zbAMwc9)P{a=%#@IZ>Be&mWXvmbA#SMTY1~nZG5+NL<*LdKAnq474837^R^j(@Pj|Q z#%75r!PU0WwDzndu_?gP0my4x9`fMmdON8;l2tCU{{Rv2uH#j~$Bd6>C^X$Ut|#HX zKztb~wV*`UGTM^HS{u9^KNjm!a$3LB0w_eRjiOCtVhUj?{zUrvFzXoLDj=aD2k)zr zNh5Z61C+Dj$xm^NuE?)P`A-z#q%4i@s??n>3}=ra6|xc1uHsrho|{kSN!}F#GW<9h zq%dOswf?dIBjUggQSKb+ktEA@;{B>0d%GF5y5&jv!+_(3r7?xXR>PZVPW8Un4VoW& zT7wWwf|e$xz%zqM|Caob0Y~NVzk0@Ntt_^nsh5k^_Wly@==%IeB|Y7g${zG`vmssP zL3%a0iJvy6?gEMgFfgj>s(n=2lGAizPDr=W)R(0*sEBBAwYuLQX)Vd97=lc{1(TL4 zihMevBodZ$N>+dqF|YM8*^53PkF?e>mP~2kaj=g}S_(28`VTk_l}KyQWaBt<+r2AO zTC)f-ALYlZTsq|dDkRCFwk~TOolO))c8yFclqO;7Uq^}NxXHXfS(sr zaOg!+siF`=ETx|^C-VEY8!NzyBta1_g~FL z```wvx?wVr`oHSH+@IdWKnF@AfjWChCi!$v7 z9qbG4=@1_I5>abYzDWBx&nUB$(h_(2^mQ5($`037>&DLvUlPYSy%0vq)P;m4G;&@sff>sQ2R)V=YbXIJvK>(gom`d%zkq}jrH zE5D}@VyM7@0-X|xev;X6luu)=YG&azw&VkRqT@GCPqt|1*$8*FoFoqcTNgl~wqWwz zA!T0^h0&s7pdko#$&s~!zvRbnAf2%?xq&t4D9M(4m?{Y&(seT*WT#6-#+eq4m4_Yl zOOk3w!lcNxKV>_gsCW!O%iy5Tqg-@ zl*OboE5{etXZA|19W*Ha4l0Uwa~8Ur?TBw3aX6DJrj?rj5{_5 zIBA4s+JEo`t%s`}%DowM^#iIn8*0L;S5=Fs2vz z&NW|rA|%%Hss(`5)M~gwZj^;u6U&K0m>cUaLaRCgP?w9eR+5@8fP;3J6{qD6bhF!oVnE z;hWu`6Un+{dl9!OvI2=7Tx_c}lEwTJyZEgQN($0LyX^|XQH{hTnW|5s)|qbyP(tgc z;@YV7O_?i9m^Q>8SMbFMm^%4?QTI6V+SYBaRrc6c1{8k3T?-pd&4$4++i`w`-ouf+ zGXMCD=6iPrM~F8Pr9qd(KqK3@LBC7ox0nAkWJoqLFkyAEreVpo8jMwO%$7Rz~Q+@J5Q!J%|nTE%zs z1yXe=&n4CBcwQiBDJs2;|tRpn78;)|= zJd^pbT+Udp-e(bOr+8CGhqVWbwo`oqlkgYN08Fgf`hZD2dCMI2YDe^m+6TRRDrrD- zoSOn)swt8oyNa4_*A^GhY#-}p!4wm>`cUj46jIJU3_MfiXpuW32Qr7IHi$dJ>Z`kl1Fz1&DH(V=|9<56=3-05dYO4 zoQdzSet60EArIHb@F?v^#`X)o;B7_DAp#QVt3PQYyDPLvg%*WZ<7;{obAxN#z-D>d zt0hL=M1`Xy;KeDZOZgU}ea5~JQJ>*n#Y6}8Bwm9Np}tqA^{*~d8l&fJ1)o+`T>iJ< z>g-=ISy2{h_{s-pIy`4|40PZ00`Zd&l~e_YTD=Z*cQeji`nMS5(m9F4d}(ht=GA_P zDrVO5GhT>$*dhq!VnDwxd^JmcGoBortN6b6S~f`XL0VQa%%gQ6pg6F=cYGRFg*j;5 zGP^ZgJs08f&8vec#U#{$&van6L+wE}IVVRV4;xDcevSvRw%FRyTiLis;`;Mv^~Z0* z9BOS|LHUz?t5+XHfC#Ni|GA^Mu$P_^hvUWcg^vzav>m(%1_|R3&y)G5n#B!vF)ZM} zpjEc6rPl#p@1cTcau@Mo{5 z`?qpI&M^Ov`*LwBsh3j@r1_e^9|Q20>tt2Z7T zVHfDi5GuWxXbvv@gW~GEp}1;pAjM$rTJkx@CxOgdyXNi6v9hKYbr!4MLdQh^n(QOt zC0B6|HxS&&=&inBH{k_m>#iDiiHf}`7w0Az9m@YoXzsy(!dk6?x*&R{!-2r+2QjNM zrJ=8<*0Kn^k_BNhZ!%9?A%(B^?3WA8d2=%w3vQ@lN4uuIU%c=g;ogZvxz7HG_Vfu( zOD0|5CSVM+R;d*&R0(n=zMp$!@lvs&9yPYc_`H#~oo!qyLR#2DY)(SzS%DWBhtrVV z58t45SxlVtX6Oe_w{%Uwd6FB`CbaBI_1G_&%*tBn3`tC-qTMnYBL31L-RsJ4w-##? zME>?VX;Zn8RjM^(OZS)lljj5emx!p=^c5o)T@=&#fTUl=(Srb9E%;Bo&VGO6o4d6G zvF)Y_a=iAk{%IFX>1E5*nKJ)vXU0T(8V@_ts17^WU=J4;_pAl7^i>45UT~ET2x?Gk zPr5Huq{OzQq@{}?9t=(-n$p}{8>z%Dd)U4j*-97kx6cJ+*?v%j&N0`AERVL_zmvz^ zj;66GF+EpE?fu;2vexux2^?!)-;HeKuxE$RqWsoLE$%&!FW+XxYs;a%Krit3GJLSH zSjUI5{Dz`^>YM#8v@pqhTngW5^Kp;`j zv}z^UKh2ujGz{8PHueTFmwD!pA~6jf=OW~iNiC!b*ojP83Z-M*HXfu^kVv|zH&DI~ zXTzmTH$sOO$W;@?8Mb-4qq!D<(;I+Eub;)`777#j?pgbMF!WstaHn!1qZ}L%T*%pf z_vnfZh>xX+?eKSwDdJFEjG+C>!3ZWM)DTFEpI1oRJ1d3N~{<0b-DO~at z?h+-0Fg&P9qE~ndSCbAH;E{t#AM z^{YaR^>yg?-A3Y&1CdcZL~z0G0!OAZBR5wfief)6^}it5Ai@MqT#;mE!sAPN!$gZ{ z{+2OBzY@efzQfZ_nQFQ>p-`&|KnYX$0|6mZ2U;>BB(bYkuVVO6wE*o)Wq(mSG3DED z9obmVE{gJEvY&O||-!TlGa&~K7 z`d3-!1n}Zay(4{kt$;=77B48fi z&74|=JKD(gz{XtW$JBPFiKetSI;jD|Oh_;Yq3~P(QYlV9L=k!jJ+k&_XLbk{qg94$ z2c2q(l$S7mCm4i{V+!n9laH7zojRze|R!jB=}@N;*xIb$%15sf;?eLu6dFUbkGqDBQDa&vxqf$ zIYz)$dS`E2Sd;w&0a9>|G8YpKIb=5iTAsT}h)_WWIZv-Bh@nJN>OG+b0KzLMq52dN zFf@FEDgXAV>QDl2l49R!y$K^s(=~x$H~jiFz6A97?hNi3)VJ2p*)dcaT@gYMM%Fyw zED9aS1GIHWNhWTVN@yEq77n6C406TRQ;afjynC@TYLlLM|EDZ~_o5jVU&=m3hkE~- zO_Z925Z_5A+lP(@)a1->4~xyb4fFbCl|e#Yoap*gtZ1rC84hJ&Zo?pXDhd2q#BYxi zgyUL@CEvyzL3CAdo86;iu9T$3jJM9h&mKtSsz-J2LiMtnf@iI)zXB0WllYGgkr6_M zzgJhH97E*{nk8G%d)6uz%;kCnjPJ;z=$Voxro_mu+wH@rC<3p$P znDD|04wYOrxFCmY@TVl2h~KV4c_~G!N zBlfw~uE)E4%oC1UJ!X-r_iuNvcR{xbUr+?HtM-5{D=Mx$-7neOb1Ez2svAm(evYr3 zVT#8&7OSIaED^U zQ6ao{H0C`vO*yaL>ZMB~rVC%>6nk!Ess(1<8HcPhtS?PF%x}`J6B2aUns5v|0u2rL zPz&1c4)%jg{>2MRX^&w9x=^BZAPSJzUmIQYL+Hs7N7}H%C0<{(l2;5Xfn}Oi7jF~( zK9Vfndz-U>AKy*oLdHH!|J@z%%$}U8O=?8 zPjPz&`cezz0{w10EOU1?2dwhFhG6(VzWEL6aw_aWKle;n29gioK`1)!OL}=}OPBV_ zcf|P|pi;R)C;&?!29HtbQnPJ97UyC`?l!GGDaiNhFF-ee8IN4NXEXYo5B`=QxDm%LQJ4ftC zyLDgNmUp1;MWDzk$1>tbfL1e|(Dm%siD5N^*}L8MlTCy-=qJ0b9lJdd#~QT4(@e%k zpM@*{#DSmr0@b|TeJ~b0!MFvpvGj85*9Owvxn9`b1>rK~_ShY{*zV2DB77VulE^(k z8#FumrSyc!=oG_B??a`>$!O;5qzSz^0bOxBOU6lip6XN(mK6ZNJ2%`UsRX(8XD0} z17eMx-EW;v#*tLfFOynez~=0fG3UkDxVdkPPnbBq7rNE;{=b3XN0VwttvKV}wwWt; z;I_0fc>(pbAvI%$usx*Aer-OVAlN?SxyS%wAX*IrH-^5QO8%n!>Q!rgTfOE%2gkZu zMWJ&Q0~1eApF^n8uCc_MM-_Y!t@bdLS960~(ASQVl?f$1n4d{=@XoK4Oi6=<+L$qV zFV{j`OvQx}{~!%g4SY^tP3)6qxDH+@wwiKPUA=ftu=IWKbg_Npk<;cnOU@qZrNn}6 z-OKneLLxvHR{5OceICE4M*jZOmccc9C%`lM(|1PylqeSK31*YD=K_MWGn`tdn?~t+ z9H85MY*ZH~MvUe3Gst9P*=IQ%$DJ87&RxPtoVz$<7#rn!WbUiE=S1%$$Y^?)m8o^A z3xiBucRM_0u3o)hn8;1KKiOrL7MoZ=eNyq;#MFXMjSOnn9w@!DR`%OEyKn>ED|G-K zjjOYU(WdlBB_)LBpO)IcX9~C1?ln7}O zskMrm_?)fUpE)iwTG!ORZh8)+Hatpq?qPWC`8vnV`q$Nsv&VjZV~Wlc_;$kl<|5xy z>!-m8{EIItL+txrm|PRTfPnAnz5;Em!1M5*;&FV3V8MqEoLeS+w5Pwvm2fHHD{Y&C zJ*>lCu}}){TpPZ@)U<;K{sjX%90gO4hvjv9T6(zRfC6@^Z1RKC*<)=Cxjf-X({C8>YBM= zoN7<-UBrbbT})p=V}DPVu$Vuc`!UeuZek>3VOjdGB5m&Cwuizuwt8>!bjDa;`kgqF zQt<&*eh>C$G0!uIJjItl4T8B_9pf$>f#5Gmn!U%*zmD(!R@A@NYX9~D5!gRs)1)jU ze7(6{YVvgMz`xAw`JO~*&I8KX#?muK62?0$ z#422bQo(a0kCzJqGIWONi6J)P&C+}medCz|%YQ*nZtIJ^G5GO^DO1&BEm4V6@#=NQ zEv-JH6ClIcXwlP{pSFbqF>x;vZ=CKm+rBg)aBKny8YYgf6h(H#v&-q9r0$_TT}fOZ z@M}Y)0yZ%;4Ux-PZs6+IVX&O?UMa4hFV)x=t(V4dc-taU*{zwD*LHnr>?_vez^q>) zvok(0?ZSek_!y^TT4A8yqE*g*x~@RH794xzx&JOa&@wxs`o~mDt@xI;Mb@OZ*7wWm zsKF6zma<1&&mbg-AlxdVSu{%AIiIIG5P0^koyA<#q^0-X?A%h37FLx*cSJOW>HUX9t;qgS9}`<#tR{9Y!%Z^B=Ap=3++CGQnarqx3J1f;&HJA- z?cA*?nc~i}XQh1!0n%e2S*i}?REO-1wN9ObliB1CGK+ad+*L`6nRlHScP&k8E!&Ql zm8@fJx)Ec->O#RpN!%O0TOT^zTK|P$T)WZlVLtX%dYwy<=9qb{G}5Qy7V1B=m%16M zSANOH-Cs=%g1e@nN{pGjwPa@A0H4}xOQy(7`%j{{LmzkJfI&}L3AxrAKF*3!0ctAw z?!B9xXrWHo-qHcQFEbi^HxRd{?^G={6}5Z_+*2{v!rvBwa|n^|R>$UijxjS54i))$ zA+lh6Hzz@aMVZ?oM*rbk!7*aj_NtZ0B%s?mHdpS?T3TB4#xH!SkLy1!}j7F@c5Ylt#ToUiUB(MAtDDuP8nsA<&B_7 zt<;#ZRqt4YGRd5@vqsCmB&%D1FAZ1yW!_)=XyxqDlo5HYhdhhn12C-pY0itvdFwjZy`>xK_v%j9ghz< z(!vfUvQO3W9i+#B7kelbP#4;s2HAZ|XH6C4UnE}QMl*M{R113gKTk_5XyRzqCqPda z(#jb|My8E>x=EU1{*!OdAk`1LJOIdD(nvbn127{J&Ij$%A_w!nXIRo68-7acvYj?}Cpe#^nq|R76zBC?-R_|xU;u-wl89`UGk>Ke;r(VPOoiwkRgd)2 zHOdOg4pSzA<$8TkjkpH6tIZsPc3ZnF=T9ZR0B#(TS|!H!j2?|=r2a?eoBZl z1e3MDEumLtihyAqFkba;j%N?7c9r+3o=U!`v)+R%DJ{=`*gyQ-5??3ObH&`|C+Z+b zy?8s^pxMh>x@`JheAd5Zd9;$j88?M6N=Njugc>~wiR}P2V(z5+wrK6nQ>7k12Jd!= zxExTYTd8xGqC^e05JW+3omM>mSb?2Fy>>6<51sar&ON@mx|r`eU>K}lvtn2$Ev*ul zIfX^@JK4Ry$8;#GJ|m>UyWxk+^zZOQbMFD({%L%v!&BT@{ zWaH}XhCO{X*`+jd4Bx#6fq1%}&kTgUtlzGX!9H81V(jA$t^Xwx%aa*qE~hnfUj@w! zS&!GO=};c3MJ;&}d06@a3Z=5Xo6`-t#qJ(@R&zHi1#=*F1^*eb#eGI*IL>OFZ6yB2 zv93%}06KOuF27?9BuYW7ji_0~?$R7Q}!{H^s(1v}`kwOF|s)ZOU}!A)F}V!p&;8G%4`K+!1N!ktCn$u<)Xq z93X+rykqmXQC<4vw};ZE-qF&qQdrgjW@`B$vPqRFWOc@#<$RtUl0F2%qTB`=o240J zQ(ov~h;d5#a7HV`c$L@S(BHiGwi+XCUrl|~|C&q*rPyZ{@}fU4f8SCeRm0`R6ru8D zA$KzwPQr|sAkcCv1p-MY@i(QCwGqNQn(55l(MNG6iGG#j4<$4osx^GR^$HrBokO3}H=3k+VG_rDlRj3V!f`jla$_pb&GD95EVYLBfk4B0_lNwQ1)`eY z&Flr7;y|NAwli~TpMF)XtG>+1NpT(^9pl6F>;9ZMm^txEL$QROEcKrVjaJyJeI`fb zd`0G*S%k98VY?K(as?$-J!eng`Me01T=Rl#fecIqAHPLYgzyiP8wzv7;Z_0`Pkk@A z?Hzt(DY`vS=Iy)^6{w#!`FHxKhku`V8-La69R*^;$ZU*nCzjw@e4_S`R43xD$Avt$ z#lz#1H5cpe3VmB4iNeIMQpSRSRpH!ZhZdqpu#b!kJ@}g(Z&wqqqVQ@vTZzv4f%F{> z%z>|I)4*R)CkaKy?ba&R{<*a?-Sl~{T9qgcDm7b7o3QK7s^N!)+A|;S7L&~vK6*BZ z0sMkT2KUK9{LBgT;KhHWium(-XeCSe(%+d&)>M0Ef=I_`ndg?&Cq+VG6>8FNvAF8S zQ0o6e#945Q)Vkl@lY`H9wEV7AK@UJ1$d#MvIO$C9;N;o)n9>#ciCPB0uF~^-ch3JI z1=xX6%`WequIz7x_EWs&w5t{W@K#-Iae>8X#Y)^KL8KRP;6A8YuBPT1+AHusj;_NW z>i>_EEnCXvj6y=nc84=cXJzlb_lP^$WQ6FPd5Mf=zE$7L~~uUS&S-zMC03l>;2V+l7M~b@r|3 z_nl}jKR2UDHK`BW87yKTJ8)N`FNHi9VRLu$ zN-aKApkrf7oo92_Yi4+14)x=0r=|Oa!XL#(8Um;PEE=9SW|$E_u7#Zaj!CuOI%=9n_oX^uCVY z0+*kcGNv*Qv-$hj&(l4StJff|c%|ttD-Oz}*9J|&8tz-cojfl`+>5&dF#Hh7msBPH zdSZ?l{b<794TF?0f7#O!wKSb6kJF0BAy2o%;zY8|NN3VOWv+e#p1SK6THufP`FQ($ z{<~&nn0c>fRe^ir3GbRUKPhL0cknp@O54a?T~yZC>8oRbx^4af?4+@OEqqeZzD*?n zcNnToKVAPh8R|8r0q!`aZh{_Kr0(_v=53a0m#AL%CgzM3;nlBZG!BsSgFs8|UI`Dt zpBCpoC08~*SuWDGf2z^SQFrDQGW5ct2O5|`H7xKx!q#JRIgO*P{K0ErPEyG#%%||1 zq?_6}Vb{2cnlKec2r8E{8oFsOVT&VL1E9U-MQk#8N{6}Pcg$+2*8Sy8>uNkti!{yq z2j2q+u!(Cc7;=c;dhxXKW3$8w&7Fv>BC4no5u1ni1R+1U;_zL5QcnZ0g z6Fam(5GS6|kOyS4U5h#Ak%9pUTotn1_iw-_i2I3Y0HqgXMBdbKMYVH?UZK7dp=GzL zaulJstDiLr>=3SRIL{%vGD9K7hJNu4*}ku9EnlR>F4sR=SQ1QBH>iFH+x}+WPf+8o z&A!a{UTAX*N>9WJj_?HOMc$z58&Qins8t7s{A)4RjXVcBdT&dFuPM70f`n3c5KA&K zF`sp}L6s-v_bya$&*Bh!&*D3Z<2ig#xdJMz@TL}w7678UJGgYCTOvn+ABP)^4#kjU zMj)st3IZByq{kRp`Euo^*aTT@%jX1?!M2}!$7pUmy^hElimYzer5Mjw73u5jB#_`X z)mJ$Py&b3{+*k3h{!Z~rf2fLlo&lyHSHB%XE!!?X6&l3aifzfOo!I&|JoTuN+hW}w z{VQJ~HQ)du0)vmm#y@+t7nj8;p<*yRV2<^pu7kF=eqiPvSArB<>Z^;2oaVh}Mw8VWhr{M5 zyxbYh81wu4I_OY`0sE_r@e8p)81;7#8sGNy1}MdN zs)$IUzI381a5lP7(l!0Q-M4TY{+=(IeaKMT<3pNzgjW$@fl!0Zw>bSbTxEFzn=zRh z1TsbIM6#MFDKX%piq<^?M&8UsMi=!CoPxTWCyP+LVEI5en$3uPFpF=C>6Y$Su|;NL zhM{GOm=ny41IWDG)t1Z& zy<@&KenW8JDbIPnJ(Gv7D&GvYWGuNd{i&I9ENHDqt6s~=hmv39`z$FEEr$^qn;qNv z=i;zZ35OD_Gf(2&3({nabLZ)_s_OkK9-z+(qYQ?-j@tu>jli32D%h3HIE;@O@6%cl zVui;;lRs(oGA85Eyp-vEhU76GvnkcK6pL>%55W;y$*RPfYC*P4{XO zLj-$&=r!6D>k}ArCNeaIy&$kq%m0W>pWU+`kQ^6Q#r;QA84<>zT&3ya^U&@fEtwjl za{+(-#I*E$|7OV|6%Qc_Kc8Z1UbmkMKxTPbV~#edZnXyPUy_8GOhH3rH(GtKI3k}1 z=opbH^3b{1r6tYCVM~5l;6~9_m`G$Tm(${TiQUs*+^pMuB^o}Q8cISaf)xAF#B#nW zv2AYj>t>jS#64pGC%#10A-6&D_ z-kW%rxTM8RigwjrMaXtjR86q&c9-!KX6$&^{x@;KC1)3{3#YeS{)|z9Qb5nDzS)tn znViXu#qC`C)2Q3PWip1E1q$A+&ib8poBx?}`;^lDmT>m5L5EAPjs-XP9MNoBKjGm; zw5TfI-{yXcUHQQGde3YuAZz0tYjvEgFyJ>(`K{-VE>}LDhHbYygoWx_ETR;!IGntA z*Yc}?CXP7dlMiPF(nt>y96n-Y`st*YJrmOnskV5ZGt#U&##d5_ zECURI$lHlRRy)5lL3>{NHF=z&r5DF?sOGJGB8%;7voBXVpZOW!h5$Mko(5r& zY^1hUkbKVi2b34_d)XCy`|ARZ?(VJM{}Bxq@LD_o*nU6%$QZsGIp)>koqOjnTFjMA zArWZu$f1oM94R>-CyY&wk-o9OKtZr(hJ@n5&QETros8$NcPJVim-(m;GaGJ5i{9=xMl zMJ&8_TQ~WC8|A{shDR;AWFN|egEpb(6>cvXTs#;OwCj?1AAc3|x>L-z9A!AKuJ>Nw zc4_S&lU}{I4y$pVMrK0g9@8O+qWO>LYPUDosoYh1>3U9GrlOp-UOV$8$@Px}wG+W& zL=p2&jezA0<6+r?-ka9ZDPE`7Nzp_d1jkZKMTxpkijTg@ulC_K<4&;9+(XZEd4nPy z4PM?{+X3^bN1XQqED&;r@((*I%hZ46mCSLgSfmIYuVT0VN(@nZ&Y(BRpFGNoHB#BH zdxAcx!km{dJ99~8U`a2)fJ@@3&=dUacYf>d$`!dYJ>zRr_NXf3UahOno8~Yl^t$T# zg#%r&z<|~G*^SRPw=U#}j8>-KDK~j?3WL~UxN__)9i)>onq^9Lv-n)@mnUxWNmxBj z7{#0wK6_KGC|KoX)RKxC6qG=Q_g?^m3_cQj^}c7%qgjM&DwOMSs!*_rI-^9+VJL2I=r$M>?bV-{o79+Ne5& z`U*@aL429`33Mt?5%9cB$ev?2GxWX;y(8%e&k#&OX z6oA^z0y*JZex46KaLM^q9QlE|j3^ogK;#T9@*sMuYRUR(ULRkH{2{(V&%RY37N3j1 z9%_kzdylBOJdMJna(+xW%FRFf7qD_Q^)@MVYdA2wZni@=Kbw^~O!?V(>-z)rnuO)e53{s6PGc!&>s-G1?#1VKk`2=K<=d$ug80Omg zJsoK3exU3s=_$YyCaQXw`&a#zd>}O4^xbO`-?B^wNpt2k1jru*y=S8rSAFq&Up#hq>24%~Rh@eyU zAUy;ricKahf^y$dO{QC?E=+We%c+n8{{qMLsQxJ?jEWf`T8Uqh))z$brAMN2f(_%0;;)j+P1GkLMmD|cAwdQs zb+m7i6>z?MaXLXDH5$5a{_dzVoT9>WTVoroXW`k9Xg1G1Yth-eHP;n?&au3B4i8HY6UGCR#j^=;gw< zd9$iF!vd*cWTVyCh#TcMqH+CD9rWqX@71rqWgbA-cgC@gfLC|q(VO_rm_bBfbsF>f^d7uX1!%I~I2~6DUW7zy$<@Fqn3C8#5B<$o*1jcikyY;Kxt#+%j2HdQ5)= zm|nTsSiH;3R^S_NeXKFJRj5+z%ZODJMBRAJQLnnZHvj6CNVazjWTZ$!5RvCNAeq)D zAkbH*`e$)-L&Bxei1bK|#|uBiB^sC1aQ(SnDRH%D5;c4}}5px?8X%(02d$^F1PDrlbfCIwVEC9X-4vLoys9 zuuOQo5!~Qlzx**4DhsxY3n>`b(@%`(O6eF<68E?Z)5&FXxbT#T8Kf2Y`HW7n%tInK z7Jy8HDD?s4IgkBmr`%+3*Bz2|u49VOrftgyhtEc5eZC5McRBH)n6-H~*fCuU()NEP zgcjhs=G||9cCvSZ> zb=pY!&nUZ}-&`0@!oAK{(k)wT2}Mx#Xpy(7FCGMnvJeeQvR}G;@P)Z5HWqU}Q5;6X zyG5!KkMaIQBtP1upGwx?d9sU&KW`_L+)}Ev@9TuJt|Y1D@KaRE6=k1V2q^$y8dYsw z`FV?EI-O0{$5aUXQ$&cd}{%n(bU!904oi|iyn%zT-2`? zVWsh-SDbH6t(Wj~_!8%!)GYUk5CC7BzRxh_641b3ybZjI!BBHJmm2Y-Cer#{K zt#K?PJRq{YCzsl%N7b15sz`iR2m}D9B3UJCDZLklgN{7Y`}l@Z#ep~Bo!n&I0F-p>KQF+!5^}jXx;%)ux?hUmBJ;%BWJSDT=`*?cKxwX z9@GuWJ=eOp{uf~k2<6rJ*xfHl0CI)}zY1Z16VIDWCf6ee^Ub6^w;ohw!c(!lVW4mK9tZ38 zG&41GtrqLLr;6>5CIR~mh2{!E_=U8F&WZ$KciCx&rZN1Xy3hO3l=s`jzR5GP3OozB zpUo(Rz{%^4x2p?(Lr59Qi0yuXRJoB+dH(B6^t7h#wZ*Ho?{~#vdE5lDW5mTxMt0j- z>!xgzoMPX}L#<3tEbJ7gf?*;V;!?M*lRvDd0x^qXG<mB;KlWKDy*bdcjCpFM-kXcWGLyf#MioY2=6Q3W+HLWrr`-}n&kfPPGq9%FBN%pS{yR zNceB+2&Si7J5|Mlz4zfh+3^S$*%W@oK#W7g*H9@zm z1vNptb)jC;i?!kh?;oc|U1I2k4i61WdD&{SC3tTx78Gyog&S3NWkx23&}ut-dbIl_ z4ba83Q*8-K-5Oic%y)&4%l|hsTzwQ>V6E$T(n#kU&2!WpNFILFdwk>@!mXiYNBMiM0?jVxF;p6p;*}RTNa)g^zG-U`9AXo#-^=v>3POAJneXysyjG2U`Wq=c#yf;q$1;yhXZlo ziox3gdl`Sbvu;Gwajnte{n@lof82Cdk6?lLSbX_EN*(`ay@-HK31%kjh}ua;Ly;q1 z>0>PE1}1u)ltW8X;H97SEUMpbJuQ1mbdekfvO07^UFq{17gm)#w8j^YShkgb;DR1! z_s>UxNr>6akLN^TOOfJe-_q>D0zIadD0YEmVT&AIY(RL&1ucF|Dp{mkp#)o3SsfMU zI~wkQh)D^Y{e|1_qaWqgP+E(Oo@mM#V@R#N#Bdz-{7Wp=Kp9+8ea`3m6qOmI-x6y{ zN#2@Y?sr)7kStnRN0Z$+yY20vhWInVX2}%<#fvQL#i7bD+@i;i`NWs!w7|2ts2Goq z*`SEfvdnVH4=xH%gm&!W3aH2ufD*WGPE)DepkF2eGrx^dt#L#VwIq#$pZ!{8;`K&Umew*D3rpZ4v$#|UDmLRM9)CuSX z=cbwy&cZe$QFq_j=Ly_mPDut6Cs+j@&&#AdGkof$Ak#Fg9H=J-*VH5lj2n_d>^*GK z_#GYw_XgAunqVi2lK4XO{9tM!?%gEQT7JxALEN$ZG;k*5z6es zU!&9)T^8TM8AG4O-9jC8a-^=_7o=$-EtxOM`Is{jhj8-&$Y>K+<)r+qAQ=V1Mefg= zQjes-U_v4=^Zn4n#$qEsXDy!ezoxHrwtu|>1;LKSvm+M})$f%A2oWvl8y7>Sh9Fxb z$QiI5*xQ5N=WpnXvP+~3-CFyglMZP8ddiu%0KMB)sGIgqk+bPZZQY%FWYD77mGbAUiZk<3mEHpTAChkFpO(7flzT{e-Yz zygC^XbSEuT#?!_ zXDH5GyGy!MZ4l1#g&r#RA5oSv^Jb8zOu7O=-%7VZuqK!gUKg2^V_GHb_oV(@5(2?X zFdI(oxaN2tHF82NW;yaNaL)pMPnk-t>D{vYyHLD(!USlTCR-~IpHP*CI zK4%%$yJ9M!ES^JqLWsrf(yOhi2I%Sd1=gA}BovK-Bm6 zbQ`qBlS6WHRU#)2%-SVKq-}1>k|rhBYg6uL3S$LQn}VZ0sY;;WB@r^zX`46L43O%gN7> zqwX1kz1@4FA?Ru*>W-7$c>Po#exWg$e$e;=T0-298L$a;C(fJ_Ocp+%`+kZC_JC?h z_KSOwJlSmMm!C{Fc8&^n|Gi|b{*l6H5i@coh>`KxIsGI+> zZDMJQDtD1$ik7x9N#-j+1ObeIfME)5s4*N+8C^@mg_XI-5MpshLLOR*GAsqE_sCVk zdH6}4>XqI6%|x&?c#8KU5*Z$9Jq;VNpQy2Hs*CbZ3RH4aGn2y2DrnLL@<7<|lTc5Qx59`fvT;NXv_7&{mbx*34x}2~UcqMaX zV)i}LjXcr_>P*i5`giT+(dB0>c3hi&e8s1QUz~@HykwpDGOSg?Y-%%;MO~Re`VdE+ z&E&u-Q5^s&=TON53mjjj-aLz!iQj$qZHB+u$pWlows@Jwd#bcMkPv|Y(vZ7t~GHa5u^P@UA(yPAq zian1l+H-~J9ACDRJw0=&7|wfkL{@O}NV=Iqm(m5UN>b>SHz_N~lJ%i&+%x%L@&xxo zam%R6^`csPJ-7|Dvg+C=a}EIP>?{4R!d>N5a=W_+P%t6Ks=w`J*RpW^BtF4COoa6^ zKG1(qFi#L?cbE0;jHQzScpC!LXtgpw``SuYa+2xRh7?05Q_shn82^u5M=mp>T1=@Y z7Rq`cuGLS!fq$vKL{h8n6p1(J6`n`&V>W-k%TxN>o>Q$(c+#R9LGeNv_tmPwnbo8| zz4%;~bzQHF$C3g}%?&P&wxunXwy-jK?VoNkPQ;45Jrj}PGrQ)m zwo8fzO7wRiFiqV}_%-R_gB;bU3@@yuFdkTK?U+3(e6yip+uG5V9CunD=g_cu}95~kq5-9?O)Zr8;EZU@%gbyDuUmm+O1z#n1?{$uu*CEs@inYCM`FvBU;yIu11&hTFcMa zz*;`m0qXqPvUS`P;40VI`g+FCdyhCo>S~>m$&fSaPUcMOr!p{Ri>8VFWy+5)KjcdL zN}O?iTX--LECc%Zz$|5h$%qUN;rJ0B;PdBNYJ9XVL)J9MN zdS*A@>`f8iVbkgfye0Q=JY(tu4~m@gWl@{IW%W-dvj&qFp-Sf~w1r|P)JN5~EG%@+ z10)^4@b{RB#Z0!c0R8!&&tuas=zN`^7vrX+KG{?U+wmU{b-+-(7$os9E#uKN^?Igfcd zP3YZy%bt{UiV|ZqMLH@Rcn3mJ1PplrkdshFFw0iVfFD00~95 zF99iPN5bwu1Tn%u5$gKQ zIT;&s;9~U*$^)T|xGnO=Bu8CQ$?TB8R|N?0U~Kv@PIaik9rp?FvA8xy(~3;M$|B~oc%CqF z0g=h2F>f=0^p3OgjgO{x`9`y4T7NEuxQ?r~I-Pw6ZX@Y}^yCquVx})kD1cWKe=5WW!Q6F>83!{L!G!b28EQFvEdlI~UyWWWb zxif^gsPO^|_wj3{=ktE#+~CRTq2pud?pYNte0bAyJ#01Fg#x;HzbKzWLHVCon!(}_cZIl3m=xbMlmnIYfQ z*n9djX@a9LJ(-^8lsj&yFx{`Ik9!d-%Rw+;Y4mRflce;?CWr7tPCn<|_i1@3hYXXS z>*zLPj^1&-C+PegKM72BT;S}*xOZUeI_}dZYn2qT++ucK6uOpK1(dT6%_ikik7{RS|g(5*js_Doaq zdQ%pec~MN?KgX4V*7W~fdXV-hah3lOr#F<~Tflk`J&w@SlukJ`DQv#;FR)`-C1L0{ zAgQEj98VdvR+Y7XMH&_Wyg^3E*V*A*AF#vwov{FilCm>)z+q(lQt!n_;{ZebVO824 zVg5nUF0%Q1O;LcZTS%O@Mv_zvWk<2T39u_maj47&sw>^bha*5gdzs@4SA}lQh<_s* zb{lGsF;(Iv;@j15*y-@xOhhL1=M9c;1otX9>JqNwb6@wQ3@1PNAVWSJlsT^iVUi#P}y;{SYOElV~r50wJkj;oZP|+=$6SBoU zMR`dtkwe|{FI7|jTGwRbBkVDi!HWHXdv=(6$tzQ(hkj26S!!{w16ydi$E*?uMHTrfh3SF4eycOb8%*Dg#O??J z*>2MBVoGKT`EPlo8}B5aU&Zn$CcV1_dZdDbkwZ(9`0M{{7#FYDWy?^jYpA~%i@gx| zxgHA)BS$6QiWO9dqB`*P?m7Cl{~Fs#6q&7R$1u_Fk`_Nd5_Dl}1G*w^9IoU}zSJg{ z9bH4n=}V=sEmhGr`^qx7&^Gj`@}1f?-=LOaO1J8InWQk>dvZ!OD1R5h%>0lv;?qgW za>kFvo@sV^@OAEmw;?1(Mn^6fQ`~2M+duyt8a%Dw_vU>bJfOp zYq9Ue`T2>plgm~64v-mN3P6SqgvLSmL7wb%$q6HG34or?P+MbR15h7sCeG~v;pPc4 z68&c8?RoXmmmg0;$Es6+=vhtW3V76;aAw*tP4gA}Hhg&9>gl)4#JO*oc5e2JaTVU4 zR(`L0YB5)_GGdS+-jAZqU*<&-^zxf5fj4KJDD3PBArr$gQ+hdYw}*`-0Gj$lBJlhz zXzVRFDWWn^5Sd>-TBd6w%OSV!m7!yI>wus;?fc#E5pfOBLkkr;@t)Il7$h!dl56JIO0JhVIGe65l2WxKZHo~C0 zx&ie)!Pp*`d zIL}c$*H3!LmTMz}JjDD=HsdD4I+ofyp+Nnsf;>=KDA{p*s0j;Y*1oEHZn=y6QJfJW z^3>gv;&?U?^TuI41sD3VIMZylNo{x#-LvCki?&GQG$(Sig_1_HkEm&TZ1rbYP}DM* zWhV8&Uf;!lt6^!Cf-3FN%KwT?l(n*+L8be&0R$wmt_5iD=F(l0O$V2PTb&wc%^F(` zhgq33ZJO_H>JlzAV-8)-Y_Q~F*&l1WAA$%3?@pZQ+>+QmwJaX*A;^#_h7k4}w{Ye;b0DuK`dNF`6pv~D|JB_zEpo?p}W9-D*?v}O|6 zF~Qw(lS~P$Rr=rn8gSaSdSylLgBMCahPbQe@$&d)a~ypi-HSeT2VF0rWj5;r+0kXR zd-swqJaHtlj_pqvRYyO*lWR_$GDdQzAJvyy8rSB^-?SG@^*X*W2hR;X`6BAamb>@LakRcCiC|;L(#lI(m9HXsw6CH!_N zF>{?k_62!ae4&E7Ck*6PJ({fiMD-|fG2lftMx%}ROroxuse;1^XuvTvVj6taZe5`Q zZr;w8_@QL_bn_MFq`n7@uU^MB-ix`i=+Ii}T=ian)hbmpz4JzA#XOSMy{NB_yc1`p z(-w!6@29kvIkAk&TV7Z$+@7PPI?g=XtY_sD(r;#rEBuT}_;37lH{MLS0o^nAM8~h_ z5S;1Z>D79MZ}am0)y^+LF;TweQuJP<`YiYlh3oMoyK!Ahc3yDJOs(+W$6FZynUD;1 zH!HPa#$R<{B@5RL-k_Pzrtw+kv-u zv?CtrUOFW$X4=JVBE6a|o|yo*k%^-z8{SAk9=p6de3;$rdSj&%ve*1}@e^bpORf@n z8ayD~lBOGo+5;KQdL5p`?`7OyNEw8QWqpSHrbB6ym%BILI|cYwlB=@fT-PcJ)38gL zdVwO@Y!jZZghH3+?lUPFN0Wk+X+y$4!9DhJ-xNQMUC8Y?H1}53B1q?u#ZQK^Mu^3k z7+pAV33GEXTd0E5{<-_Se=c(10P16Fqu{7Z`cV>aTd_{cft6yu_0p1h)5qZK=DVPv z**SY9fge(XVF|<7{bwClw{!nC_dh!64}%owG8X%2f1k|%GwnTJ)JgkTr+IwGcvv#> zKKWrvf;?M zZ#P69z)#A~G-T`YV`d<+POfMvDR*MwnM@cJPLHC|y)gN2YIR1ZB|@W|oa(nig^U$L z>T-EMn{1fideQg@@=C3Ma?&U^?{*nY@opUfFzT4j6z@H-VM-L8yKdqMW$|`fh0J5v z)$T}>cBHGyurf!na-A2N4867!&VUj~U@hJnIOl@gMp?nG_bP{{^zZIwy*UzLCe@ab zf?u6gIsM%1QD>U~8`;>ia$#QQ?2fRz+VE>nAXmhK(aV4S@zp_Rjg?8t??O0sR!84fqF#`szy z>xD$dGJk3{ivLCdoH8Ye(H9!Ca&_3H9Mhstz|(OJ!*7(edh`w0AH|)Rw&P6gLy?7R z`bn9-q?09HMIc##TRD*4W9U$iaDWnRRy+yL_>r433N7HddwT^~6J0|-Nr0ZJ-fx{o zx5VWGu@`rxGbd)BRd+%+60MJ+XGPu&1D^Sf#I=VRm1Fylo<_{B_^^m2hAi<;9x>}j9^kD#aY=+fu>a~!6=F9RA%w{tInk` zmF*Wl*1=eAK0a8P`WcUb*LS+FG3!H+2MRl46YD#nbrZT2pT1FNQ<3@=)7)HG2NR>b zb*mAfW5n&E;5zl?Gu^X&U=KZoO`pY|I3slmBAw)2@`JobmE*uX4?s zB)y>BeN`D5rm4Q05luqJj5JZ_)0z z>)mxBz;I~#YQNpCvlD+@NOPwb3hiKB$vA#r_N*CC*|P~TTsmH_9%X9Va`D#^ax~2m{rJ1Y!G$K#F zM!mjUQv)3So8XJ8$LC{Ny;{wKeoQyfY{mF5MfaJYTqzkw&L zTnN=Yigm5jmQ=~x%C?2FnP39r0>@m5_6o+Lmrkur>ZNOs&YsJ^{Kf*$yAo_Q{tGiX zoy#VEy2|2$u-3$dIBY;P00C=zfmTF>;4piIsWsW3VhlHh*D zE{>H zp}^kH^gBJs5K+#?dPVQ*f@n$2`EYW^X*#SqpQnGBSdd10x0-Y{LDn(PI+@I3O&9?r z$T*)oZPaaj|5-^UZP0;K@(u~)v^3fDi*nC_m)T2e{=O5}_*?bWK>Lcp+!Z_V)Kz&P zHY{^uFwJZ7&Ofp3sWqAVjOpX1kz)TI&2qnChQxYqag)gKBl#Wxkio=q3oo;o-+x>v23tOY zuQ=QWG_SKHLDlK1oMOm@dY$%qQJNJdG6#vm=tNAMWHKAqn)2~QyWEA)e&jDhp#8Y5 zldK3n(fzZp!BctgjE`Y&gjZ$dwScnT1I-o6P1fK1GG-st0Y>JPIU}q7z1W+RXOLaM zIufd@u-L^q@;dOc&+-Ch@LA}Y3^$QpF1xa6Q+$i_I$CU^z>US{Z8DaYAZMe8Le9M% zeyx^Ai&y%_ubuVdp*AeUTgf0@r>^et!5vCAZ^cLqUlBXl$K^3hrbX^%9T?_|NCWilL3^STw59ccBxe4B!H#R z0UfeD^s^Yd#%waTfUnq>t>5E|7VjQ2w|WM$+)rux_FYVhlwdcXU0%?{!xS(A6>ngo zcy8U_fAfssjjPvh3S&@TNyCRPaA0U$cJv|^_Tu?(rxVF-ti~11=Xk@k=X7vOilQ&_yk_ipyh`+DT+b1t>$%e6HfVj0G`wCZ}n! zQo|5dAN&Mzb|G=Q7MpMN48Ys`O^p5e7aS^ZvWM5U0+oQw4#nV$Uga(yq?4BFbVZZ~ zSS&$cB_z$o^j$|iVnfd+yptD(lc6+HWW4IW@^~$Sk$x3wdb@7tMrK2^pi~IZd4AHeh-t5G}b)Q_`I@MXIq$iTl0blFX0U`VT^v-PA_}I zHP6Kr`aBO99H~S>;ozLn$ptLV3s~{}a`&wP+0d0kK<7jDszt00Cw5TzPn>G$Jy)N% z`xoAOc=9MPSub1jF#j$+mi*oDP`63dRYmc|QOcGpe+52WA0II652JOS8k720h(?}x z{zv40ZBNLk_3)|n>?7SIy?eB>w>P3#_PLYQdT}3!#PCi5XV+I;zDUM0OAChnTvgfC z9%2$r$~ZH4EuP+U}zmWOIEm*?zgX+md zAfv8G1&2xAf726_;(|+(3N`RvEnQJ~Xnhu46|!(R-2Tu9VRny=7g3ULE_kYKb!Xj;v&cci#SFO@oIHWyLqf zSJXQ{ReK5|r|cu*48*lpKfPYHf5nBTfUsP`?IJf*P|ch{(ZzYCLFw)mVkZpJ-Y?$6 zjeG3|h;9Md!)ssVzOP#FFXLLM02R>8*{u&0WRy=SxcneHuKgBvbecq*BC>?QnU%jo zj~lFh`pE6S->-b*-eOFMP)iCQdG=!R(cdZ0aZ(CYwJiN`i*TvI{fRAPAEMo^uhs4I zh|^80@)gr2o4!lMtC^wsseM4rBl?AUsQM=7Xx#N9mRq?XA*&r98@?farP`Nw|700A z%w_c_%T$@3y(AOL_kBPxS8l(}mWbcjotSO|A^QJ1z4#dM5-5}_zm3+|bqB0s%Q5p% zJ-^`a9eT~PF@HO&)-Tm!u821|$qIZV+0rw(nOx4e`Q`ItrzQSM3(bjd**DXA(rkl@ z!KNzR(+Z*@yExOXUok&T|07aK;vFw&YH7rv*W|;9M<2`okEFA3Yx42h_>huRQ4nN= z4n#sEWdlYkDIncQH%K=~2nvj5l%u;rIt2wrkIsSg2x$fq>ic|u??12$cI`aRea^Yh z=YDjUAF)}CnZ+E6@2q!Zz9z1XE(~~h4DXS!eEUG(g@7~EFws3rPL4bCnDqM{I=g#H zBX;a#;UQRh)J@BkXYRO*(TF2^G@`&|B;O$e`jbtAO@G@x9({z%I1HeuSX$zk-_R%f z7|?Sc@ccOZGS3zWD)M}~)$$IoBW&F$@rsvbG4O5NL!HJMY)CNerDljkI^h}SG4qAG z07kRf-N=Ut4=4XgVN)4k`n$#RSk&;2zyde71JQ@)<^y&N=bMojwbT%NHKenqF%&D1 zy=J4Z31hqh)i$C0YAf<|x0aI}G&?RQ~}9Zgg@U0sE#30Pp@2 zLuFrj1tmu*Igxw)XoGU`wADf^;NVwPlnB;5SJ}OgJIKVt?{qKU^OAH-*LXz_d%d32 z=P-S6)|@x72_c>)TMPjhvv^(RC){$anGXtm8Zi<_v3ac~)vk{ruJP*}@!X3-9~ioM zpr@dLKEb$3*Rn`$d$fv@Zq%k2g>eu?j)(&3P)HNPwO8+pUZmFDpoVD%Jk$tm3_QTD zc-3gS62fh;zQ*$yCKvmB2c3%v(@t!_3g=&BH)n5A`do5K;gFM>?P@n+qF9iyE3a4C zL(`llhQkbGUag8Jue=+k*4s3K&1>&a2NFY01tjs>@JO;4sL*_ofg>BfCuck>kRl^{ z5~}L&j<538{;jr}X{)ef{oML8O`MBF6zqB+I%RtpRO|`XHWE+H!~B~%d;a0v55+UN zQ&;dCJ7@0A3?-Z;nnnJOUR|!v#}c^PJndyn|7gSs_N>0uK$q`hAJl|ArnDC(Z$ouA zw&)eAz2IQI)w2@!)_%I-*VvnGikBpEcbH)GRnFCFn)})cIps(#k5KqMOAI-7Y5G~-BbJ7ED+3aSF}NdgMa_iVF6mR zNzw)l*XVDhd8G{O48X}?)9N93X~&xrJWHv!4r+4UG3j2djxHDY*ydxSBPKKQgT{BT zDJZQvy*<~TrF`pBCKE^GC-$P0B$p!q2v)8i2tZu%=c2^%zS zei>$O-IG)dGWE9PTjK+7Y{Flxc}(!%bXBpJFza4`WQjam#6THJQ&fhP-BDY0(_+NcB@agLz)6{r_q#iq z5~UZzwqHB^e-}B(I$phu)e78#L9eDNC`$j{rIsdX|Jb6x4e$RU5Yb15mY5;~hTN#X zzr4F}Rj;4!H}AW?rOKf^8@*$;P(W3K-!NV8yb~~Ou#rSEz~J2OCN@@K*ix>#Cj%T< zToXiWnvG?NTIYMfr6pgOh|YdF=4Sd_vG`Rf*kws!JE*=?kc0pea7)b~Gb?}TJ{jRd z2)uti%ApxM2;M+s`Sen#JIV~9V$qedn_m%|N#Y@|lX(9C@efx8ar^+^{Muq`KgzE8 zJzwB7dKu9C#|vANwL3Iu4^WUHB1N3$2Xuv{QuXTw;YDQES*ZcddP!NaI1|=l3m~@o zsQlvlk}d9Pcz2$8OvZVDV(!E~d3^uPE#;SfvHT0AxkbSE;wlA34#TQW?sb94>+WBc zH(OGApoP~R2*(^s>ZB?zWgDOa7jXN!MR5m!=LfGtY}Nmc&*w!*&BMS5o9$;hXpjYv zeRHht1Xfb;t9fh~)8la%rqIk94oL_V1z_}xt8-5?~D{r|-qd9^}LH^oJV~?7_2hP%LM|Z#)F)J}E ztnbrIH}1&~`%}jZn8OyR{f_Kk6A=&5y}iTyx51D*YGDp2i)PUrU{x&n0-p~Ha01?1 zCkLKF3e>~FIrsVkIm1Po&3~&(69vf&zi{Ek8v2$Dqc_dvM`8nO73uZ5S%XXDVCz|H zwaTe-PX5~pQMbyu0h=KnFm*Q3jD&=s;W0Euc?NYr0~w@^_0D>iRV;ju6pSYnr*1gK zHo;$AQAbkTH7ZwtNxqcoFKzVI_nA+N)zd+!$ths2zusY>mnhCCIW9Sa_ zPCjZ0FQ7=Jn4@m+t)bNqA*k&-6W8uuc>Mf7-)U|Eu~#i2!&Y8nx-L(}1cdrFh(@Ae z^{3{zAzd*=llL-fVArK1^WRLnSYtw77cT@36=>%!7PUld{&F9-Ov=${SL)81pg8b) z<&pPAl~CmUkdv?!4hW%G=?HnJ_p>Dg82@0gmEHEgfs5bPNZg@?ID**TGkj{iIZDo4 zijX%DT78RmqITDg&Gs_PmrI2Idv=-y;pN!2c1?SzfbT|-w&Rdl+W=AV@DGTcNii)~ z@xW-`c2Wr7yT-LhzbZg>!$mNv`-zrES3-Up}@(92(0UB^2r ziSxW92EuYCJ~)m&6Gp`YKatv7Qs*Zr)S^et1M8R5dH3`cINT|1;-wBVylmeuvpia{ zj9H(KQ2_jU?)ZHJZiX;-)X7{I+*NxcZWv4I95^b0q%n?22TG}3be$+*g>vOMHakW^ zZpY$rH1(=s4*p5I*gM%VW~~@>b`e*54cXT=dI`Y{HUC><&*$^F@5OJ#3iygo)XwP_ zIFdj@p|{HZDEX%lMJGfs*0wR7%J!s!BHk{lQp;;l87LVh)&4h*`8Cf@Sq+R>81~f~ zTFj*ayFc{{kV+zb?3&w{qc0Ndwe@d>^&d`VI3{eWm4k6JmAi^$!=^MoxY7-O^8y_b zVV)pIH?jK}$g1!T^~aYHfzaMpUmqknoN>hDL-B0WM$sOUKoR|6Qi{-7I#gT!QKbNP z#n5h)w*BdWAs+DqFyDB*>89BJA-1JBJ)1Z<(C?*fHUu(L4(xCo>ugd{Aa~NrU&a{ zpTx5ozIxB~Ddq!ZW7sPyPP54GLz~R${P1%2^KL6`2ex?VGIf|08SsoA?vP6L8A+bO zq?%F6^d@1IV$;*X#WGepoYf89vHR`ZYCqD$GRd`Tv-X$60pEOC;zmipp8%5fF|1Q( z&UwX~L_QFnXwxg(J`va3MCaxlYR%8AIm@>3=(P6tGjlF?d25eVe%_BTo3VV?<0rP` zOkW)0<=*iGrLn}wKkR+L8xq>6!1K*pGCUwfgblUwt39qT7ZDu-(7Q>A8B|it)uS=&r&I)gpSLq9 zz6#eZ+7O!^Q2aKsD$nxSgO!gMx8kr#mKdI@f@kJhg_ISo=!>HE> zvU(R$x!qeU1PR@ErYjxT@Nw3jDb!>viqadP><`<$d@%pTxc+X9{-Kt_;bq^Gy76k zj$=;p2e^sa&DyatG3>vw`Qx9VRujz9^@E=X)HuXvQARs!gUcTT;6=h1RR^@TF$0_5 zuF>Ex-1Ea6pGOJ@wDVS7pD9rm)x`$>Z|W#qO!(LaxX0q892OH>6~S~!PBG6Gg9BLj zkC2D`gT5U3ft*)Cnd~>3@mGdb0)VMjD)~S5bW6Ix`Eg*t1KdpVo zdpE}vL-ECCtRPOYcY!K-Sma^@=HK`!XTpJr?|OJ%jL%zDA9#o8G=pW-g1?TQR{syu z7Th;xe0}vm=TBs86SMb_`?eajJ&p3w?hoaN#}86PoP=VBPN7D`ge);0Gk#hN2|iC% z3JBw&tp4P<%@{*JaIN#*pdy(YK0WpiY_K~60@NRr3SC#quV3?|Qwj!u^Je`EEVHV^ z+p7u3bE77a8GpfJ_(*o+6IyME9i^)Ku0wwNL{*+0#35aMj9F?_Q35$wI?7+iRgllg z0<1JCmhR{am)B#LvQku=PJ-&02eCvWyT}*CovywI^8o$cudGd4w5hI$u4vT za;r`K{TQQME@<+z_bL;`(q>-ZLYO7_=q#K3#@t^qSGiQYtLIi+{|;snT7fls@hn?1 zZR4n#WVPj221iVNj5VLeJ9Z4D_1ZmS=k9wo;UL@Jm!DJ}^6n$*CHZ^Iie*#7~cUzJ(SOE zmQ^zZSk|C5*+@y@R8K`wr#d~t!B5iM;Qv5{93^PN?8+DF10zDfRSl3aMQ!)JS;!!h zN8Wf^$0rhOYEf*acmdYekZ#IsMjH_h6jwdK_cLtRWFJ?&7|%#aQJo(V>#)t7Ml1kk z`fL7R$Z{S(ts^eB0ass8N3UrUW3y`H}3Jszy?d~Xx+0O)EK`D`y2o6h5&@PoVw+}+Kc6zbl0yO1uN zO^NZ4x%e`}OF-49(%H%^hFS4Rj=8KS?!iV?l>rcBJaK*362Fx(SUH=6uNHK#DLW#> z47RVkik~Xpww)^O^rLu` zr_;?lG8X^`fAZ>dOXD_-p&KKuL281!KXu;gt2jTmZ+{hah~O9Z9+BDZNo1_1!l-?( zv}Z5cuzoV=)}lEE+>^K>c*!VL<@o%xpxE*=W;SS-v%h8w8_h$BsjDX%fLZA3!v1ES zU{n&4f#6_Z*lKSoT_|*|CfT3Y@Uwt`0_~FwL$!QuDEdK^gc{Q`g+=!;o1N6OdLi9= zm(#%kyyBwN*!?CY8t;f{Y>NxbB018s7DPC$`p1bGI!T5O$vbX^XaRbyHeur zbCbsiH-b^oi)wxev_8>i3O=eV;24oC#vMZ{zMjFsTvE^%z5vp1%%3t6c#qzvNVxBwj|Lyc+=gNS{=s2p%n4hk6F{2>CeX=*Nyb`!84 zc0+*3{me^ROkraisD!YLk55V5>%mD2RerloLeaau z99*~6V_TTh%6d^y9UE3~Y@p;HPffyzJ`T1LM{LCMYm{PSFWkHKO~h>q)0fBk zSgBhGY7|$<&H8A=tP}?p1bi<3aKDP53Er+K_Fi7W%?hiop)(g1NhzW0Cj|{U@f_Ax z?=v{q!Vv4wKKmQdh}F$Df_SL&2LoKjhV8(Hgw{vq zW~j}YbOc3+IV*A*k7tMkt|o==PXQ!epiYqYFZvO?ZC`9LZ`N|-_up15YFRWsgi96% z+%(ANRm>ClBeB8MzWsZ@rwc9{4^_KXrcHK?N@;3%K5cQe;IahOZ}<6_wVf5={qDPQ z@;25|7RTO@XIkH(cLe&ZiLh4NVjxL=>MC`JCkb?zI?4y??uBVH+5{0c=r?e%<*2>E9VXwX-VdwHkFbP^+Wo{%JD4#K^AJ1!RZWGp^pM2fY`AAcO z!G<#ctqRW>q$B#CLVH;w^>SsOS~0Cl;z}Y3z$HH?oT-G9vN8Iw@KZ|BLqdK}GAMC! zRk)DlTPu|E>WYWOuBF4MXN2wG}57u<|?;*z7ezvpWxqk#k^b{sr#H&F^Y+vpE zfPCwK4nUF5=5*btrAS#43NKy9^|nf)|J&v-D0mf3iwXW2PsDEEguk#Q8Y(c_G25tM zT}>Wj^A;CUDIXh=g~lsNWS-a|dKJlg+B^qwsA-|JN*imnR)K3difSglImyBNbn0HB zyZ@am8a!%lO}2i{G>{g24eKNE?KNrY&?mnmB=*wK7Pi3odVa2j*YdwH&7a7}&8!)f zY>z|WFXORb@NAq=W$qFa=_`6rJ2OBgN=(()a;^IvYo|X~m7n>b#M+sU0OX<~Q>HDG z@*es5vUOa<>*3S;jw~W0+i=bvQZACEeUwdy_3wfp@NLa=%b`j0s-+13%2s@~=$R53HhqtXr1_J>*I! zurI5^n_$X1PrZ4S8_-`@pXJ#rq6T~9yXX(NttWmdXba@v2Y@KLc&`gJ4X?zO_de!| zqe0Cjam5nT4GVI&E8(@CO9N-L*=!n%{S%yQV6X)o&Yh*a+*1EePQ{_5CCLHDwgNt$ zXf!w7hVLn9;GVbwOZ;orJ~us2l7zlrNdwiKJGt|2i1VmnOEf07b860cSmo38JpFou zjW>y1s}SwSs1M)Eu?7{<~|twe>Pz)i^1qrH!dx&kPt+%BKuRX3W6g+6cEJ~#|sKH!NV#qQ*k z_w6_R{^V{F`;Z^W;HI)|Axd60>7LXwJ|qzOO~5^D%KcFP!h}f|Ie4Ih!Jt_$s!Pvn zODTG@-XIM$+khqIv5Kt1RK)>>!J(AeQI=lqM)voT;uue3&UBrX&YV?yYH5sGdfMSa=~Tz1g)sf=d5Y_iB*f$yV|#_%c#oKQszHBg z@lb`{$yQ^@>aHU&mJ55-wzC4b zIubi@;-Wxk=PUp})^W;EwtfzN?A9{G{1<<`YqvDyuXoh}nL(=*`h@DS6NwRaN%G%n ztK>#E>GTJ8x@NCoSYn>(*6S1uMwj5ls9vlkyL=Q(rULr;o#&lT<}i=Ko=Rwro$i~2 z^B7sRbZb&y(G?t@XKXx6SEAjCR}EBK*E6V&bL=~O9un_qK2J|u`Q{a^SlVPh2QVO; zJ?qfyO=+ESpzMRaMerVEE1h7Ne-ud<8Q(P!iQiYtMy+)kWcq4X77Q9BuL zrt zUJ3aJnki&!e2KvSM|C6KFZ>KTj#mTYh424phPm*ms<@~!VWfqh6!s-Jz=pbV)(Jy) zX1=l?X(yFxYkXYKx1h%f4?HWC8IrXfRmWPI&^Lz^oN$O4CVcxX=Ub`wWQx^`a(nl7 zH^TsZm5Kg$;{&6D0!Mv&k-Nd{G0CyzV^Pb|n)*k|mek}`0@Q(iXH}oI8YTsjJ^#}I z-^DTu)9Uy(C?BCrLh8+$oQA;1+4je02F&4Ada)Ng1eaf3d-e6}5U!)H#{9^7fyBll zou<`F?jFL2=Lv7-=;NPJO7^uo^nL@G#tSWokJA{wPkljD9i(u>WiR{10y`vV(9C(5 zyDmB#+CW8ar*krD=oK7n+>JK!?6rz4tk*F=e6){YQVo3Vq9W>y+k?LG;GddG)%-WP zb#{1Hg@A(Z{TB}0p)q?=Azdj=n1EBCOhiArGT>L4n{XjO#rzxIGq!n=C+dHDomea4 z4%SV&%tkg7YbGOFJ?w4Tbp7s+{dP*VY>IGY`O}E2(>HDq{`~X^=_M&p0bx>ecIML%hE7Xxh}<;l!2oK$OFXJ3<^IJ;Vs4q{1^<^f8ECNZ|by?dp)b#`Bu6f zbYL;d@EF5Tb^ZEJ!6C%8Rng*PboR$t5OmZ5eN|VN2;cku9+?$c7&LKA6JggCL~ts1 z9JW|ZyR`ie#N^?>6uv-qTP>9efx_?UyQ`v{@d6(C&tv=0Ys_H*?N}ijXTJzsr*CDO zij7JKG~(}5z~!;uYvh34L~_cy%kb&TRxzo`6vK+4Tm)wIS?EyiJOMR}r~iYI2zatt z-hV3(C};5bh;Pz`uozugZ}TRlpjyAVDY!D#0%D{#O(jbx}?RU8>1O zA=bxql$XYw{^QiMCAv>t6q5jHx1)_!oO@Y+&rEGtFLDdcS>lVUEBWh&Op~IV5aE{iCahAWyyk&4>aaF&IgDunz})W$S(a|D3CjZk?@IK9I7K(DOa-XM>@#oKCj+W!;Y$s3C0a3E70Hdi{K74S^nj(R1SJ_ZizQd6}h{S6yLf&4J6h<@Sa{IkX$WW2%8Jv%NzNF**hlwHBO^ z?C_&qGR`}pa)EcGI5%Z;`ewU1idp~I^9&D~_T<$~ zGq9zm*7Fo9a3=mra!1-kyUJ^!#}P!dL79ohPQEc#9I}bC_Z}mUa~4Uw%im89FAWu; zY#x=mAf$cHQCm24yVD#msiX-q{>jgFu`P8qVR8h-B1~Q3{K_&n&h{2>){-~hWmd<^ zQacRliaAYrr&R{)(FCQJ42HIF|F8*Ls1G`+qw6t?YJ5lv2|;Y3$V_27156{<7h}Z@ z1Gj20!(==@XeCDHu3>(_Om#R>YOnI&)mNVuwg;Rv>WUFIQTEb|MRU=p=*jTN`Y)GUBnXf-7!cu^SM&=RzY+#|I#EO;muZ6uWJI>26S+l?%H)Y(~`M%FjA28JTX=lY}4Gw z8B0ch^Z^bXXUY`wvo__8TS$4}Xj+Pp_&JQ5bRTH|5Nf0&SNh*t=EJ59gK1mb*av)> zdTr(fPk5#ad6vBJ`qRSNZDBJvDaI(o9o4fw#zk*pd2ujxU|A#WrRQBdIf&h7{oA_g z;H8Bf8;&Z4;~!{R*GYQ!b3whLw-afii)i-fS6-pDf@F@QL~l>RlC4j6&QLMnNMP(L zot~SUguI1gvzyIgCU>Qr#9R-s;{Y}UaAoacE!dX8jp3``A1)()bxgnwnxm4!pAPRA z<1zGd|AYi&dM{j};dVm4HfS~MmI9L!4SyWKS85Fa_8B0a~0wFz&!H5DQ^!qb3W70Wl1hWwB%6VRw41!{%* zr$NMmx3LQAWj){_zY1E$v)@Z~n}36#Tp@1_+Qv$A#3g$&H>}D+@W!8JW@Q zlmQ6QiMNp3={uF7o2m$$emc7$`3JIKdn&UHJ@JO7+WT6dXv9n_YU>Wa!mcll)@d8Pd&l*sZx_NU28SetOHHj?A7;$ zHEHh4&$l_?yNfJBX08}E?;GxZRa`E+jcev@9FhB*WCX)2UopR1vLX`6nM*xUDuRnE z{cYVa)~wKKx$af-Viz4Cot>;=vNL?ZQ%0aE4RqZx>i_4Nz)i1I;0b zmhtdslk@v#J?V~=mf5Ojc^T=Im-zrFVB-2Q`yK4P*r^-phRv#9dktx$f+*`!V}pEd zS{5#lD9sjuP8F*4N?_OG9LBC0pf7vsdb)KZtlKca$=6^vh=93;i@zP2Lc)cb_%4Pk@O-LpVa)=nVl32 zn4KyEo+~1%A2khkB`h*YlCR)D5Sz@Cq2#us`(}*M^yg)Th|=)ZZ3j`89&R8_Z)nQ# z?yV#l?|Wz_R}=lUYI4EalZ3&86^pIC1k8>JvB7SG*6i@v_Unm&OlosA>KeO%k=>|8 z9~PhW+^4OFpA2rUs5>RRwKPa({yx3JWo-348~vDfA^%NGMoGCN{o-M05Gz_dMc3V` zu|!V$SBGHi?`qT80I?#UYSqN$eL}S8Ci@#w*;odZ_sPl&8m@tN?y!)Or^)DgaPvYqMO=5|AlXJ|?nQMVXUPC7~qVnet zm{t;q*D!Zf@~JK?sWS)9sp=F4^>HHgpi0H|-q!DxAb zh-bfRvx%9?P3G|MCbs!{DC0WzQG11p2#tW$PDcDYqfzOEOs}M$VcKFsg*(3!k%SxaO#?D8KBR>kEjjO=M{{((eN2*bF|6mSqp>31S2n$At)jq+pb*7-_H^GV<$ zJ-y6Dq_QcmB2)OAngV+Uq>gN`%REu6&st|(y1QEk<}v$u{jS~MNxj2Y)3Vxx2q>oU z_1orlhf*_#oPho>F*jBGql$Y`&F*Z80_rLv%-N+ii92uYA{~Xk!Kz5F`b^Sr-j1F- zdi=ljOjH#TR^GL?`Ch)ZJ)0{F+MmJwn>|)+m-HnRe`_o*7)oE8>xZ3Ypx{?=1~<0_*|W;PX(_%*8(MO70@{igEpd8 zvO9)jn@1+&Ubz2ssvLhS*CR0|b(q~(YES3N7Lxx;&NY^g;JlLdLSFcjfxXC#V;kE- za4cznu8P(A-C??2TI-DySg(Ws{b&C(GR>%{DYYV48Lg~_C@rH(UGo=wSsL3R(w{N74p_kkZtPK!^(j`AhH)}MNJQyQ?li+swN6jBKQsp%0_n*6oW5157+miT` zH~y^P5weT?C7GHFBZKe;dtsc$5(Qa>)8f{vp{A^s{n@B-ptbLmxyUj zu686a`VS;IPn;9KQQ>A6Z9wKkvUeKo+}k2!uAB3yk(>HEpVD~1II zUDia`DP3Xb)JG1%Wu(wPR})C6M%&z1BV5NiC!+YG>fVK8uGllnaaY1 zwEa^p5Ag$dol#=ObkR`tr#%|$I%R}2OMttYC~fP4n#+Wf-f=gOTZY3}Cv0g|a=CsL z7hB8fc+jL=Y@i_XibOv-+?vbJZ#Jdy2PwIPHH37FmF0T}xz@M&i9odxcl+61g@2Qm z&lH~=TE8Za>?VDz=A1o~$utlv#~LsUpfdzjUN;QJ7OV$S+_O*W(@Sv-L&AIQx~rGa zku-{g-U|w#8Q#qNL1UQP!7svAyMo?z7_V1b2;#JSVmC|etIt1hj)8NNsiPQO zy(wgh&_Lb>Tx9(K=~rQ72-}q~Z)OR>SEbyE6eY<`tg_%BB4BllI?C7XcnpwVeqAY}$Uq|X{O9uLEvzpZ-m$0Z zU<)XWv1@iq2G|p1K5^us5h=in(JFNt2PB3oB6mdc!Y1oBmkfJPgP&U%Ym-jaaHb0^a)cdvGQPlUF5?-Z)XUr zl{mF43gxO?LzxvOtn-z&e*wNI&!WS$9X+fq)>w;~$TMh*5uW;zx)JQ=bEV0kwAlhZ zFK_d|Ukh}g`+AuL#H^w2+0M^Yu!I=!Y~oMeVt26O4l3#{Ck(PRzyRl8DBFlpIFA*p zF5cyQi3$N`+AhS*uZ_a>sD@B0vH8#&zl-7sqPw?A#qr`=&&jdCOMTIdO&}XZX?dEk zqntSp+PJ_S%p%*w4*K}5#08jLhk#g*7;yz^LT9X+*_huXFsk-q5Hhh=T-93l)cLap zyUw}$kSNi0_rv#y7Ua0%PZWXb^)3Kace*5g}i(xq_uqQ48+Wk_(>U$13b@wz>#sH*BEO#iJVQ?odM#weW?sV!h3!)z1tI_uj%1>m-` zS0RSJ>tQI5wKzWL!l!^>nzk>&qAp@?~9>1c9A4OyqXDbuh$HHvQ70FifhQQ8+9Kmz9~ ztZaxBH&woP&P>1s?SuAl_c&i=9@d<6*9C)~<_MUAR}ynOj~P`zTuouWSboj?@g>Ej zZGg#jfF(wn3Z3L$8?vhQx*szuoNQrJtf_TSVJki;_#|7UrB8ZiBAdZeVEK4GJl0o1W5PX3v5}S?4FWtHSOy?u#5dSq+>jgcOD4^|=?Ba2u z2lt$8;scTX2P>4rdvY%`E>!J)*RV?+(%g>b&_J_s(*y6}NFRwL9C(x$6 zZSv=n!IQH(;l$2jptx!y0VFv+x>Dim{%w(GJF#=sH1Ig-Uu=VjV3NM$ME;37XOHvG zsWBq^m;E=~FuAK(fx24r(aU$_uI+AcNeO)ZHy0kgn;hypYB`l(CRWz1U*%fOY2i?( zqYB%0P0uXiT>5U-3isXK1JkpqtFIJD<1eRMesKn0Z2R1L+yvX${tB-f9oD@eTuC9~ zdF2|5okV=_Osie5EyxN3PJ)7mgCDOGW>_Xv;KZ8R+>`bXHSp$h=FghML9_!)nlJl| zFZ&7Ml1T0AICr47*8OTI@0k5QR=xDA84%ZvPhU8I$i&_@OE}anjP~#~Yle-Ekv#Ax zAGGf;X;h?>CT?Lpe;{2?qdwmne}{v~K1n6{4P>~2Fut;Xpnpf*i0}684HTX<1fQq) zAC-RQs(Rn{!NEcd`uRfTQ|(dkO0YoXABP`^I7I;TH$2j8L5cuxXtf>Pl$!bzPA*q% zIP136KfK5LGT_@XQ&49^g20f23$_Gt;!orMXw)H9%YihI>KSiOX^NE%;6MRiX}0I7 z7j*N$i65SSp_vAl4dT#mZd5={K8pk)NU09JU0wnFk${iY(fS^z5(-1n?> zBc3af6b@w=$aQjUFgxyN@HMi4;fv^zlUt+-zoXt8N6rDSn7{WvE=@LHlv-G@QcIhN zZ3H1VUL9DpF>{i>Q`fGkkQXvH9_DY(a@Dp-H1y>g);AQ-S`N+KzKk}G9%8j5?SMQz zG31CPQB(T%ISi-o&Y`MHaOujf#<% z``UGoOwgt-mQ+QuO%Gcfe5JSY0h~%&csxTTNW1TsrDyLm<(#6{1VxaGoX8#7u^Fr7 zNTTiQaYYDdT!TyLd-NvYBRGFY=R?dvIYfWcrW>~xbT4JphWoxkep1%=PKh!knK5Lk zm|cT|wA?OX09>+Ni^P$Zvc$MGF7Py7MFixng19!^lQ0H*#mqj9jW+TP0fYDbnOjzd zM&t~mZOzQ9dZ@}T)Mh#}j&KM(mBjZM<7WVuD5R>byaHk=Q6}NDkAbL<3 zk~{f3|GVFv-pr@VTMOrcPr@nO?@`O#Pecg*2F`5_j_=Uj+@5L|=LI-@%XZ-*I=2N# zo0&vsi$53T`x@ZVWvX8^1N+zU)GpmmAL7F|7``KWMQ6K2)A)xVjNWD;4V{jJd++$Z zAUb9ODKa+eg!@uU?C6^xC2*~LO|@vale1M}oAI}aq72*TN#UkU>$zErCfqTOs?V%) z7qvI|<)M?>`tY-nl+(g8j_^ZTwtExHO!0KkU3o5*T9V~vZPpO9`1M*|5i@PzW-fm@ zm&Rto)-vl6dr>qrR=Gbc#c?&ns{6d6jZutjh!Y7kVro?m!${uO*1+gNH{;pA>5=2g zj?ORnYVMQ?{av6adS{LzK8NowsJc9CG{cgTFz`#-jZLBDuSq|zo}wB64l1jKef5DaF3ZxWC6PzJ@O#hjZttbC!~Quliv?>r7tYWFVYv~q5+sFd}|n$J)$ z(;`z~IqY@i{5?Bh^n0?n-#g!57-};F0wN# z=g%kTndWLN@y|Wdw^)8zV}uAfS+^@V$Q-6CDcuAkE)nf9IkCmxnv^1+yA&k;m;`QX z7LX41z!T)+Pa^L`@WBqoFP*pQiR)MSZMSANzADBJ>Y1uDUPA|()-{>f2TolWBf$T# zdvC+p$dsx1t-LCUWF>doCF$dgeFjP#<6N+G*+j_zWALaS%S@uT6^B{g42*BS54xC0 zu$IZ3JVLNAstMH){ln#DfE*qFH+FG0jx*o9l?p~Qg5a={7~}@qtrj+$*z{`2L;=67 zPxUo%=V_W-h|cLfX=@FUJ}JUO|0}IlKkT$3|8_!H7h{w6wggg0!|2t|wq`fQ1NT@v z?p&d)iVo_wl2_pNPM4*GZw$1~lJ~`AIz5Yk5KLax6F+?Jz*l2#KxU0!1>%>U;OQ9C zqWe~EB^JrQF>k*Hk_B!Dz}JXUoE{xs3v5{t6~w+2v!N$!l=nNW%BYiwCPq|-W+dyc zEpz@3tnjg4wM=2~qUw*ihXu@9*&DiCr)sxEsK20WK!Ao{tt6_X`&NmW<)h#AS+G}j zJI^j1u`H{jIVpv(Cbe-?KW%-6pL+_~PY{`px0*I2is#fo?s7b|9Ce)L@+j2dz+~|^ zKT4_e7JB&))Pn6Hc07V}r_edo4msTtZ}hf!zrNg=_&()Ds^&3DIk|8I$R3)wWU^rI zTFQEP)&)DvNMW?#86GY+w|K7#$eii+!uS3bWg6;!yjul2HNE$hjEerM9w=hqySn^p zY$i%o)1+*u`{>P?(h_#>wkJl57hG!Ot^3bt6}u_Ba6jYvO45m>ryu&rhUNhNJE;nf zBztZzsQY|5*0wE?Zg4X`u`T{ogJLUktoA1a%#?m$2QArcWh~1Y#Q~jXkmOzLqQIpl zRP$sXE5~n46^Cfxb|dbX3YoJZ{`=NXhxe|l3S{d1$}o3df_7He?)j6f-OaiFcK^8I znU3<+>?n?C4TZE6ftTM4>$YzB#Y+^m8`NxuWgHk8W=_Iq{H4Bj!qrsqT4d(WPp#Z{ z3{M(c5=Q*D^ot_-Ud*cPllp0&h>>DHF@LX>sBh zL|l|=hlNUy!ntyV29o7kSTjuDr*g1O27dg=#kO;^^U+D7-cY&bn=H^WLcA0X#7?6` zti0X2{Jg6tF&v+}E-h9iAR?cg8C zI}7wuxd!@)jz{>DNTK3qm5+#XnW=-Ek>JmKF9X_fM-|hpNOwRH>>HJ`#^9<|^D2A! zu7~BFGr5OUN70V)CyQ4rfn4x{q`p8`0|B~q#*iqfu0+3kef;__?V2m^^)t$ePKwv4 z`d2C9z}mKBRe%Jz261*@#!6lmA9}G@5OR;I|&B({eVOj8Jy7c{y7o*P_ztgILz|L^~smTJ>7E44Q4;93`w|}b_ma>^jnsGey$)oW>NZTKSyKaH7 zKEXGj`=R{(xSzC6#>Blp&RF;_{s3ur%mTg_WvcymF>Fu(v}WoAA1JX@d`m(^ciqCe z{-iG1(C?Pl7@&e%I6SEaOeM?^mVda=>3zR~8rHw7S^H5#6wQkfje=dH@G{QSkXaB* zU%eqV6LI=F9i1|8Omp=W#-@i(5AP?g9RquA6>1OS2X=2mB@Iq!4=oxELql^)-X*U}6Nba> zzV=i>SyuwN724p^aAzAeOZ5=Vfl>YuX@&$j`clF_C=1$;tJVBtg&s!Ap?c1+ZT_Yl zX1oQ?1M5Xu%LwnV>)o-odM*r@nyykznOcZy2?4-7YMOJ!Q)jNEt5NX~J=6XzTDFs? znC|ziqyR4Njdlrd2+nm>jZK%MgVgIid!Rb>gHXZ+Dod0=eKk)E1F7Z+uUyX;#4|FF z<t zbs0VuFoM7_94cMtJTGs{2IO(rFwe__=b?UCna8T+#-F>P8?MXkVnS6I1qI~ic*=*} z5R_&xt3doWSGL@m z6=I`JIiGzE8Lw5<8~1D}{}t_`e((Q8GjeLE&4s?7<%R3-nZVw1?l3Ylgqs?yIy>t^ zzLfFFNVMXkdiUn|)JxxiQ8$SOzqhe2WQ$|WmzA)~>Hfr-Z8z@tJT;zpAolP!zJz0m z%=c}sxrj?|2%4e$uQtuNk>ajA(;$YKtxsMuu0ffSv$Ax2lATVv*er62|Bs{d zj;H$nqc|BiWt36IwL-GW=DMyu?v;_fNA?cayhcV8nb!ziBzu#+io`W9*;(P@8rdtO zzQ0eu|2-b};g8qn{Tk;ykApXGk-7YI1i7;xpR72*)Guq`pB*ds)zR=dwsy5c1;CFW zT;Hx|Ca*N#P+(*#5g`^`Ab_bmy^V{%QQ2F+k4U6O^vR{Efihc&cGr?SJNacc?~f%9 zDp#)$*+p%Vac5#J2sLjBDcA};B_on(PjKJb%? zwz?Y9w~z}HCz5qmRk>%+;%Tv4=AH3p%szt%rc1VT`daQmlXBpDlN}p8*p(BPY;x1g zG)1KYEyWg=6Y3gRc(gcmK&=R3!cUAH>(j5~7XWSpAdLhK2_&|tfxgz&xh2wV;go&& z#BQ7pwN}T)?hE$TQM1vZpI~TU`~6~-!z+;S8d6-qK*EH5u7+DxF!v8!+}*jDxURya zuf<wmC@jv%HEQu3)zRJKpstz(HgdLqrakVDy>5<=5d@?zabT#0p+1jn9@(YNPOy^rm35Bw3=!F2 zLitL+Jz8u)dxvYW!D#^f3`6(;NKj-eZ@NOuvwdnx?)koz>>qq5^!8m5GGkeLo78~{ z0<}&_r=I8ZLlv& zGPlng)=$Np;kPVw-gNMzq3}C^qrqn;I<{z+110V>z||k3zT6wyCq3D}C>6lavk++O z*W%0n!vf>MVH~SnSXx3d>upGzs&a`)g||`{K)sAv-<MM+Bo2{CpdYtLkqfw4D6@zZLj;xB{{1mzxE1|FF<7Qub*G$3QYUy(7MQI z=P)c5^yzUBH^1x%B!HSiD}-3;f9Y!#+4Xf8;>$eHmipRF&O$}D5QwVW8gjgq>5FrM zt@ALubJnEY3mn2b@g!I#!TChyx%T^J$b1Oodx!Gj!=NWd6pt02nck`21>7!TTSgL9 ztm13!J{lvQrb&R79v}C+!_mQA`2%p1r250P(6hp6RIdKt(ct z3_|jc%FJt6nZ;lkCHbl&Yv_ws*)h;P>AkSh( z!YXj$i+Rq;{$Tr_jXC!Hh5M1tu&#f#m-mHVO|=~B9JVOXe}Ki!!hMLqDwosg#%9d4 zr1N3jw=!`Y+CO%~>j$Am@ubMD#Y8s7yICG7n^a_l25{A}FSxYkE#!HnH`QIgxFKD9 zEAu0z@%||9@p{+c2|y@(>1F!ux(8wtbdgHRuFX$U)cS1b_?*Kycf#1oHT?}wJzCUC z)!xt|C6OQWx`gE&BOw<>kPr=N%TVUNxn^%)qb(CAL1+5fvS^mlALjbGUnI{FND8FR zsmu0t44mNzdaq5fJDuO2@K2@0JgVQ)JP>G3Nsh$%gvy(ZH&3qwuuO_5M$9uxYzR?r zqz`Qcl`K#K>?b+pdm)7EMx>J+^pP#ki zr1;DffN|p_&Dt9@Ug9xoE2>$AbgdUqZy*EgkUo%|?yd1w=qQPcl*pG$8P4b3hK!IM zU1ZGD?-;M1fwulTpHvL|}WQbt< zBdR$Fkt#TR_Ln2NG&I@B)zU zh7vo(c3hl(#A&mv|T z`LKDRgC2!|l;eVZkc;!vHw;PQ)O3ja!9rV8(cOR-{9GB&_xki{F%ft`e<#$5vwQ<}ZQoVYDVsOMjPt;}jr$@!8YMKVq&!BepL}M!%_K{M!pb zA2o#dOg!7iw&f}oFe}__)``O=_vDd1aMReC4r!XAK35043S!=)z+WMvW-e#4)-H42 z6g&|3NNFLkD~_1Ngw7{%P!GGY$EYPOsa*7fiHHcFWcjx)r$U-7*nk^k_IOLom0N_IDNBYQ9pHf5Dnyos#l`U10fSV&}NCBh|=7Qkj zjYrL-7eLi3)^2#mrAhQ~k@RCsp54kvh*@*QL=IJ+T)@uEGm zNgcr^1(=$&?09NzYC8utWK+hDo~HEohAG7}EVn&s6*G)PBS?=zHR7rAR2l{=@O=6c$rJsRboRZw&fVS(t^qj>`u zc8>)P?Df>SpSOu{C;ABUbsE0;CqNoYh;C;6z*;E$08<%wp+;{5KCOAMP`SU53Ly$d zTuxX?IB>=&+Vs@u8s#=UJY(F6!kj|7#G`I^8-kLo$Y^wZTmB;w1||%sQ=C>;S>O6I z3PPgG!!Z-!J^xne-WBPesIF!lKVf6w2ZjP75)i(N5Nqz>eW z2gk4GYs}S4{&Q*8sSmJ?m5S{#JovH-}yuavoBulR0qoSH2JAYi2zeq1Y znEJfOjx`qMKAP3h@h!~0%wqztMdk88V5-LmuhEpWlrI!2eSZbj8Hol5$eq+($A_=& zN$*U=MYFi-|C=I96Z+SP`xqkR6KGt0SD}q3yCgCm4Qh0< z=;rn*ukg`ECf+QPJ}z_vIhAqiwR!1)@pFZA+~0D#&ZN)JD*4KU2K`a_Y@%L+UexMx zA2X#I_$`)&Nf}_rW`nT!=@Ou|4WXrvf&53roWw5qSBJHt=Tj%{wB?K?g^}o{J7&VL zgTle-)lFGn-nkBeowCiiy!J4~yZHT= z8a}0VsSR}fc9=NVbB4C`bw^#U~mz-TE*E>cDdnIjZ~svIXAQ~Yl~Eom}J zTovw3WduK&VckAK#x{wf$$_|>KH)&|y^@a<)&EK-1^fssM$V!xeSJJ#;w-0*BNqnb z_f{vKqw2Pq;X$Qp8&@MK@xB$0Oh{VzJTb_hbw%_Uc=!5*R^r6vAgtZfQFUjao&;>9dV% zaM4~scsc4$7*;yjUVoVJ?!6T)_>#uVy_1*;&s##jINO%MKU@(j<177#FNyEXqvU;u zy|Vb12~SZL?)6un#M*fdCr?Y%Mq)^-mwH-Df+_NV0-J@P!4Si39~#E;7}As<@hZs* zixW#7YKbSiJ^~*OY{Dr{uU)3!RXkoMxPU2B=l>(BG41`)Fd{UOE~4yO9dRNGV(IrcZROeJv6Vhv4GgR=QQLQ9Z!$##uyEY}Mr*Z3ax zI_r2XYOEF(v6X#jU!8a=K-JuWhIBO3G!RNOqb8?g4!Yr_gk&;Jv#_EDhzSq?&`sd# z6v?q8R~Nj=Dzn&Ql54|fp~ z<-<#Mp?f+ShZs-)rQYDcgpPQ1}@PsL`yt9E~72pU3h--rHH1@V5QC?3CFE> zrDN+t_s+J`mu>FuJmsK!sL18jMKu{`z6k;b~$oHHkxvA}fUL zbOo!$v^8X@E0}TsB2$;%=8IF7`arr-=BbLMw?&ZnQ2OofJkv;E;Z)_Y2^S6;;p5O= zowuxz!!8J;E)CZ?BWo{eU*7UMPez#L0T0Rz)qw%ve+8jp)93dN#H^m^chadJt=-V3 zfyVF+p#z8R_LtL9I#w*1SBBFP&B21lrcR#UcXW6#>LMa9okWBjJ0G!b{G8DLlh49F zgpdzp9>?>HtBqR>ZUT=CH5E=QCCS<>hztQk?po>mfTAK>N!Q|mE|BjgxGBt5*m;rs zs~XMHsE(P}P#po(3`{hMrFQ-O1g3H+&hO29SlwP#)#uoM1m^9zE&D-mRQz#@(FHWq z2TFrFOlwWqM3_eLW5`)!)U8}>Jv$H0)bmNdtvqE*XZgm>TEDOPRH;GLuE+g zuJ`29v%k-F0xFj)dXa4zLbGd(^SJ6-vJSi1(YX;+CNtnOV^bShZAxl8n5JgOBDZ8Y z(r$?X!4JpEZgg~Lvt(O*hq@ShkFEOYP>2&fQpy}vj3+F$Lf;Dfkwj3c&QL{jm1rC@ zAf8QJF6?WI8HF6&Zz@RsNZhh-_7!AWthI?7lBC+FEa`4Hu+k%Au#ECqWRTf%We8=2qF#JX|Ns-U9 zxU*Y?Q2)s^-HZ7em;Z?7!*~L|_lRXt7T)8=!#c%`WW9@b0+{tIA7ZBOogH%c;LMQ$ zcDY$6)u>M;tWcqsHC*G79yR*d{p%O7s^r>0CbWLI7aX70BhjPe7#t<+{`Z(gVdz$0 z!k>)lbD28v-6sKdzt~?{v%AZ&{MHC2k0EQz^W4hu3LuU<_{kn({NAE7SHP6jHksBG zP8u2NwsP>p!)*4#ptN%;IFwM&+;Cv9Xnr-Wfdx(iGnzA6ZGCUA>T-tRz=N)F;Q#4t z!KkN%Lz6dm!x`}FOJ)R_NBV-n=Jlp)1B5=fvgzTb zxwYEfnVm;3xyVX=kiImonctfBcE0+nx0m%yodovB?fsn#w37q>TdR_{a_@XT3${A% z{>V2aUTnDZXRkszB*pnbZx$F+D%q9F&g8wpN$XS8Hz0QkJzplD5`7?{(juBp7pqK3+nQhs=J0&sB`kSWMU-T#0hV z*}LD3exx%ak$cIkVF|Y%^BlvdeTt;M(F_i_E-#$(7-``@+mFD}`|sqTv|(h!S-{IJ zvHQ*bbw9`PU!6$<+xavyACw3$(|HY_*x1S4s>wV(2;1Z(L6J=1$AkR$0QVPlyd~11UG1hZJ=3*(J)!OWpC(=i)qd8N5 z4gIc`RrogcLE!#F-IVtA+gov?3{Lc4D%f7xr@HuAXU*T6Zyam7**AACACW-?ZZ>;c z@Z3P9TIu=+DJGzXo$ledmE*{kf3RM&j+r;){B)qYa6kVmtLj5CiPB4;akzq|B`l)C zBv|;sjvYS)a-3aQks}IcL#_U~FdF2uKdTe9?b#CCkztWVyn)MWsB!K2C>jBdDHox? z?sy1mImReXO5gTlpA$|-QQ^{r zYCcm5pJvLnvRO9DJOzR0I?3t_P++`qBa7S{*B>p6Id% zVQ0t6+(>3mWpHG4DEFrs8sdL2%R67CJ@+xU{9EtgOtu}nSc%zp=qE{zK;iaqCIaTP zIMyJfB$A)I(m7`oc(g%YwlbJY{WQEcVd9`hsL_MEPXHX1o8wBZDjQA%VNht`Tx|UE z8Z`f9x^T|q4*A#uzO2+dJycbMtj8uC6@B_Qt2B%ImI26!i<=$AY<_-U1SpsuoZs`5 zl!=30lb98I>+}eGiMQ3$kQ)6l=d@amC)$hr^=U?e+9nAFTvg^XwhX!-z$I6F!gB`D~a?@iNZg-G#v#HyD zET#)rLJu`3Wg7fSk*8%(eL#5!z7sJy!99qko=Cjk#6dVz$x!9Uz5JA&^nXr#M564q4OG2_l;l9>sfGu9$L8T+E9>QQ@@ zhUI|udGu2+;yu^S1)I}nvxJgnJAH}JS6LWa=WvlbRq;2}fY0^9FLue*;EgwG^Y4cY z{@ppE)x2BosLLMsgt~yKx@&!Uq6I}E+X^+*XRg=}Og!DkL)3xg%0#sX!sX>YIu?o03Gy3F^cZUCeJi@)ob5A4UY@v?7isoj`&%LMavFJ74STyj zvsNzgnP09yKCg^C`n%wnYtCE z*N&x(W$Do6-hV%Odp;hruLW>)2d^4HVofo<`S)O{@ewSa4W#dfxkE;{? z*C*-!u8O+Z=29Lt{lFdlpvu{imNyf9=bQg>l9h~cG=(LKtuXzRar~1A_l*GiHSZ+X z#ur}o0vBxm1nFiHEAkrcBx%DU5Q{v&5ccZ6B) zHqkQp*womFiiUmvcv zc&BG%W6EIeGI}d`G8TZ!BvV{&l>ecu^8Hs$TknX{x_D(*GvRA>yor$=j#Xs~Z+PPG z`514&{`_&}qG(d}`c{fV5ebWB4^)?quNMlg-Loa?BbU)HiW+7Y>01WSbgC>LS?bhQ z5g#*&w7bIh`d(5_l|JEmFGz8rBa2VbrfKzX{vsdjK)tUNWad%1EtM1Prve|A3ndjScCutpt4KgMlE zk5=RY>RB@})7{ZwWJEh_>yCaf&A-UXIo(c*msx5e*hLA$Dzkf!iwc?Kef?@EO6sT6{6tMytkGt6HKGwLXtrc7+o>s{=sAZR^;IZ zWe@BI25HFI8CYVH=@q!u&sAlcC(v4c4y1@pUH)-INKxS*ytci63v$M=wqLerv+$IG z^d8P9kUYhnUk!;CnJ)71PSkz34c{c%*Lz99%N`B;rpj$=2yI=&Ok4IQWeh!F!+0=Y zRzjb?ssciVFLBd{Uy}nIbhH8S+jqLin*$zGt6$By#HM-|dSmXnP5(EAMKYPYNOy@Y^nuF$9h^!*=-lf0c5%IwlRk!&4#*KW4-ID_?&m z3rw}hF8FR%!R*@I1-l%RStG^-RCNqvZ*YPyocX= z{ZD}MxWD#W2FwH;W+?eRo{)W{JKJ}okc}GUJuo8bJb9$H+$pAY)iX9+o#bMbg8I-T#=PXwPSGm24iPuSd(E6Cn|yn665 z&iCgh>jf<)+A^OH?)_W6K?h~GQoU0jKf}1M20lspOKsL2z~wT+y4cJuYxm*OIL#_V z&OF#F_SQv=)&j8PFpKl{eJ6A>dD7V|>v_3{{LDY*>F&<#JYma0h)>0OTltY;nx&K; z5;rF^WTA{bna7jF1VjQ}xdY{P6exG!;zyt!tF|(Il1wW5NDRKT`&7bqoX>YY*no3l znCsR^3K~k>>!bTl>_y#Xrz5G98zu~ewHfzPA96nGCdm6<&S=_a>ssO*6}`Tz zb5KPN2H1h^8f7eHR`&uuH{ROY|J|?cVpTOew-yD9&%F4}vwQE!Nv!=lZ?3$~W6Hx^ z*St6G*j`In&bKfW?0kN!>E>s3$!ztJPJ}+e6?-T+btp z*@A$$I9955j8rYz_{xQ zyRpC}4dd-ciBga4LW1>gEvPoz2$t}2H9>Cy0mY3>O9D!pwrRhB3&-TTOrhnd`?@F`Q}Vhakw7v`<{5z$t%36b^4jf2}9M{AD-WHKeoXUw`JN=3(x7 z*k8`93gRN!F;gTMVk`dS^hKEU)3@czXznn-p@*w}sz`TXw+v0wkY#Yr2jOmf z6aTP&w^yZIi_SYDy)VGjs5}bX?`*pM0YSX8eobnLb;&qz-NO>rS_9d z|F+_V4>r60Pnkcb1vK4o6jU6%+7guN^Z}35dva$m_C^aW0|^yY2h}upEkxh`5oZt) z=|xk`cWg46`V_N>-!iUwz)~FZs{pQNz%7gg!RpPdsr-^?w*InSiJwajczb&tJ)Mk1 zVvwqqb|1Gj>9lej3rYf!7A?@;_mywo`EC9F>-Spf>r1MgyMxRk;emcchnp{%yR~&2 zvs@a0Y4Du=PS_~QUh-%`vg0ZCXT4`*$=0WBVHHaq4$huOyWKk``h5t? zr)3Kl_8~Ksvr8rmI&LzV*^;>FZjN(_6tLz9SMo^robkm>(ZC3K)gR&Zw{>HiO~$FQ zoH2aQN*7xT`XS4Bt_#Dfkq0JC84K^bXw zia}Pry`lxPGO`sJety1t@Fo&AB54N*a6D?yh(IG?{p}}-8yN?TX z>#wZD-!B_GDaIWKT6Ma}F11QmH-40^M5HD*d|1O*3uwOr8tE%dy*o7eR>6&xzWLG6 zL{~|y~H+T;Pa!LP;^O{X6BqoK^UbNRkFLInh0^{~M*(!VXMpd?|`}jA1|$ zQL^-D4WtnF&A>%N!-rZLEAgD$=tUZVcf7SRzH)?_n>4E3YbUYuC5~_Sw-rdDz({NX zG=lR1bv}lZp0cM)l!^iOJ8t%UN~vO$o@gXfCx~DLutTlFyw@*xJVjeEDX0J~Wr?3@tpqCqI*FwSn0saCVwR0K7O+hFit zB!uoYQVorH&m{w5*9T~`oBkm0vAY<1!=U8_>It;iXqDa+^_dg!$bM_@ZYHYj($Co1 z*YEYJN>mv2jOj2xYG+HRDYL^-MYPb$jML@*!Fr~Da&#}o)y2%+rq&i2*eFCqIl1{t zZ|Mu&u05E44SfeHnMh3AYmX$1VeC%xeZ^4!>So&cZl8a|0&-#jWhj{lm#pP==Jp$q zwM(@!z$3rE{k(Q|&SiHWBHbz*gq0wL#!0= z@GDKaoGpS2li2X}DJO9q=1v#2$gE*WKJ;JPTCP3|>7i9v!!t?fR=-D9Uz15o%jddb zv$vB}1>O>DfM@}_O}^e(-o4ywe+en~s?0u%bW&C$fVV9DwP`N{4$vvQVABw5^J3_io4!>Bb{A(fy;H>Tw@a~ zE@VCmvNjk>T@OJGOAQ>e=(m*AQSfTQViN3feD?dc3j8NdVN1(*z_S2!T;eCxz4`Ap z5rvBAgiEinyV|ZKs=;B$y@4+6+x}H#UN=Mr?E_@JUgq*Kbo+dWFIH2x?n`eJ@P!P( zUKgu!@ewyuCW~y1JPuEP6M`XUvLMNOhrt|=D7>My7LLRcE8^hb19=%7-$OvnKY8h5 zA@{WZORx)1{fOpxsfskE0g*7|1?uo|s(Rr-b9th^=Q86t(D3R@fe0Ji$eC90{mBta zfz;yZ`SgLEQwdJ$529e3(~8d6ZL!Y_Y*6B^(lriyYPc-hmm-x2cAzlQGsS#*UlZBe zWWPy^XZnHS7^P$Qd6gF-${&X0=;c|>4;Qxe?%Ne8HroR2@h zaNwnfY>-LQ6>7z-;ZEM33QP>k=IOcFPY^fJqPQ?CA7oG6 zlKvwybUhdQCmtQiL91GR30%gUFmRP?P*1#D286p-{GYKeGsj!I1rZ>5Gu!@NNj7b2 zVy3RbpNelcqJ=tk8FBcovI6L`iz4YuY9HiD$^9{AuG#9AueA;wl>X&fo@0>)sT1?` z+4Ym9?ZBute%XfG9Oa+Tp)?@L zX_1!KcBZ?Z4EUMjCt|>C^ocI}AL5S8V>nZ91(4eB@u)jZ95!)V24Jjpli!%*C_`<7Zi)Y%H&< zpx<6TP820a#RVxbdWj?rHlXJELe=`cK7UA=K&u*MOd&4$?T0)lzpnEw}CH%{H=o(-nwlq%ZDM9!Hm}Ul)>XYGv6r^(cMpgZSA)-$pg1L)=7qo7FM|i zemt)?m#lHh*sh(wJ%P(>ONR&#;JRJWwhqDXC9=E%!A}29>4R+(e-+wED!|~gO4pto zla|5W+oRMfzqBQjyxt&jVM{Z6~&EqCk9$&w&ML>shvTLj*R+eB4{p|+< zF|9p&jvkyepYLlrDCIOXj>!Al7$WO7C~m>^CqOPKT^muKHu!qxLndzC8gv-Gy@f&CHpya8)shA#4oTWi&_de?JWV$?hsV|DI_b@m%$53D?jW_H^F>`}X=YQFh>y z@Vs|a#I}yvdc2UCCp`kAM^znjl(NZPPu%L(#_%Zz}|E2FD>;)S-T{H zdwIhB94T8S_oZm7Dn=gA8sL-RIO+e0fW1yS`g2jcDpIZu=+Ra>9&F%M4E)T%1B%Y50kVnKO*5huBjT7yKm?xEBiuRymiP`jwX8=ajPb?3m-9dX++ZFN5c1m z+m3XXtCak6zM8~LhmSHH!S26_b=%KMm5(_La1?ek60HtGX#;JNM{5H(HPztOM9t#& zYAv$C+k3ukVY!K7;PQ1vM~+igfZ-I*cm0U{n4*)o7gX<<>z(OO$SF zqXWmMsCh`Ae7dL(-NM)I%=$;$GN~5cp68AW<=X4*I_v{veXL&slC@bNFd1XN!jPv8 zdBhvHmI(P4gXNJNN87`RMbMwsfv_kz6YAWfEdn%A+4fmP-%sl>5UR6{}IKbzSded>8#5k9_nN4icst^Uz`^;`mUT? ze$uW02sff_hv5%FCOs<62VhZd+Kq^M4Y54@PDEJ&ZJv-|f^b z)?<;goAp-v-PFrz+Hb~)ij1P~J9b}mUdgdY_Q7P`6-uCWnA}k0cuSS>vc-J??gLsC zSM}in;!fmrj-VDC<_B^Kf#dzGBky~)2r##28x6YrMg zSg)I{ng6G)zfS+ntl|$|Wozf(fX4_8%Uv?>JWq1Hi=Ia?2~5Tfwr{waFPyB{qt*Ie z+dny#=A=pyh<>5CV{L9~rvBW{#f_~O{@joy_|Hq`BcTzqKan0s+}JNbJ{2qDr{)j8 z+&<1RmONKkmpgrW7L7v=NS}{LaOXY3cmgMtE2&`)$DDSrjgH>V#1FEU6JQ7Unq&E8 z1RES{w+%!o2b^w)^1m2!2uOku*#oGE*sOOI0PEQ~V;#UYe!sd0QH1%pYOeX#@QZHx ziyNaCO{2Wq8;vt~llJOH^;1)_d0DP{`xG84c~H+oL&;1XjpqXF`TFrynwIC}Z68cU z0KXQ$LQf~mQ&}At0q^37yLoeiN`l@alNEqihoSr#Pk&~nJZaT%kt&n0Su=`Us?_@>f|y6mwwhq)+Kzx*p zApoQ45C%!ZSh9~D*zDRwZ?4(iVN*Xu8r@S+c4!N0jPp;?WHl(^@XlRC8mzq?O7%I8 z=jidhyfr=uN2IEY2o5WQQxg_nYUEhw>Wt_61H#Fv%Qd<6Xa5oL2~4sT$KKU8zoDE+ z1KAqySY&(cSsDXZhTC@y+!8sVS7DauwunD<2fPjrj}{m2heh$cf{P7!WOBBWmtHuM z<4`qr6HD(KQXdQEvSiraz5xd{Gq~~vNRfi?P^11zV0gaA!GZpFQ^ZD{i#Q$cCdAe9Sa|BUoLm|S zsF(Rnp#=fVey76PxbS=`<}N1QsJ)7^$`0sy%lf%RI3i{2I*oFah?FwiDUW|ncO;A| z-g(d@C5aHi;S>3-rIVEsJJ^eKYLuNENrJZ0x2Yhb{q(s{$r#UfRrl-%T}`!7Qu(_^ z8UD!F+cp3~t;op@dLrQhd>6+qgIa71duqWUEhO_xxipz9UZe*Qm{#Cbdu~nxBVh>I zL`k{k1U?xNq+Q9(W#NeV1w#UA>ME7Shdeh*1;O|XqU63zgZTIuQnswWekHy38t%y! zqIC<5xp|5@l%{VeO1Y}wX4(iSSKyX?jcAu1`QTo?@T*0z?J*^dZN&eceXC-S61 zNoBS$5{}#LtLL7zq&?;r<{=A)>DsTMt*ZnU4<@m>9dF#`!JyZ~1oB+qr zMv49eS8CTrL*ww$2L&)*Xh)Y}AeD$V`20qyk+S6|PzbTLQp_sE;^ZTFP2oG zStsv+-QBE`qJ>Z!NLyt--@2F_YLI@>2GWB)`rNEMn{!9=XJBNZSL;C0f@HkC-*)g6 z!dGlDa*JLf&FZIy~r+%2-||wVGXe> z%I$f#hMWo8t7U5BT=7mbVa`j=dnQG-{f*@aX7AZA1drO74${!R-=4q3=i!+D8ECMU;4fmoBZ^Pfr}62W^Hj8u9&@9p^s;Vo5LCpA-Fp=wfTeHVTzALKYHb zW)e?~VB~@ha87_|fiHI1WPKxm6KnVCmyp~ZAbWeWob`PG=yPa!N5&zp+ay%Sdmpir zkC?Z8t0*oSO$JvUV7+-((ZBP!kCsU+b?lC+X2=Lj>%TFOtkCXDdJknHazX#q>#+Nt zD>L95ZoMeBn6+>5;H3AnC&jX^!>@A&eM*Y?JeArWWZ!)tj57&~DOE*ER92#onb-wL zaAD~}N3I^MhFSS!lK~*xWkj<#mXY=MA6FMHy)2?#8+vd+mDD8eDR5)_emU@gW8IkQ zCrJ&f%X|Pi&s!Vn&_5Mlz3ZLwy}LEmBVgXSUACFc92yGld)!X*KgZvrHG?yJxK%t6 zYz2(sUoA3jCyD@&HFx-WNPF2FIG*wlweC2}u?oNuTc~`(ot^ zFNuTq@G-8%BU7wIQL`t^FAU(?D0@npZxc?9rrm?_PVr-SKS!-!&+fu%7I|9tLU%MM zI@Y=UaKe1t-+g9uZ%1nT5P{i4#w(n{1511X?ApZTl0AY#D5r-t5Oi4lv1x`g%wf#~ z9AWh^cHsnd+t)-z2YPww_%YE3A$ow2CP3(${F$fji%<4BPRCUP}kDrk9oobG;-;60j)~AQo;{Gh0!gI>dN+Td22HT!0U5$ zM{WUgT6Rxs9(h{Bf64k$SWuXz25(T5kRMWx#@>$5eZ1)%@UWrn*vEPw?ISie)e~dk z^q}H>CU<2Y8T`@~bvCNz^KGxTr&mrCOw~UU>TI zxpV?ckh6gTGF;zcvNw=ql%$>QRTn+rotor%dXVxK#kIwPa8>kMf$#`jy&GVXTDG!Y_R^L8Yx$)fwzy%X-|HdDGwV!x?|cox=pspBtR@ln2r39KPod z+e)vEQ|b}!4wc#+@Zlb5oT!X{*)R>*=4Iux`P!g?>NrFD#gE9zsN4kh|Hdxxy+&`G zQu-h7^I2<^eA;Et)k0o|h?#1E6XCHpnRvN#L*24PKVyq{`uAJ6FWF-SJ#8ebIIc8q z(2S(=0y^l`CZl7WHeGPj7(Ew@{TrW~I4a-ooz*xOUdRt;$EDv+h)zHFO;hei0c!rj z90X_acUGW|`f;Q6Ck{rTgYQkmsDo2atN7=%)aDZ&=FX=SzpF`*G0pmz2v0O*^|IHU zht)q@biH5aLixDrhh0{my%ETUBqj0p2=Yqe;X0@;WE4IJ$eF%xgt7dHZ3wJer;y1h zR|^`DJ*gi5kBDwT+0*bTd_|$V`*dqJ8?RR%s-vchcAXQOKM8(Rd9o86sPwUynZNv` zPiFd661Vq&0Dn5DjFBWUZLWQ?r)u=Z@6=VrQaA0fz7*^ozFKOQh}4T2Ch{+^_t))T zj{;#MVNn^+C%wLZem%On-7J~%-DT-<%EbAnNCXM#3ERiUas1QCw_F`Ia1+U?Z5YvR zs%3(V=N4E4W99)&jO{@y6{dK*d*e(ocdFG_Or*k?TOtuR_E;$9WSn-aKdRM;2FUqf z7A)fr{8;#Om4*8#_KhDL`scfGQp$EWMC$O?xDI}%PVmrMIDKThu4T6V>JUfxvr5iO zdimFYaA=qc77w!W-bPx>n{&RJ|I|2Y#keC`ttV5R!_h-qO}+1qGVt1#++K3dRna-q z3Oa4^^SQ`*%Q`~$--KUM!o43|_o*rHH2`pi^-FKQ=PPp2Xa~LdidK8S0s++=LlNH% z*BUI3WgmT<+B1Xa{p>}8U)Y^YhLlN(nx%PJbZTxim!PL#ED4|08l~l=`YwKHo99|MT9H$AuU3+^2(QebUwaYnbgLl@7hvU-uko-ZVd!Q&FXgNN(Ml zsnK@j{T*9@5dP`}iUIuM{?*wKhDRahnUmO49Y7vXxFj%DWR#NWkf;N8f~*;MZ!=@Y zxzQSXcjzH|6a$PkO)=JL*|T_)fzh(9Ic2Sv#Scj;Q^Ljafb)s{l%8lE;=$)2%HC$< zjUUmxI`kKQa_?v!4WfNyQ}Hj~*6fOd>d*+#9Qa0@_wS9*wt0q*0sKb-6_mAjP>tDc zmugG!yv68UT{X8f!%6K!wBp`Vu+5d>t0_};(RD9)7ckEFjJsl?sm%Z*>jL%D*l)0^ z=T0V`=#G3lkls~smdn%9;4d=V-M^f%bu!|-&S-7{?;+L1t0|^znVl zN*`-!4&w1P%O|UU%UnmYyijOH3=+#=_^7w&2eY9V_v8N9HaM3dla9w2+o5BgL-q3Qk)^vW}6;%yr;#=e*Q^Yy=9ue8ti zE_gJ>bp70km}_OFnEST(^1ta#Io{uIH76T4Jx-=v&>~sfqfA(7P>t)__aDLre!RK( zgKFk-UEQSNld^Up9p&GqwvQV(OH$8ci75@PE|b1~PD)&Ph@*IZIsi6i_AejXu3~si zzz`rM)YqYq>WpgS3G-njb)W?3U5qpiIsH+$6#vnGxQl;ad=QYARC>pAE zak^xY(N4pL$&_}Awbg8csO*f^I8A5woGOeBFdj3z+{Pq(1!0TC7h?X!1>E82=CIEV z+;j0Uh5$~*b%0)7?_=NNC&A*eKpwPX970R-_B!IHm`lb& zJkp>Cl`t1vpM>M6wzjG&VD%>eV;z~P2^gO;+9`eg6VSA)yOA87FabZT%7cUHpv)-( z?mNf@I&$ng|# zEzYaZyN@c!oV7;pnKbLb*_IY^H@o`Prw0LSL8NQovk!jBiiOBt9)?KXZYTP+e}?9|d>RCY?M#hoh!!%!F^F4PT}^JVFX& z-nz>>$0(OcV5Ysu7LW6o=O&3ILkY^j^$8QLA2z!F!?XG z9Daw2F-_-8sas*s!aQXr9hjQg)1DBqo%q%Q=ggT)#6#!z{DXrsyAaS^aoh$ZE0*AL zxqMbb@i573W->2KZK2Gn@Gj;LADWtYNtj#>gj(F$%Q72w)im(r{>vbJOOa#kOnTQ; zwc)~4%XAZhCoN+MC(XhBZrO?x-c(HDru9OamH6c||2qbzTkjp*B#Ht=c}{xD)I^Mo z7R$ydtfR^v8Y@c=nW(TsNmb^EuJ!l1HPf_}9(a-oNnj!G0iQ*KXfP z9pwVKaKs%HUgiMKj8}IIBSzPCaoV@3)s?sTU--Ps+~k(-S^MmToHY2v33(afv{VyJ zhPG1*|LONGA3~7VNXQqQeG*Lq3-&9^IJfQm=lR!g(SS4F@F60#V>fF+SAJ;bZVJ+k z4<_tb(OO4r&3!BFjaZAvEXUiXMShF+QbPL^FsQ%2FUqLmei^$G#|$j|kE5$#YqD*_ zLl6Y%5@hrcNog1`Lg`exK~ie6O@$*_ zXkh(xE`8nN8tvy-RjW1P9Ig~%AgLB6)Hil|4QU0v7aab7u z6BZrVyID_3Ey&9Ksa}9);P7Kf+>h+ElEUm*qn&1Nhw|>+Hjlv(nU%fp-}*a7?}F+& z$uo7lhil2;hE88QCC9D@3(!}%?NY5c%bevfHYBYEV)8%t1LX{VZqp}A>>kF4#+m@E zKFIbtA$mZBoJKBIlB5>8Bs-y9e`TKR{yL1A@4!AKz>Mn%dTZTEIodj?lu?{#ai~}vP{YxG^i1|9#eW_JNZIuSR$W3Y8r)vDx;5$F7)!^U(vztEVfB4|Dq_$o-V0uhx5Xk!MFrEkgVmRmn^FOMryV8#NgmTRGeZPV^x)?38D3Uq};a z06U)%FXDV1zgv=Rp?b^!B{9jQaE23*vc18$#g?3%nLKxDtu;n7K9*>Kzz7~>e~Zn- zdHJaHf}NAp*t0Z_Ry6^5{lfZG-WuGC%yDn0-aqk?yV3Ec8t>t!cHe^XEU6W*XCmwO zR*?R>87c>M0>ncC8hek_hJBVNoKG?}xDC*xp%YAX+m+ubYEkH%Z{-_872;#QRE2Vi z$A;!`q;+-Rkx9ey1PVabpJ#I>OQ&>Mg8^3k88ufQJI**7*N0_Z4xVvcNi5&-6nn|M zfpg-iA|0luxtEm|w&BWcQ++WIz%Ey+lZqtd>OJ7iStwlcqEi~J?j0oHTnk*BZPtzt zvh;6G0KnP;>&c|vG`xt0=6}14MB$%X9b4;jME8|Yg&D%Aj5`|12%wMhL6g(9({)Br zQ4tqG4O-|*vLVaGMHLG?&0;oMo3|t=R(m%S8Go*x4vHXx)EJSf{4D(g?|5-A!Bim* zh{3O}jL1z{?TzQ&<4Dj)?&OZa8QLM>&88;DOSQIA%{>X6Xe z_Z74zs-()>BUD_;isel|XuWwhkK(Ap7)tFQ4cS&iknhE%;kSXU|1}Az%W9wOusk3S z&4jF)gwt3ZFK$_7?-{A3KoUB~1s&g|Ue5}_$b%Sj7M_zqIw@*!kX_K!%$a0^zbH^3yI1A~i{hz%YDSN% z16h}jDx&FBh?#9b129*PTRzwg9FrS@-;42<8(gpV6{2bCuO)C!@2G2CYb`wS`@ac{ zT7c0r*NLw^19$TAK`YZ-O^ZZoH_69?uAJg7%Nav(jj+HO0w%ikjSa1jD)fA){B#j7 zg(O#T;d1lOtNxi<;FP2QR*qAo@eICw`9!cuTMkUT#I(Nn7ZiCYQu6q5peOEvpZ&j& z*ln}C_cQNbw&B;()~6+@Dmp zNSnveU*pwntf7HY{3M zloZnFrsS-d%1AdSnkja22yLHq{g}f9IH0x?1d#=R-`(ed564nJ5AzFM@no2iq8Bo& zg4zX2$eN5htcL?#niUVfl7B-MAI(^b?mxh6pgG9DMkt(@o8L7pth8e`CDIk(Ki;z$ zE-cIXG-;KQeplGqA5?Wu*X@<0<;jknr-KZup&U%;+3ehZ@r)tYe?hjfU3L5!N!fj< z?)6G4fOntPsls}GWHsDiRnNC#1z5FhZv5!)dG#ccE=M8PqnG^CGqS>jzpa{(=;W=wXWt6^wbit<8O34sxjN`R(nqQO|Au)J%~0fTjbG z2K#I`^;TeKETmHkg+N}qu>kDzh=>|X2N>A3`hsdW{|5F$dX`!vUWex8{& zP_ziCxjIg&u>JApY0|ecg`BFj@A2a?Bzv2K$=>VQ50jOPY-Xgc8=Fpz_dg4L1ep!7 zvY*-$#Ug%Ab)mk$U()(@{WB%*YQn0yKFf5GTO^7%(MJ_9tx%i~*XrZmOb!&3J6Nsi z@)NgKU|#e%YK)ELBU-743ET^my=miC=H=t5UePvVGY@!`%>3G^rY#38;m~zwcn?Sm zzwj-^Z>BL%c0D#Y{EuGz1xebu>j;FJ3u{6#%E?9@NRu`6%;YMg_jh9-BbsX`Db%q0 zy%!~i$`S|F??>OhAwm{L^?ON^;4l;| zww+qsUR!z~#C&jcn`F6=dh^ukhB{n>1~&HMllf=dl&wZXe1x_up{)1~;GAdNd8niO zZz0Cp$P+!ts(5PWeU+Wg-oAZlKx1DeCr-csk%C;{WbuhAAEk*uYdZtE^<3`l6P!glV8b-VG-Gnt2| znPZ6vEWFCHRXnZ6Lo5590+6@M|4MRsu{GLF`mRl&GilAeBUGzx+U%4H3*Ceq8OB{q z^)mLiAhxhtW0cxbi=PD3aFBVFTXnlu=8+)XDdp9b!wk_Mrxqu4LeLv#z$P8Y+_6Y5 z#JwzNhJ^%AXS|G|T*eW=|1t(LX>jK5<6B_DYGIs1f3!B&`unTMhhr=*g9E6{F03HaHa>#Bqa-m}uv zyN|#i`3U*{6i)Tg#}|>bhEJyB^q(p7sElgdQuN1LMR+2(c~PZ`TfwIN)p^G z=5fXlO9w_Q;p)Ynk*W}?{rH@;16p#&w;m>Y#4~{_JlIQ^t0Y^-)j73<=f1;qdg|^u z$y7>z^;lcm_%AEMgq}DRy3?~c*ZiGtxpJu1SMi*+$ns^&xI{MxsB+m?Y8hxxv4uoh zNSLfIPsZhLUgDix)V|QboaXx!b?x-XlzNu$?xh1#rs^vG^rJACp!KYbcN-_Tdr(4^ zx9-RoPXE-ep6*NAwaKZ6t{R`8IOIhM`R4&f-0ASzTAbgO`vui!pdod2Ht+TdL*%B? zjF*kp-2JAae26xW+fT5PCud(>7<%D4pb85PG8sZjHc+CGuw9cXzk%5y@^PXKUNj}8 zaWms%JeI85BZV;Y_Dr~6>}j9JSTpMcD9bd3f-w@WhY6KOB&z|x>HJl+8X2cQ0ZhDb z<%q)!8W;D>O7@0$4!{=+KEpR$h&B`tp{fe4>?E?u85=AGdc7&C*D-(rGMo`JRom&Kw>Wd{P}$} zlamR7;P4p-#8jmKpUOmMfM>nJN;3(5+P_8gkRwLijp<8}~)INyrtBVq%u$~VsFn1W8Y*P$?mK^B%n{7tGi9BtRb>&%AW3vpzf)n?qn2_F0OH0mftY{;6idlh4Gv3~-sDM$pp2)bU9dY?<(EosWmn^E;sP03itb4J|#O= z>LTbYfP!+Bw-niU;cbJ7Ov#y1474o_j7btxCMU~$P{JZm2bati68aavE(#{hJa7xc_`I&}KKY=Iz zs*TSzQ1XO0Q7qKn1DC%T3rz}Rfm4T21-!AfIAh~UvV=Hv-0!~syD9keN#swnzGO*B zD99najICC$=$?W&+@W7nrt5wSR*mNp+7r8> zgR6m{tLv%SY2X43=*nle!!w-)ohV40*{krzaa|qy$(-A~kVuT@;C7oriTC8Zei&yb zCKD=mHRVm@Ppc7l#ju+1cY7JL`x*Z9=~naxKkmhm<=bs*oBHH2mNikNxaEW50 z+#vw`6K|FMP^+rN*?}<6c$AlE7wnwGBDX7ZM);aFoGkQK8*#VnEnHPt^HoNr8*`&Z z686mHT3-WdF+go;Ai0->3tn$vdCsz^b#!2@UiNTv3~(Wty83a$|AuzYGHF0*-_EvI zXli8CM9{I{2iVOru@SU*6Gw4y7I`JXaxEujF&=X$Cx+faW^KQgq7G< z(|eqlZcJq>Wt)Jbg^oe_kLdxa^z=1_EMKe_HNct01e@mQ_e>1S5tuecEV3`^g`_@M z3z6=tvSH*dDu(1znTH9m1f2{81rqEzpRn8nVJ<^L1RT%6JJht!GS$yoYXxsnD4 z$>jD-w?%;K`Zascq8JT-qg3^+5int{Bdyh7Mj4VcCZNjI>%?)^{BR*3mUQ~4T9&30 zyBR+DxVe~L{b}}>opGhcl$SL#UxJ7Gw=%H&T(}L^?ZV}|&7Ekge*>V%#!Ul|2u5f`kIE5dG2}{R&=5S~2p9n=iZl@Av$UzyG}w&qIFDi#s5>;)rf# z5AFT*T}+*Y3y3Cp{~j*Fv-8msESyC-clcyINrU)ldZ+0-UHqsyC7Ip%DXREz8usc1 zKxCXd*sguEe59WK3n?Ue(JPZ&uy6nFIWO34@2qBGL)Nw3E5y28PQ<@kTvyJ;oH*qq z=M@&TkKflTfe8kb|s3 zb7K)t&;_jrMXVJZHBXN@N8a9{ISr-b2UAR^uSs7c-oIx zY>sHO<(tU$RCI$PN&SA-)PCA7_uJk@nD+98P}ZD+6jNcjn#3oFIj!u5Jj|u5M)j#XoP>L{*#d7= ztFAX;^e1lLyLCGXe^TuqgM2H~=3Z0*7^)50v+3SosFhjki#AX#?fNF&jt4o%=Lrnr z&uS*k=%fOwo8B}?cR0NmwarAo{aTGMNa=6x+IyRP!%f&grAn!SrAh~W^&#?C@lRye zNAVBe+UR=9PdwA3CE~@|t_5~OP}Z8aSsKtG71OP^J?e)NC!*Ou7zm1&*(h|lG-0~| zQ{RnScn4p$t~C5#pn90JQw($Rf5-tbv90LQ(Pd^L(A1HOT?P%-W{PUKm8o+WZ%>Xw zXKmB{Cu)AZTY7Fj8#zJqs>%aw-QmvD2i73)X8dXg#qTBQ!cs@;!w>`NcNO|FFXxYg z`>NKv7+{;RT#GX0#3$i)o>F?t83StXP`MpiM^8p;7%?#{UA~90d~q@)H#E~StDhuc-yJTHIs%WfOh_C`Jsd%+~Bs~)wJt#9Bq_w^4px~cS~v4ulP;Qo0{&9 z8re4myFIF$@lA5|wGHDMH&wm%P=$9VXxu^k*2Tv2CKcr24<#Q%# zaUQNlq@d3)s)x65g2FGgxrtEA^S6_KX62;vOm!rbb+DE676y0>%*r{(o20Q{5qS5k z_csSrQ#RoNYmpXD?AA{uO8?uILKC8Zu#`hFk5A%yls@|_|_$@`_IlbUqlpV5da+m5`M&x`A6F*_>ZY}RAa2vxz zocI}k728mi@HS7q|Bs?^C>og9#Ai5Po{lNlCg%cb{cGA}#uJ*SIWuvEXK?Ih-+zj+p}6c zI|>unbM@Hqlw3LBbg|>DhrgF8hfA#u`$%pdMI+Oo4-vQh()|45+mm+2+4Fl}7o4Yi zge9-cs;ZK*qXYpC)MM#d-d4rqrK}+7r~FPp1N&tyS^AQk%Wv1PQ7;8|a9!!s7diYF z6ctEutZ?zL*33@K;uPmA&1#?VQA``pxY-r~c{6cL|Egt-s<9UpJ)!vX%2d6vfUgQ3 zH$khiu#)pW{C*^bACqwo5xcrt!AMzf0Z?7Hp^>>N^2cb?D6cSWviYy89jVg_&W(^| znotjo$L3v%bEWZdN1SBOMkwiWpjXpktaV#Xkn_#8pQQ*9g+xLCB{hwHk&nthy1mwR zZ}MM|;PrWFR`C0OyVNtS>af#D978_|hw2c%OXp;|O+mza(Eb)VN%|R{ak9S^2AC2& z5xM;^5uz-S$1C^ziJqXU9HGd1UWJjC1*A1GTB0~Tu>!OBp(#gpTvnS2w5i_`wX?es z)4X!hX4a?%2Xq2lKQQ>ae~GI~>`;pwH?gnlfHpvNGb!WJFzUmB&jysR$!0E{+8twK z5hL8osK{i2n(@F*esrYU{ws@}^E$;{nYgh3h6!exNgr;ad6R|u-@;6v8oL`AKsTo|&E;6}Dq7`@XU=;_=GXYN#}*s>adFTk{y;eSh!Yt{ zF4lD;G>|6bleTF@{1>W0-rTvoK+->^jLkkDvz1a0iwgevD$HKV3&ak$2pag)trkig zCPgMcOd0wkc#H*H;yC(v>A4UiNEN5>eZkl9_YGdsuRlTQKfx;3FEsz0DFP(mWI?}| z{}V8?m-XS+pq3->ba-Bu=KgmjqZ0GEdeMXZ_=H!5NWPJ*KsWO zCyqA=mw?aZo_Tal>vwL*pqZ=S9d;mT+2_84{cWs^pg-{hyI^jT$aikXs8nyFfC0(e zDho4_JFC#0F!}97it9(sFbxSGIgWE8l`gR%ma<)GAzlC*aqPPioPxWkM9Ij+p8L1(sOzzz%gIzU);-j4T~@v5Aq5-) zH(#InN25W2T~tk@ssJ$y$>vFjK9!%~V$zm9LYS^r4(2|n)8wN{%&M3b)oS+Lpq#}; zbtM9|}F{kj76M)A%KFe&=+FSfNA(Dp1@teso^?>HdGcQfWTbIg# zb?A*ow~)+yj+WFgMXMe*(l4{#f?aN)aOyu#Md^NA{n zfcNQ~5^0-=B_;`TGx~oi5gN{|4M}kYZHy!!A})%_h89Z@8QHH_(H$%z!a>zz%;o|- zvBNieM9B%0CKHPA{BRcHx^aZ5f8E=8qoPseXTmD{Y!=@T{hEx9^ehpP2#$edZ%MVY zI>}|3C#l3O^sAW@AexdY=6S?KV~?UY9VjQUwVZg&&V>$r)nP5N6s zeJnf*so=547 z{MaaQk;u5|*9h`nCQ>BAC}E|mCNlbNK*_i}1szt#M2bcps>C-aLymQB6Aw&Eru2KG zqyU!3)nrt_EM^Yz-`5(i$vT!z@BBw=TWyhX$3U*_*Ihku*rO}woEg%M=MJyns#nHd zEN6=&?Kvg&0Dh?bC zfTU2$x;I4NM1%Xm7R*t1YHtg5sPLXc5aWXV+0zF~t`_GTooc*;9$zJZKJS{?-GwRz zy#b;jSk5QXt~$2THxsi$I6aPw%D(r1iK-fD7-m&v0dX9iSCMez?T1r+!LvEx!aO!% zvNvvRn!RAF(op*Dcn3tiJmp@3;P-XXce7*)az>2Ff!+8&BCJ+-H?4pU?rPg%j|*#P z96_TPG_|-QTsdr!P8Mc@5$bC<7(HtIER{s2LGm2PC6L0$;u}iFa-X2h;yquGFFo{S z!v^IjOjAaPx1?PLfg})T#r>@ir0{De$VRoi@l|e-!cJZzaKyXjKTIa)DM%@uFt#%e zV$Y)}rryZab2b^e47%6=wCUK*{f3Ecv`)^Bqd!5aKUAxNGGef$0CfC+eeF~;_=Q$r#{GihIAWI7Vz1`H(QEwbmeFXBNhnUncM7uttA|6nLfPE z{5=k2Rzhn+J}a_6r^bTK@5jrjP!qBF!1l(Ar_GK~QKZGuV8Puk%Vuv`@zGS(V3oqF9a0pV$4JKRXZ_Ku0n} zly)Fd;nUI!U-stApLAvMB+9A;_MC5blv|`_XYQHidq39CduG){NSpeC*-sQxR<*ce zPu?Juu_ZXIK`zMYcTmziANTXVE0e%);nOV*kzEXOV=bzo+>EVv+j%Wx0Cc`ySN(^? z!{eh%=OzPX@*O#d)AXL*Ipt0j1UcKQT5ZjGhm*{A0cpmvkb5{tkt4ZS-u3ALt->8^ za&~g!&FAlwb&fCyT@6$Rq$+rk@OM%-;dKtTQBS4IDd`(=Dm`t-XhW8W{A@=paX3|fbL#Q#VY;U;zZ6~Rl| zUPkYF%;_}tpW?JyxcxSBt&qR?rtjT{_={wBUhevMvbxQfrOW>X)o-3dl*rnXB`9ff zUcZ316ep0`ezK(hypXk4)+godY$AaN#Fd|iLMd(f??1UwP&Z_Q%Jq2qV{GGw=|l0J zO}DHw{yy=yZSrZBMTh##Z(!xRDanC$3TEmDDFPAM+)kJ=+yy@Lfu@ zS}eu{>xG+9ZPmpd{RNR6_+5u+ZN+~f;@#qJ2LCLEWey2fo#6Z`kaIovt%iw}#<;pe zSKO3?XN*@xD%+7u0T2$FP7@=YdG3Lg=TApzrEr@P5ptWsq362_q1frjnS@ltubD@g zLiRp!TXXoo)4+fwludP8lKvJ2XDP?~P6qX9Ox3!G%vZ`iw!nnuUx3}k-hBGKBdB%P zTJW8E?fno{k|I+cWx)q>cF~2h_oE(RZc`&t%x{+#`!xFLiOQylU<{6Qg2hO%-NLH8 zFayCFKQ{3l1)(UUKS##Ffw54SwQxW;nZL2lPlvOAESxEsp%tw8X2?FywUB|TlSrYB z&=`24oejzHyIr>>d2&21h~Rbxgtq-sv(bGnRCv~dv+~Lsh6o&`!;ArWyuvd>Z{JQs z*!9(H^5t(2UL}X*8DMC;q2U6-{C0dt`AN0wcFJUhvpH6Tqr}79oPWSHd{rfFc)n?#?#cevgd05)<^u!arAg8vgFzE4EIl?d2GA>%u4caW zm-sl%N2ksN_Lealj6-*J0dIH`pij4bIO$Cvy^)e-qEJJ|h0DS1ls}3QqA-<~|)ZUR%VGlVO^iSgkjQ#WXLknwk zsm&&#CMeUHOxfVPM0#|QeS}tLgL9SLLq-KPAy>uGCvrb!&G~QMHNbKTiszfawf-FF%yGrG&{LjHV-J zMW{c1Gbr9NsZ_jnI9ZH__eS9;$aLa}@uvV7*7N3@$m2c%rEFIc*UMB5KEI zZ)WjIBNQ^@852L6Lr%vH=NpAXJ3wiVsi9Jap~hy1KvkT%V&}D&YlwP788}YPahBiI zyy{iTj>5y@1I%_OJP}1r5vp6Qds@{h+$K2c-Y}RDR0i)&HUzJCA!W=>C7_QNX2f;* zT6iAizDuMbIV;ze;;TmEY=n4kI;aq;RZ0^MmjtU$6M@{>D#nJ|WMFGEdnIvu>+$!% z%l2vtK*lzM67aE7Ap-dXlI_@0q<4JY8}q$KHA1fn3I}}N5J_$2QHb$Cyj|l5e}D1i zyBbJcG063)z5@fV`?$fz(qi^XrG8~}0fCi;tXe+KCw*%X?_V82wh(lz`);)b*kaFJ zy-B)}th%zqExVR`>1IXDd&a8m{4*Avg<>1*lT-pplj4PQxVO{VCIy> zehsl8XOs3O0?fMltJOP50|{{L!#Vf>-b=3MB$N^4Ymq%l=g-vur7Yk|?^C4R^W5-> zCg5-PD5ZN`+>Tg(`Rvvt-8_8%yx5QxE2iyT(5QzU-ZdhsYS!x8aR2jQuAxfw_4i7X z59dP%M^?ZA{fxX~*T$$D_aNieZ`GegrpkTft~&`;_SP96@c=}c)PyBeoVgBrb|#7* zehJBZOl^3K2w&~cU2yIdubZFTn0yoCJCc4 z)(?D`OiQm^Bx?Lb?Ujv#Cif6vm6{7gRxrTXTKNnoou*Pkh<1S^_f_J(@9A7{uG|j8 zT~CSiajlnM3Y6uDxdSH}UoNjSYL?xpE*iQ0^ZqBFj;+U(LB7&{;0!05Elg+(r$E*E zW#c`a(clBY5`+!43hVEkF3~k@2uB#&32?9v(bnVO=6P9yM2C;Lgk&sb=W&W4@G62b zvswK+fNK3JYYrhmkss*;g6UgZlFC5`xi;OH)`gJEXEs_+R18vX;IfeyOj08RO*BK) zhT@+W)|q6+)Q982fr@7iY+M_YW>MHvZF-^KA7oHD%GoZ4E0c3(S*>uhQN1v@7bPks z+|t}*4#8zg-kkRAlC?Z}YbI7H27p`f?XzV4IMeJzw+JzhNuQnOJl^J#{2Jz6tuq%k zaxsPRDfu%pOh%FUeI-Z6WR2C|!6t79A*$Stno7%a>N7^xlG^l#N}0O`H+A(bS`^^c z5#PQUc_(b5L)-HjyrE-=82J5=O= z?rD2az2RB&o*0{8vfmCFW5fFNXcH#}o$5EN#Qoz;UzhEj7xChJN#+y%&_3Ek2)xaO z)RC9uvbwV{HC3 z2?F}j%YLh8jPB(UMrW7+;Onw5VECgr%eX9M_!DTLlLGY|f#fu0FYeg7ZZ+I8*_362 zJqRiI_|u~_n5xul&f0a-82e76t7KPId*hj%b_y~+&w&6AHaWHx zj%(+HoFL`O?2hsaW`Xs}H8-1IhzC@4R~x=i!@ci^K3OQGip)9749JCUgxvuno@@^< z*Cvx~KuaWuCxTXo)nKe_8h5}q+$(|Yz$5AwMKk#Q!hiQVE`~&Mxgu2eB__mkNbA7) z7${FLaeW_BB+WM~5v?yt+?Sa?svHGX%4CW&#WK=ii+#s{aMD!hEn|y=b^gx*vZ-Q( zesHg0y7500Z1grAT1FG6Ounc_nf$1b`LE)X4mUqy-YXPbQe)7VIq1Q`9>9_dNkO|s z;t_G9$uk42d~A>Ca6#s2sbv*fXQ`L_-_j^|XCh^QAN~RSO=I_Ld(d0P)ayRYb?o@6RNJ3uqux~28E7SdZ)h}vasyC(SPti)KE|zPM2JDc4W;m^^D+Bo$hT3G!#+WrH&92(yeOeRa=d_y7*%qY)Fj;Hg%d_uF4G5h0 zfhX64?R9;cVs_siv03Td0QuP#K|Di#c2Veasls!@)-RvZP z{irdG$Aljk^%N6&F^%c6G2cv}4~ZNMXuO=lEex`@+hn3Nl635;8{*=d>Oswl`&u3V1oX zb7)|@%%9bc>*Agfe2o^gnVN~FUT10F(bXM({s?etUk#yNzt3TyWOmE%;2-q0U4>{ocU*JM7{3xe9G~HKNfp6+RGbHdGyQE1~_Uq=MG!FK9$l|DD`74Xx6= zgsnx1eYv3xWh}kE{mApZZF0*md#Jxtr_ZCGlD@sKa-+bPxb?t}@Z;5xsI)K0TS9qMe8o9ZJ^e^V|&+{9^{m-V75zbV_m@Zp`O zq*Djo8?Z}ho|UK5l!ZyjB{@o1nVHX?Wp1xd3hStnewV#>wZZg4Kb=>=H#vbSoFij- zhxleVV}ekg1EZ)Upt&fk=17wd(dKP^EM_?Bqgm2vqkW6lDVlW%KUI*g?<-u`-nKCx zX;e#tPShr$Xi)hIxjzwv1hcmT*#~dq3?ELn0!t+RXO6cfo^a{`-tzECEI=#*vt(YIFy$tWD)%0axf5BnnlM&7U8LHeH;6;LAc*y zGvQsr>0)7X$K?tem#En2s~=^*NY4S)#5FgBk}X1f0MS$2HFknVb^ok^N{zSEk}Y&I zv^Q#%Y$-NL<`%g&xYVIPK40x2gREz9vyOjGn!Ly_;%XJ8nV-YTI^o27ja&T12%v~ex{9+mCWiQh>`5DW3g+h$| zmbSv740R?|_j14m6Psppc8eDnu7&b;r)S ze)3R%*{J~f2`5U!TT>+sUlb|*efWoqh6#tQa?(G0@aP3+gvR~`CTG@@C>pf{zS}aS zHSnZ%D)XTMOpy3wqu5iU^>rrDkM2kGQIjho5s#XFNHA#{D9oo9jeiy&@H?Q|$$FE5 z-dsb1N+ut;@<4~q#|126psXZo4h)Ofef*451eEK=MA1!(#7gUcKNlo4I@zm{nn2Il zN4f@X;i>?m*Mg@GU6=8o8)Bp=JN5IruPq-vXeDS51bf9JQ_lCMGIlknA4|HC;QnCk z^sJdyI`Br_Nj>8-=#8n0;ZGvHA~^+1(sze=rdx0E#qza*&-SzhpPMb{Fb^0^D$EpT z$r$|Gj*5oL%TTxbmGuyp0VB54=nHa z0QnxM!K#Z3bjqz6l3ThmY*79xNZh+xn$Z;{c$kUxW1g)*zBdCwK4f$G;`xJ|hEIPz zfjb-d{gV#Kj;#9AcSL?xFuFBp)_ZllF#pCS#L%{3faMl%_2-44EX_Buh?dQ;5YX>( zZ~rozmDdajtyp3Cs|fdGjZs~fTt&g3ObQB=;o13aHWD>@&Q6?B@&bKfXcxQhhl2QY0wx9M;!o>CdFrcIEby!@Vm0vAC5j2Az+IG>&=|GDFEofEZNv za>jk8b7!2@FeJI(Ni@XOYnX(nO^i8L0)wGGAj_y@f02)%gG3uV!xHkdMn zR~$H{^Ucs^g2zONuFj_$&LqrcrkC0i%2+vWqfwUlUSdUOR~-DaEUO^1k;NSq6}ip3 z7&GyfN6CS_yEcRdiPhLlEk*vX;F!C2t)7)FyjmYYg-nPk(;#t>nT1#FYy9{}^5s+y8hqmRy3cj^^c0myMg7i@iw=Jb zq&>*yU18zEQ)(9Uo|M9}&>1}1)f!C(%= zn1UE22`NVN7i5=57pRa+f$A9~oR%T zva>KTwUV8IM6eN(h2ebX(IIdUzb2vj0>P}5jSROg%WuS;I8G~MviI?r^suFFM8E{I zo;QBe4jBdE6zafpuz?lp8 zN2)%WjElKHkS)7Y1MfRAddq34(}J3XK5)#$#pju+9=+is%~wID)^)r{jg3G0_f%NN zXdHmza!s!nrUi1on(-is45ci|x5I7@d7>Jb4yBd?XN2+~$&ZLqrb-RJ*(&)e6o{k@ z@^T$pw$Y}viY2F8Q%BQ9txuF0Qj3DUrbg9M(7y+&iq`V>7Bo8KFpXX$39`T z=e=nib$|2tq8%vVcSbnO>vm0bUY&?^CN8$8Lz0QW$9q{NO7Fn?pIh|YidTI+>pF#z zC9V#uzcl84e7MviIs?$TU!(Dy{rm$E`x z#zXIhE5bwyqE5c3fN0V&*sa+jIca!I5yi0E-`O*S%SQ<|c&HfLRK4hn^}${!lv8vy zr$qWV&i&p*rCkinwM^HRJVwUE0H2GfDmbQub^Nac~EBRT@(%y9hRVm z1yi_XOR;q{dw==E{QJ}}Ym9F>oqb==_qy-xGe=qWKDsdr!#j3MAV`*mz)Z@xGIitZ z=uE4z#82%mfOxVHxz0CrL+?bZQbGpD(SfqQeJr}}s*ph_BXkCcmg|hk zhg&%R?nv0P&}2}s%@>AY7gRT*-W@SN$$7m&V%BHJ)7<6yC9Gwm$g2XSi;a76*Bk)k z#W7RI!9~m71XS86f$W9T@8 zj>>XT-PyE)Ojr|Ft}gWGSHm(9?Iz|*!flj;JG))DyvYf}C@d7}9P zUhmXd^}z2&ShdD!v*I}*$1--FlD^h@*{b-i@%g)pq=a`$Emw0W9OP`}PmE`l6}F%; z%OArk_2zZE#t~oGr3!)T&r4I!j2D@rmN!S!kkz*^>fm68^Qu&vv${&_o<9w{QkRYl9uj(gKcCjbxD-sod5pW{dS2czYz&e9ajKD`|6^0h zi9xD*yqnUQBNK0f6vCI{Tz9H#&S26a)QxvdT=(x2ZJWbY-3b_|N^ zr1~@%;~DKVO?EkR@5t4rUb)zgda!M;zG5^OmTH#mKGRDLVq( zXR}QQA1wKaX~9V4%5u;ZUmwoEBYhs5P&x#g4lW#!ab5c}i9QNqmcviyR!NnTBqQ{% z$2TFNz{A7Ij}A>TBI;q<&Q|jZZ|#3LDeO!uo-!UdROFeOQC_4iY(n`%P(P0n_sUnG zGrCV4J|3WSZlD6O0Vpx|TTvp|umgxAlfXiTa1zR|AW1zTAiRVn58t4Y87^z%o zq(sZpdBshHsnh}Dy_(DIq#?3A#qFZ;DFam269%Stpwp%4B526OhM_B9J~eyI#!9JS z{dF-*gKYTK^Ae`*qq3Ro*Bh-n-5ZRU0v3MKcnY3pVc&=4sXYpYATG$(W&HL81zAmz z>s-1z-Y8(3l9-t)M?(QyEAnKT#MDSQvOsa^e`TB8@EmeAVyWugudEV7}ezHxIv zvop}VUZLt~C2g&vgr?g{cRl2KNHnDx5TZGU^`EzN%RpfBRr(;ZN z)$n0>wWC9KQuut$7;fsDi8BMv?ATvo=@C#L4^`9nUA6Hw;2Aff+B@uloO2!XWs8lp zN~jn}q73F!YIbiLN3K=sIS6>dr#}i-Mq8vh5n#tVk5uW(*P}=a59AmsyxXTQrcfoH{yqSFJo%RASshhb6LZH;`CtzwMm%f zRJjO4xf}3@*86?A`c0b*MBy&yLh|j#<}v!3;W1 zUCekQso#vazvpV+VhY)R%$o7L7ji@SKa)3-8qTKys(_r5V!*svyloz-Zki^xED4hJ z=G@TpL^2Jrdau5A%4%u&A4k{WPu2hbuP!b!Dipa!T*=Ied$TK>YwwZ0-MGrQA|sTp zYhFp$MfT1Lkq;U7+Ix@GwP%?jeSfFlpKuW_2X}NYJx60Ac}A^A;E4)e??>wDL;HQ% zJ>;~%|O|Pvgu}qf8(!dUM-J=4J+K$StH&Ji=oBJ={ujad;eEU^I zOY^?aK;SaYYqYldF4`~8lQ)I-f8FTvC`(`G#)0>GD+GC7EQsl&jLv zmupb=L3M;g{ul0E88z{;JZkD|TwB)Zsq}bD!Zn0a*L~l5H`^ni)J&fB#Qw01u-RRH z>TI_9Du%2<(w`w$@t9Xn*SngmiZS-ap|rNf$9#A3uxCEi;i{CCA2r4&<$!|u8zI0O?EnuA2WF|WDYU?o1jqbV29lLV{Yc!ePS2PM40=UI}2AuY7WoW0j)ev0&eN7Y$#HI-;g`D~?W^Jq1d@|gD z!=kgTt62LQ|CDvOpg4!vC}A(R5#KveY#^JaCGcb!>5IYMbqz6HT9VqH)u!ilKumBJ zNfGe3fE2#?`Z7s_Q;TouivIJRArrK&cdWZudA^+K0Ri_TMb{Mn*NCI{7jYrMP)C)9$mwPZMDiSwyE@K0uo}ezPbra$S1;y$eW6<#xEey0rF*7MP71OOTK;Bz|(< z_-Fi3p)Dd4HG{ZJRzA&TIQ(7(z}xp^7l@MS(uKFgaeKzwhv|a`WB0xz<&@}CpVn}IqZjjM=}xN;gpIk9fOul%wcrt2^o;m{!`=PT z*UEiG8YsCa7N51`#4W2YoZiOs=<-O0bg}tE%0WqTc5sI;_4!YcF~RliTbnaI90DtF zG-AA2Ewnu)t*{syC#&gzV(xb%S+4nA&xSG1I>sTAhmh2?3n{b27uJT z%3{kEi zptX$I_68VJljf-VfKID0h=JoBXi#bh^DtuRkaO+Q`vWQtDTH0)iERF*ym1~-!DQS0 zdqB2y~v)4Kh7i+@tv z8m>EvZmq~Ung9qtGrAMQksS?yJ=TX~Y|T3XAk_W*!prtawdZU0G0o0S7e9YuD?W$Y z@)Dgw^&cx0yy%zM5#YMU=gXAUl0BFY?x!Zi?;|ITKYwyQxYbT=%D=L6u=B!L>Pd9EOh%vbqeVPRkC<4?KOc>LPFp3j>}W4W%||<~^XSWJ--9pZ%?JM)x z)si{1#;Iy*&uU_IE^kn#Q7ssF=%*EiF6>-3h@AnWw~thF)UZTk@S38?vYUYUWsKm&)%SYWsqZe5TJPwZFMZ?za_yfO+41{( zB}5r%Q6F!aRo1-^X}cIUrlp6D4@zZv;yGmjCHVN6`4bkKTLudf51-Dpce#73Vw@&G0Y^q!-eo2ZA~^7aT+J& z2R3FLVtSXk_`ae+QrGPy%p^=j$PQ>2qO9}_q;_m9xv&$OjUS_!Sy8qdv&zV37 z4nx8IXu#HU@09|}jP}o?t_gTfThVLE)>W4cc+c8#k|0Xv=^Y^Z8t^yZby<1VB%?S_ z_^FbGYzTODBB>ZyX2w79_GtKxx8qiDyCp~}@w15Of57ui%1e?51uNGxpp>`9iQen_ z*UP`%9q7g!{ivG#ono2B-4#gqi5UyDNEO~ZH2_X&6^bGJ--G0*_;48;)+51(yI1ajN2hS#xo#iD8@*qJWq&wr2Io+y?|%c($_RA)~t>u zrD{EW*J$N)&#P(JSl+(Oqg$_y=P75+NFb4Y_4}kxrN*92pBM|d!}}653$Jx8_p)yj zI4?>nIf|~5iB-vS%v&S=V5Z9t`We)CTHOxj4zRkRd}9o?oGcjO>)$85sZ>^aZ#gSf zdS!Bb@NL`VKs2;Q{pGY=(`lT8SKJ?g%)#us*~KK)S#TC$=hahsJk@+dx;FKJwnW8M zqX18u*-9#nM6LrO@r$TjXqnAMMqg2nmnwLLvSl-dwOQL{mh&DE#q?*poVuDQ0sJMYq%ePb-%v&Mw~sI~*@`I3WJzuEU@*vCC88ik`|av2 z6Ct7h>JjQ5uh#9}>?YYLKIPULP~3C4;jkF2=&q9G{jAru38`iNM5^7;G!hF6kIG2; zT#07Dp~JjIlAAp1$^rW18Im(wY9;PDV|22)iC_a`Oj{pMcgy-0<|h6hSLomf5RumF zYgZj(ZNS#Ly3N!B3GEej#&4nooMb?R2z*!1Cq#&+iBu_LSvOfYa`NWq{OcQvRO=$c zYpovEg^%!AfVbGWU@RR84L1RTzJ2j4ZR(X{@823&~T_0{+{9?@ylto{D9`h z>9V}9I}#{Tx-;2XXq-A2Q^W9)!nu}N&-4|~Ro$FwW!E{e85gCCf<%*72`M~al+a`y zYPk+T@i|md#ri=}`t6qS-Dh(~bSx>;h(c*ONoKW@`nXVrjuIj6GgI^}#AzDt@RqpF z4l}zS9h}ruC@Sk{iiZ#S6IoEA^0}n|3jt6F2tpJ;Dn_xeORTi@Eq(HzIs!%jA6CXDMJO~nDIgOj z2H}J;YlH&vI+L#whJgC?-DQ(Yp_jsU0a$}HGOD$Mrrw3F2U_>X5!aHmPLa$Iq-XCM zM>{aTSk+X8(ZEpiT0$IaAK6B?wWRNaOOe=hn_%Lo{1QWYdk~+WaC||qn-Cb`!(g)e z2KkQ^(k%E2A+Rzud}gX=`TRdQZR+POmy`^Arf}~u#E64;;l2f6rhX+6xwHq;(`_6= zdCXy6JARLpQL75CDGFgt#XLT}ZG?t!5%cYlN6A`-R;h6A%T%dan^z+lX*Hdb$BMqF zr`6Lu9RdoM-&aIio0z1gEoC%DeW|CL9r86< z3DK#0`{2WwWKa;V0%{NI&UQRI_o=gDeu@3nrAuS`c4+P$E$Q@H1*b7I+N<(4q575)I-IQYWET3A8)hKMEMq^Hsw zPA;kR)qV6+iws|4)q}(EZSY<10?VEX6ab7foMeBV*K%~${Ft52=U6JVPVCX2tPntF3t`t9i|)I?1vtS;AysTUP1jqz4cEVWSQzZFj1b`&=Z*Rb=X9i~e2b zQLoOC!f$wOryO_XY-1pANiyt(_=YEs`bakdFo@u zDRWG^%b86{?1j1j-q#g^H1 z$-V$UH=ZZTluyigzIZ4Tmk?=8|2a) zG8#?z5nmI9kKn{AomU!vJwPQ82#zp`9sDI&Yf8GohoSk_K?2bJ?@D zC8tsauZMT$XE^Pc{hSd?I|I_aM`s2niM;a8Q#?nP7lQ8o_{YqkF74Iun~w$rsMUWq zY2Q^8y^yB~Nb)~1c%J!d5x0ifMhaS)N`EqoAmx^RW$JeUGiyj=;%}}_TpBjY7~<&0 zIH%~}|9-g`R70fNNb+P4LusrmnNwHsE^yc@6>C${++lxZQ<(r3$mH3PqpO>PZJ9&D z!bb(8mXbj~lDbzsYyZr{MpA5M?^sdar+jfvk4}J*ojXZ`){n#ud9c(LB^9=FIeJ zp0!9Na`65*QrHXLrwfc>=bU}cD~?kotNF%kPAz!RtWQv^ANu|oz%4D$a)x{{nerFs z)Y#R;sa7PW*PYl8H4MG*}z0w$PuSC`(rz5{xJU z8iuJy&!j>V{4Pu{g`Js3Tupj$Kdkcw(12Z;cY5%Whc+NSna)3XAY`if{_ICmf`+aG z;ip2TrL%~ooWXv9FG@MO(?L#C#X8j~QtxeXh(l!nxrk;^10riv48#_n^LRVVB~nIf zT^drjyTYA`GHq2Nx+UKr{v-3^)0ZsciE6!3>Y8$?-nThODKmo#=4h;!xNdV~^0V){ zR`^&pKl=9edv5}kRK$ZcG%Vi=G_EZ+i8xM_<1&NexclEHLXb7Q(o&J+d87dk-uN+{ z4w7TEUlI1f2aHVr&%Lq~PPEs6O~tq2Wt`Yf+L zvQ&@sf^Ol#<0~KS8rL|4s@WNdTxm-_=zwSvqJS5zF`9{P(K-0-OROxr5J`;{^}NWZKpMIZR5?J6TI&3-?rkZ9DNz)6Fz6tOSxn1$)BsTJF8>L|WuW@Spn13upM_I48V zSCZn zDB|g&vf}Etl0SI=kbC0|{lm5m!pYjNQVTcl{wts5Y#qSFmaFkKTB2k{=)ohUxp@1B zkGx7{A|tW;2BXRHAUIcTa^laT0d&Ye+J_O4sv!_f-k8ig$U>LXUnT)MKTq`q?$Z7y zU*RMd7ym!cuV9=P>mA{SNXw@~jpZi}6K_0w=B1L!wCTDI6zZ&^doQluSP|A`Od?Z1 z$1t~@PfSZ7LC^SzfB)iBQPQ8~0#ksK^*Nsol*iALPT@6TEfuhSE3*H3m5^=Vg^dLx z5L>%mP&FnSoZk%fT4I3?K#J?4|K?v`Opxpj+q{j9ObQVin=C14wExGII;?t|V(_AW zJSWOPk!PW-`^}2GpRmC1Gxtkb#GI>y=%E6i8L^v^e~O2`8|q(*OpOS22$I$IV9>w% zATUa*4PH{)nE9&Aqt6|vLKk-J#!J8^FyBfOj2H;<+|L?d>|@-Q8Mu>$mJZ4PhW0@O zrVA;Kl9f%qL2(Kb)@LcNqOlZ2x9QS25=gDlRzw}1jsF*lo&rob&bmF`1%enLG3wMV&C|wBK_XE*I6KC@|B1p5ysn;RLlx^+u8E67;EhaJ<-MHbCJ@Tx!b|VnRUDnM>Y&1f*Cj3Gjh>#I z??Zg7TN?&T?EglimST?0&Sjoy?xvz!gF!sHuE&X=UtE~2D9I_D@O>@aZ;$9{-#RMY z7gc$e!s4Hzbvrdk{OX#RE>Wr-49gBMywBbJkgTLc-ZCoveAkrUAgaR?L@8Z6ko_O1 zj?vwdf}@p*PunHA2LP?yGgszP`6CV(5YzND<;w5V2kHt0OC$Py!21v-KTWUf{!5B9ZHg3*Dt1qo zA+w#Qijt`R?&bnLYOLkyEjIU@qXGE6yAL?o3}Eie==)2DWz|U7YtXHbZcJi#O|5Zt zK7In&;S!o|VF31?sGPUoPIH8TtpvO>Ema1G{olyRm<&JnG6=9*|I;~ z*hBM5wl{`TnVrQJKEikPuk~-+vG7TrGB9f`xwGpo$Y5%2BxKz{7}BbuCag}{=1Zmb zI8XuDa669_w7&G4VSCwP4b@pSMJ(%Bd^Hcp5(SXOT&lHbB2WOP%;*`GJ7=j?*N+YY z{+ZiDy>lxL@GTfJE13l@rp7kZ5z6a5ke@~Tc*f;Rw5($2kP@0&+C)Bi4nryO(Dz3X z+$7YnDb--eZdj@79$UPtrIw@=vE{hT()@DM^RJo+s2|3N`zjh}#mCMPG*)4b(n|Nw zO^v(lG%jCXPEr6!@I%cr#JGF$`gdn^1PG#6)V2=7MApUZbgGkI^|>(miBPHyJ~Kwg zl7GCv{rfmM6^Ax3^w9Uv-L{GUZVOEZtBh6%FDH*b<^Sa73rM8K&lWe{;;FW*MpReZSGc^171LhQ0$YjIjX$qo`1HK&p_$qou<1xDs*gQ~z$$22Qq|%3qxAD6XW?@GNgv=S%2< z!R?)%A>?9V#tcc3@`cHY=InA^p&cF9dwBN?orK?hP+F0IK`Bzbs0X0Snmo%4X764Z z3X2M9e=XUMbmyo)uiG%#RtzhyXU*P<@)_L8}Z40sbWH7MlnJY$e4r_uGL$Nh13 zKx)rpOs4uQ{k#^pZV<2a#J1B!hPziagyEZ<(j8Yb%_m8X=S`G&o|jmfg5+$6TcI%} z4!+;_Erh_84=AGqpV)s3iUAkC-sb5jv~<1nm4}QG^VfhVx5*lX>Gv5tf#+93NMAJX zutKX90={K`{b26Z*8DE$x&ghmqw4~pW@k`c-Sq`LYtlag-UGRpnQGGTut+=4)gXi9 zc@`QU;K~Bd>9{5{@FZ`1?H>!OztMy2gD}E{0zPx=6IZp6R7#?qc0+3MZhp&GWTtTN z0sY3B2#$#GW0ALxmSq86wfo_}NvP@ahKBT!ZaP0e=w4-H**J3`VA+?O=UOZ_I8u8{ zsL|(||6qXmIH0wnz#+L6KNc!o1xo;+ZM&NJnI3#gjSnbxLhAqU6L5$Dw+tn%)khh=ewM7!`@6TR_v&V@=?^z0dLS4OuyfP#gwg7JK{s9B zvIjW+#yeQ%Anmq|@$h?EU+KTx1d(V zud}|RSFkKA{-4k)c$%St{kJE(jZ_JoCiY>tohWSFCAo4nB48i?9f;oB^>W?n6E`#g;nD0b3RD#Z^pCZQhm;WX~YzkmEl_W#Mci;L!F{11@ZjO++R$*P(NAe>6b z)66+(GE=L2%Hd{Azc6i9EX0JP#m;8dcnt$2fUO&t) z?_vx;xV3;i9?;T$IP`lm5zTNgC-CW5O~4t7^EbP?+<<=os7R4FzD*XiT0E8A%f--} zoqaU_`%GDzTdfp%e(lixpKnz0NpLQ_mdJDrzVxk z8v)||Fj3uR)+UtPwPJ?_ncw3X6mGAb-1h(-{hY@G|BH~K1Rro$OmuG2c;JwNiHtvh zmG9!#w{oA|{g7^#+mUT3DKhj9yBt+Sh)&j5_SN>9y#`XQ%Qq8rR@jt6YRcx}ymVB6 zaYjy~jrv0PWgMhc$$QZK@jIPRBR&VZjd+~&Pxc2*T-t*eb8+-$g30GvK?^H(zt-ie zJ@=$U*4twP0L$N1y;$8#WO;U59NwsWDgex*X7r7kr>P_jliRHc)QA;dN4q)aqs{x{ z{Dacp?u%5xn46SDNh_Mq7W2hF*l1%r<8a}I8X_+jp$$E*37qJF{SgtP6p4E`WfJ2m zMjy5cI?iorv&7XMKkpS#RsMyFzIU~NCcr{|`0?+DvTL*EbYCTh$c@yz6}&%VrbQk3 z?_k>jN1iZ|i!{bB<^R&{ZvuB4!$^AcghMgBe(3T8<)7_ z@8C@IRua$%eA~lV+6k4U4riaBU4isM<7c;he`Cn>d5qgYZ4lVSHCCCAJ{K=~-1#Bc zhG-T!tExOPUfLu$@L6$oSlf>Gf0M^g7=DWkskIQ#sAP2c*{EAJz7+)CVE{Y?c>Mfc zFf1j8ui3m+UdqGl3MgWJX_4oo>70od;dq+`{wKqJ)7ti6X00LXcx^2oc}5KOupmsr z_|du=beVS9p3Bt9Q?G+RMZNdHSfT>=?!K;51#$rnRKdCczz3%4GgKp(^ zJ(Sp`SNc+VJ;E6-0Fl+UP5W0|PGPfMn2Fqj6wKOeOF>@sY?p{B;XvbS+uvsuubRMJ zSYjjflBrnbW#&_ky=225X1j3Z(Wafm?tI3g$^ep1+DN+ci}aUMy*SSjzByujiDBPKr~S*u;^FK(M;a5wkZ(;u=Mk5fi@S| zJ$3Bf z0;$k~k(HRgeXY1MoS5VnwRU?xK=S zt##oWaA<0_kIA=TVCQw#{ySm(WBc;*($hk}|D-s2D}(v|!lv*6U!*w$s^hWHJF>iv@POREIb_UMfbh2Z(aLnw@F4!=i z@8W=C4#1ijbIQpubvi`j0CU^-))wOb*avVCc|-^1umon_k1N_kgW9>_8}|H~m4C>~ z2Lc?%c0thPeP)Q4xNEW+Qj4dB^6hq9zD|wD=(QW_&OM}oqtY?F0EFkyGj_uXP9Gz) z;NBR=I+rVpcqgHQ@Sp8a_fKAdzUjvYD!>yr)}rMMab3O!gzwtx<#Hp)8?!BLO$|zx zTRGLb34?;R6e_>Kzp`a2K#|Q^VrJHV^h`gu-Y;Q`5L)|jCM?Z6o;tafYeoIR7`>KIdtXt`;mUPB)f2_m4 z%%3xh1fd}=o|dN)UH5frM1`>wl)(#3+SdZ)XkgP`w>LNH;RxQf!9zDA(tI%7IlBU3 z`Q%pqB@L5yp`@_Tz>2xh1ZCF}rh(SB!EE49hGPDHcs5$mAt@L^qXpsqUA*$dlztxQ zu9DxSWevn|AUOU$4T&@b{$9)eMA7b0k7s4Cid-CZpZ6;t`xz9mT@aupr?H{W7mu#l z#V+P4n}R_H5o}a#8^b21*ckEuJi9IT)o;`ad%%)&1z&-QO2rTBs(2jRWmBfeWedDO zUxh}W$V24A`f)aL7gXCOdB-4=Zgeu6v`B?`2XR1dRRQ3VfT=GnxhA$S>V3x zi4LaK7DR$nLUWZ$x*py}xVu7c4ave6JR}ONSkmM_Erl{ZQtoikb%1$w6H53T#)aR@9P{Kei5_KUlOhNIVYSrmCei*56FWuW=@UsYyE9uUk1I| zE_RqwJ_`Zc+Tb=40}Jy0UpKre?5LY%VQE6#vK~6LlG9fV+aW1w9Tj#)Txm{34E~i; z6@T{c>J$Hi`t+3$gk3$?BcHh(JwT8zydVFQ|6+@nZ#SUeiGQmS3JrBD#5PN;f(c6w zhePc3`2Ng~t1pBkoV&FEG2!h(qkVMeGBF_aX!ZSc9AJj`{e!*>q{whbKC_8^43?KrOZGb73)4uLYf(lu+)mb9d9aOxc~kp@o!%UCBEaSo$^BS1>4cf@?s@R^hg^G}YE)1^DAf znB=#j(ybH9#Pd%wlIJ;SgD*bQcBdo4;!$XQwyILM34v$*Z*qAaG5R-NU<^sId{@d+ zWESh{@q@;9EMNNmmeA%~17{m8zT@9kolEQuyy!n5-Vc{e4g+FH&+2sEh%)ZAEghER zik!cXo+1Qv1-~6WTL0wT#01Dnb`vib^T}m(v)Uw`UZbQ zz6&Aw8})NuN$1PiOKz)TB1SoB2B#o5gg6{P_8>}yRl=uzNtq$Gy=hoQ4z@WhDFm_A zUL5t>$=uI08OutRoo$Vh`KBj1PoE}-$tv5jzOoP!&-hMIgG$l=k&X2YA>hkK&Necz z&?J9AY;Gdz%rOM12$64^C+|1G6|vB(21rR}NrNJtERcDJ#P&yHX|z-qjl4r-bmXSA z@a)$+^PZ)4+@?<;m6+%)QZf{8-BJz!`jbgr1Qk1-1KEDge2F8|4mK{21H83?zVazQuXrD?b zh*wpV2zczQRhS4+zQnlJ3S%aYzSr@hGB>m~X_^Zz|+5TT<%SGML+lCF;^@OccRq^fI%oC4n zeq!s69VZYQRYprZ7>63~`pl(8(Vy0u`|h^K3FjPyVsiTcqDDh`}g*Z&~xp7ljd9e6JF#H73OUs157N!Z!0n5F3wDoEpGvT`-Q)#KjDg|$&t6E zdJ4;@m0~>NcSQoStrOaxof#fpn=-Cx`gAvT-oKl2qT&5OG$u)cz)t4AYX0mk$MaIxDA1g#z+hIgg3%) z5Q?=p*%~Mq=Tx~;%W+!^1`w=UChczi2`41CD@1|&q;Z)n+MG;69SL8K>SUctv~Fxp z8Sde?GQA5H;w16c3XLtexog1rh~Kh=yOiF%sl6_muBb&Dkh=e)@)0=3<<=_|3Fd&s zw8v^lxqsW?(NO-CXkn!Yo}4L_7e(|yxL;K-CUf7*UNa9;(!IsQb0A$HEj7l;D2n~> zXNOpdmNTdn>hmy3NwmF$YavJpb5B;CPF5p2STvG=($jRruxaP1nJtcnn z6f=`06Vw#!`Kp%=Zs&;|heHI7L z)Qw*4`S=u??^?4jM1H%?;olvhn?pAp9((H5>^>xk#vrJFjhoZV>*4wc%xKMfbr_A? zDJkm?i(l=mI0Vvpkxl?bI-@&q0m6v)ED;RC@o-t#*i#rtGgM#GZ{%zpg+qU?r<+ z8f6f!E^J#+Dqz;j*cb?gaBk^|v_&QpmnOw+N0bbJ;&5S0Kyi|E!Y9I!0f3v0X<+s2 zd5$RIdo9-AutrYJ1gG#zyG%6dCd!ZG2fb!ckE|7?FcFVLtgUbz9js9um7Z9FdoJf6 zZ>>#@exLws@4jJ*Jv#hA{}89yPrudkr>xfipEdX40eAFnNA#fo(5i5RbaaaUR8@s? z1MIR3PadSIIA3Fah7tvNykR(FE>`Im5UpM7r??;X*}P=KS?1q={|-a|#%uk0oRFl} z72*pBq#togtpzP^{SFhJxkiOUBl4T2%Qb$vEHO25LqI0%Iy8pzG*scJfG!0~c`*SQ z5MofJfO`4)v^YuekW^sI{+Snm$i%*1?+llJ6w7{bxZ$!3z|7@uc^ft|{f?{K?Cq)u z6%-n9I`*e zj3N1rs}?{wO&`?1Q-=G0igeV)s-X@caFfZj$zQlbP8;x3zPIXIvM#YKsA@hW6xn;I z6p(3kmEhWn4pC^1Z}+gbszSepFqqVTi6r*A0hif8_)QL;!|EvJa82^A^nHv)oS+*fVV>|nL@=egBPRkMS2D&sSdK6lS1-WhTqTpBhhGxjwRpf*(m&+R+>wMeWc~)O5Y~Cvc4vS};1(y$4Tljjofv#`49r1Adm|H)%0m#!H z@VT=f@QwqGhIrMpRooOwnSnz0m5^T7%jMY%U{73q^N)^?id|mGT*~?xj*=o3pk}Kt zlVggW!3C5l^-9CYcW$s>*t0NC-w&JOKs43xSrU{-s(npK*hnVHFAO+VZufulTgfRi-Jl`1 z70?kBclzOM8X!Xg>T$QrpkVON!@}5GX+O0bkYNh(znp@UG|8se2uZrO!$KM>c@(b{ z$B>?>?A$~Bs1kj^3&;n3M*z!uz?^UT#VlH%9K~lV{3HV~rKUX<=WtL!2|y396B--o z#Polno@A6n_uVJY& zzuK#hjo@Nkr$MK4B|`8svyA+&j-Vmmetpj-lSk|QMU3p1e~K`a7Jx8S~D%{Xd_wt7dKjL5=N&)cez9dLT*pI9D0q5)Pkz^A}Tg z%7NCL{nX?eeZb(`$NKxI>iS(9VkDn$=OsFXz_{sqyxgCTKfcTM}r@D5(PAEXAATUP1GQ%!!os+ ze89lR{=xiipz|0?i$f?kKb=<+6auLlE!EAy70~Y5emC8OE`%m{wOotnEK>HoS51Jc_AeW;v`eXn$1LKG+hr_>1%ua4#w`Uz zo|+Yf(KQ%RsIO3oNJ?Y;%}`<^-IO#3HST?Nrf1aKK+@0ItU~n@{_@UjN@1T!_PTE^hf3Tua^hf2o6*N1pDxZ7(BOsXlwHVK=@vV}pZxDv;zP+W( zcmc%OP0dfrj|a6$73liD+~<=j{~J4cQoCzx_BOgc4gCA4wALnTsNH#ddFpHN%+~nX z*O7`VsnU%UZoRa3xS{$~|{o89Fk{hbv!SAUWIP^JeLeBRCjY_os?i`k79uybqU<2GA@Gi;uXH3 zb@t0xf$aj}St<4u-;MwsZ5SWjdw4q$_7MicU>y@49>0xw8wJ>iZXF2efg$ zOFA+H%)w-xQ@cq@DZq*ku=jc^J-nS+EAe1EkU&KstfdbXm(dlQqFdxtZnAoqe>~Rv zl~Pow>6Ju9)LtVb8&8~EVJDLn*NFGWBh!d}okqUE`E2t1R@{HGUmM}w8s3BRTMs$5 zdpLT2WOH}_U}mueBHOli<4^^7-;N5Yx43u8^<^i?S=;7(g&~74bWliblF4LEYhe+9 z1yC-9C^h!w4rQ_>wa#COr9l8XtjUd;P%`U$@H3j+CbSpkMT=8E#W#YYXx#h8o98n* zf3+*(8kG|3^;@p^ZDnuiUmF2lKU24XVfdHL+A`26e>Ew0Q?##sa3b%zoPsI9g(7cz zo}+`@8;}$7tq~{e&I^RtS*k%=FILC`^{!)dH)g~;W*e?!me@N31}8yo7i#iKlF1a% zVbP6YecOLv$VgHpb9=tmFi`6m`tM6f`6mC{BtuEJ_9F@q(H-a1*&q0Fah^s=yCieE zj#NTf71U;%R4jA2ie=sOBpH7CaJ^e5In#0wbgJ`dK4Bhey32eF+#t_Z-V-^aF($SG zvE~8-(3^nyd!XQWWncZoJ3pkfRHj|?xEve77sizD@Kfsaz^dl`<}Jo}S_3ez(L*Vx z`>EM_AWs{kUD%V4C>3Gu_CM=Fem-e@&Qy^@N*C*`6ku0Bc9#Cb%#ij+!F$fRZl$oz zQYnX+*A6!bcmK2A7gS175-j}DLXcb_;6mLpYYA6}uh7c7TmXU@ac?%#JGKOD+fG0;4X4lE+l08ZkY!kC7`j1`eOSw=9@UkO5eNW$1 zRk_QbcZ-$NvGI`d-{FszP!Eg|v@$S7bynf&J$FC<$Tm29?~z&7>y*}fnYp*BoEkq! zktFt1Sz4ye_i4gBt93Qi1&F7PV6ogD2ko%NL%yItn+|iPdyaJ!K#gF%`l$eDc_z-Z z+62WcsP8_f%;u_zSA#=bNv$t#{+wO2=BZ4tg@s&G<=CNijwZ`aFl>YQj0k=KM9hSI zf2Alq_YLhI=Y(=vPB=%tl(PsW(6sVL4wm)g#o6J#3HEU8A{Ra?vA~HW@Xl~xO&Z! z3rISk!{3Ok2Z4)OwK~nfhxAP1T24c+5kEm62Tm|WW@5Tt2124Rds|xucI*v;&Fm{; zoUwj%-ArcEwi>%1G#Fk)bMR(+HNkhSbxVL&D=R};%YR(ruk^yf4)U2BjNq~#(iOtE zDWG764!3^?J1W{1s7X#90H`8(Mp}9wfVi0bt2Fgu6y{gftz1v2sFhGy2M%Q*9*7Ty z;q|as@+Zp0{PivUW-sG^*}ReYEJ-EpdS{nCI8QmjZwp`P=IRWQYYWKsU z=j|rmw6-qp5h4}8U;jI)H>yCVs8O?Eg&=Px}K)OsdrT55geD32`^$o%U1@-T=kM9walduau)x4c#%kIQ%6@beE;zoa(tYZR&aIQ=r zD{WA!(C~Y#kFb}0%u#Vf7UjCN3A#M-+O7i)g`f0**v#`vnSi^>Vox`7@Y&dtlFX580BiA9nRjL%5<|Gcgog*oS8?~( z3f0&Ts?F1jN?e`RviAL2s=Kqp8f3mw0|*Hf0F2Q$+pQs-Vv$x zw@$s7Zgt<4B^}^4RiV7$trpH^djk4eF3vG)t1l{qQ+rkEU=ffU?#+1z5FAZF{WS}vpvR9fCJA^|td(KoWO zM)La9%`QwhG=||*!m0?zapck8H1)J8V{=u*k~P`u{=ADrd`X&)vy~?@!0hsW99@S$ z)&KXuTwG*C6uCr~WM+hWMbi545TII^jGOp3Q z2^?(`*|!$7Nh`xo^Sqkz!xHr%k2lSXg_eSP^__bQ%|9~NUMIba88+nS|5?c1lz<~4 zkiR4?rUsizfCf9(bTir!heU*oQ_L4ncLlP>^?p89#PC zFEE4em?XMKlr(tUR(E;ck9-9os7T9iUtqs6_#WKOv&(<99Nj-?cVoZ8 zPHYhf889EZbYn=lWx1RqYMjYBGpW7^Uo9{? z0o!;26PB_Yo3N!XYLeg1ti*(V#>iBXA8VgYo_Jmo`9`SoYz%c7q#`2JmylCT*a0y5h+u$epG z_nN=Y67azh>02k4Ot6k=38y+S1$V7x@$NItP~9VsOjpLzYEt|Ed&98n)Xk@6C4hxp zAoqH=ieUmgfqFeLFz@|^;F(m^?lK}IFe8@Y_Org_wLi?e^k6ITC|)|4xzs=;NT{%I zI)oX0+dWDlWKybK-V>s>gti_C)0QDw5?jaExZyAim4CBoJn@Tseer|Ol*B0PxDwSW zZ}(gFvlB<4aIZPBH3>g!ABGQkEUr~DJ!{)0uakNAA9IWu3T^p3EWw?4MCxb8pqN<1 zuh#9<|Fla3Z9{#b(^tA>OeT^aAbW8c&u~QfMDm9bZGo>*o}w%5BtVK`s&jkp&d$v+ zo2_%lfE)feacBcW*5KL~soL|o~#7Ts9~A~;y6MZ8tMm_--%s0?u;;pC`F zutUF)^nrHM{i$%%0AXi+tl+@PH-TQx-;f^?*Cg8`>R@Q=ji6phHJ+{#=h0sjS-VO! zfwPkW{KF(i0rUD(@$62bSEuVRMrzn$W*zoq0|DsBlIM2F>@T~zAi4h<)Jmsr-sOx9 zydwqD92v^|nB=!RRVX`{AyO;rP+W>(;i}bw;X!|W3hSBAMyN&AO1le3A(O=`HvGt2 zY)4gMs%wEtuKgHJ_1=^S)?W@nsd;zL_sYu*%jqiIVd7uHt2aos7~pzi-uUuUh=)r8 zRX#7nNMW47ll@729DtiCD-3(IB8hI7gZI5D z^%E5&Pkb|CIWVWiT9mTPzl8DbpH&E9R_`@soOBosDKnTX)j25Cy z_y^@TFu@ZH$n!J8Y)?+cjd5~p<=Rxx!Lg;A_74LK9Y%E`ani#6GICI5ck}bI+(?C# zcuQf^**<{^5=}8!0(Ek;Q200F;$`Ew^RGNQs^SbYy{A`htf<%%kc2*PSF;Yvh>D`H z6W-nlc}`m=FF4U}8H;vxtT9?G2afpFL#zZ+BAd@l*H1HV*~#2@t-9K=jaT>68rCf1 z8aPlvIQ|Mj$6>Ak&-BUT@G%{3V{Y3_&8-Z^R}8=4T}qS_q4fB~#A`gnj>U-z%pS#S zp0juxbp*^WNO4vFg9y3yaHz{)N%w~f45{g5auj%fjcN)_ zuw<(<2fQi}%Ui8^G{mL(|49vUpUc7QxpidMppaLZp)*5Xr^aq>`JLw&h{8C-RVeRXIv9jILT4V;Q z5(QS}S_Gnqs}l(md8__03B}^Frhs}ff9IuZo@_cD9uEKcGG6be=I|{FP?@WBX*t>T zQk0M#3&n^K8vo{yg0{UWLuoSMhWh&$-KINvK)<*x7}e1caJZ*KD2?{!&>O>I=CAIYV|n)i0HU7|V7%R2omVVTmY19F`)=by{xtj)9iEz#xej6y zT}_-R47}K9i3^!}w`FMR_wyUWd3~*E4WnRv3oU&a9L^^EPE*c&oh(hdJjfAu-dkAx z0Y$ja@!33fL>wTN2+dvr`YC_=zN^62air?Y+~xP5^WdJ3Q&Uv#;KG%D(2&e)H?IwK zOAmg35(qxAoXobu)|YhhZ)ztX4MpAs4i1bsH4pnda7wx^9t!S+Mjuz`?|BaPOYu^ztFC=oRw?5>f;xcLs!gKfL%;SE$a^7&nNJ;5%k<4-R*!s{ywsz+zK zpOw!@BS1p>N}@PXRc7CQLl9B^ut?@=g5TN2Hhafg`V1=A=jm(P z!ZMGKrG)bB)^@l-IZ>h9s@Bb`ZU&n|SQ>!Q_MZYQ3hS^LNJ(*}u#IZ;ACgAU9b)l!euRDWu955-X8#O3Z|Nm7eS_Nq~FO$B)w6$5xa?a^J|N!DjjhL#6yQaGf^*C<9X`AH4E`Wyk+z%?PC3 zp|SN6D~7&N0kIkPYw1LB+-GB@(mqyGstJwAd+7iC#ld(^g)~jRg>AGvP6hkcs6Rfx zHkkFrY)^GB)*i`U@0_M2lI_Ra2g^~lZw4UMR_>f7x2Ex2LTUyuYn>B|HLbeimXpSt zEV^UE5BtL&bb2jN++D)v=d3NjemdyJ=sx^5|F;xG!7O_|WaBb=|G=@qR$LDVkd8Ka zhW$QPpO^#-YT|W&uZ8zlPod#*-iq1bF`=1RK0pf9=*i+?f86Cu?2!bneJV1{l;>37 zOA@l;n#Ub5Nqo~;A)J=6ru5`4b`)nJot>d6E`oV}H~hgvSLXgE1cg@mPA=AED0-xD z_g{m=hT{`=ktDBC9jOxc>BoA9Kg%P~{}v^5*NeXao%QEJ8VO7*E+Qk;k^+TpP2*=9 zh7YwrNeaF&z$S6{Bi8>1nl2OJ7^EU&)SaW>SHh4@p}{ceG=8lcfK$d=-HSH0ca#O_An>CRAH2ahwp!p*>$b(1;KErP z3Y%a=k`*0bp>P+IusOar6l|+%5B>GpDvC}D=jxMn+*tD`Z)ZOgXQkwc`LI(y&^Vnq z@5dVM5-gO84fqK{@KxlBiD5*`Y4mygyXH^C-ZeSj8WRO}2MN?~slLvUt10v!+8VIs zm_JJ=lTrhU@_eVAR9H!YSWx}VtvvLCwBPy5Be|Iz__h;7vuBlN)szJjji7~F}1|wf*3gZI?6VXRC=T9|Bo>lg5yG-ei$|}%A!np5aYcd;a^-}c2 z<^B9)6s`0oEcKqK`>%pwJ;luSS2Ofn>ydZ-&(UQHMcrnLP;snku*mFe5#`#_H}es# zjCYqh6G^SIi0Ys3a7s@cVGx?PuFFn$&Iywuy;%$4-qAzIY|p7pY|dS)l&Q5l=G8Oo zg*-PZkZDQA()Cdxh5DZ!jVFC%ZsmC%c1|MM-_ym~R-AwPtV&qWf?dM&Gj7a+q>wM_ zPW--DfGs|H^2g&(sUD*Ny8h3n<>_}V^Zmbif3Ns2$j7>$O!R+)h>ITP*Sj|VaSRKUnkrZ-vU3G5#AnOYer}8US*iqUdHzhXR82g~m&qzvl4nU}FEZ*>lShH`9i z^G-JsqBElOA)&(8K-^i2nYS^*07|g7eKTYw^36dl)>+=99rB=o&zB4V@&x4*XShqq=$VCl_3|~-(25p&K_h2 zufYHKY=wG28z+D7nqR7nPO7=`PVA!#bcwc^`2JUkYwK}jxW9KJrF7@ws!V>@gu5C* zz+n6J(Bo^e4KR0|O5B4}_wrpQj(+btW2UiX51=o^EA+a<4H1IH?lAeNJn{GAh#dOp zDkWu|c5-60ESmgR!U_B&$~&AnyhLZO`(}f?-!%DG+cLTx z@`NTe>A$29I!hwws-%cw=Q`9g^P-D=x7@;&!D;@yoPImd%^5Ep{ zAvwXKAxUsV7@6d=zu4rpeT_qqLqTBlK$}wHX2*5`B~u#!9f;gd3dJLeMJ>oNeQ>h+ zd!wd@cDla1e9zeEHv7bR6%6i+(!-H-B&z)a%=oD%Q2O%;Gn@oygyydGO5^wD2w)Bm zwM}bOZJdbX@!D@q2h1cN{j*=U%4($P?#_ly}ewGAwF=XV^v2t(21Z_rRs zg%fNNpOt3uEV30xoS-&cxbPNm3=I4qsKWYURSCy{8y&d##(^(L)^M0piR;C)%E7?I z2_ePxI3wAit0@aRYWJBC1K=nL20*%oSoXl}nYB+BD-E8(bTaojI&l;j4ii>0n-`qL zX!K4!dz0MSFk(W=F%-FfpU*5LB6RA>??PL%7U2ZBc9gfOkCit6^2;Y4P)12j@d$RW zu!<|pp@7T0_^mpXXD1e( z{&c7<>;_uL3DU1@edEAY9uRpSmW~M2z}Wk!cu9|@2d^uOfX~JqMAIWzz2D16$mX&L zS#T_R|3|O?t>0A~)B5?RadLE>tkH*sg<#OFrDbYK3F@TAF4g}_t)}oE{&+i1o55fx zb_t4}u&Q4&Ig!UN$$^sWmJi1A?ZE^UmS(@s)l9!BnX?t+HCx7gS-YqpaL!=~MZjK5 zBM97DQ^lpefLcYSrV>78=hnhkiFW6C!YIjv$q)SOy;s=+>F^ciB-x+F;0Qfsij3K` z_6g?|iFwWbKRNE7o+bZh$vpES)>=HqQK)F$aDfhJrVPH9zWel{z)!PS4$jIp1_cXL zqe4%AwyD&t(U~nnqj6(A^SQw*pTH)!nSYo58Hj8GC*x_pTGzk9(ZmlGtzHsHrZPVf zjK4q`KJQnF**ncaIYa7L2D{f83*;N8hT_vTiT+EB%yXZJ9Gtu>+1h;ouni{a1quBR z6tAR*P27=qL~`X5rY3|~ad$pgXm&ODQPUl6opsb8p?G0sD!2U`Wld@l){45|E8P|DqUY~`K*D*K3}X_K|Px>z-yl*%?Adt@J*MGtRB#JW!o?76SZ>gQEJ=3i$ zpWG*|r0XXuBUqD6PY`P9g_qA0q`#Iw{hFCD{{<^#>P}|UDtVE?#-u4!RPgX)OR^2$ z*Vh-={I@!H1id{Hq4IB|lgZA8f?l=Nz(r!>q}_w@ZU0uYsv}oK*m^~bz<_Vy_IQ<} z?aPlyuCM)lFAR8+iAafmUM_ivh3GCGid0Nhu_<%5eH7ld67gqK+1MsB*#)kHpG5ja zaT;H?3Dj-8^wt#=xDnpSp5HPg?S#c-p6Y8Or%#fDyH(7>P9|X4BylV4LykXYR*11e#y9(=pW@ zw>NaBmBnkvtJbF;9 zlsiXm-PwG0ve)E%21YLwnpv8Vn!NJpI&6lv4E-PIR+fFL;G5rDE1=}Z=^@+85Aq?{ z1pN-53XC#@Q6Hi)M&xf6j^KneT%6Dcb_o^=h->1?-8``@@$8$Z(oorK<@`2WfE1SesJI_vUy$x)g3E z`BYvf!#4utX9q_iVN%WyLN~=R6 z@@cm-1ek@PR5-GvcvX!iHDgo$<-;9p^3373fgK=?TF+F`j8d9yo_Cn1-E3hpiLTl& zy!;;6Ah>>+|NgtPm{OeIXxOV^>17{qiBmSZdS21@Tek6&Wo(;QS4^G58_tJ(%QSIv;@*M9;r?z#vHON8DZo^-)6dV` znE(vwW^w8qtojDC8*!GRq<=)*jfS}g<_^uz$2DCpkPL=X|@$E#(J+3%mR*RTBesuG@ybOo&2~R++@4?Ngo% zBMO7>unH+Bl6TEV8&=QW{ja`c3$4yaVfsH7KM=ppq_IIJT(0!DHI#mtT1J@NScd&oG66G#cadUz{)6sN*bn2F&;L5vD_ zi_aw{&V;Fs8)G!7({Ty&E)dZO4E*=aUw`(|+7iHLYT^{;zn&E032D%|DJ6-nPYMgU zd@$QGku~3+My3o;k=JpDJRjD#|JgqHmSj3~6x17BX)n(2^+bHwODCxI14s)_c9FE9 zdsi}IzJ9mYagMKF873)*{how1heoF&gi?%w+?>gi9hFCaNW^vxqhyhH;zbZ4+RDA$ z*{^J`_%mX^@%;)tov@J7KkyW3;{Vkvf*PLLgSO$0xl#`g$3dU>R> zV78|XE@=Se$N}WBB{%IPyB}Vd5W+@xt=5O!HU$39)Jcn9Hct|qq~83y?3!-s0D|s< zwEvtQMD2pJslwf-NTzbVTEq5?0&Wj*or7kjJbS0$llAmkkr2RMhwmb8^Yf>L= zi*ij9=dJafx}<9BYxy}LpztTveG2D1$BRHAZiR)f8a|wL!)x+W;Ml;tIZDg5BgNMO zA%*+8!Q4|*NG1{MEP^m4@~}9s$!`AE5_n`P%P`){u;EHnOCK3|ux&l2kW+P4jf19O z8-J36pSrwexeA79EDTv@G8d@3CwKJ;Q<4|UM#ognB(d!JDO!tx94apRJ`=Xm24Gpk zKH>Lwe}(glhO%R?ekVAn+e@t{XGZy#mka7}q6x&Aik~|b6Xg6j{M`%fS_w}(N5uJ- ztY1}Pn8iCZx9yu3S}#t1Ri9+|1WJrRPvt%B<;-Z~O6=9(d|qKOZS^1vz+%Qu3^|rC z3Ob(n2um>>eGfqh-E>$bBJ*`4IAzR`tJA%i0QKwregNS8xo^X_lIA^|1WSDfJ+axl$&qKYwVQgL$5d!tO`^_t)p?%s@B5Ul5!s zFa)N*@e{Fs){oL;J|F&TFsG>7i(yIH=(xREFWTb(d0t@m%K_0%^Xxmg?btZwMK(e9 z$`|J2yb8^iaa4hprQb(C%iJD5`$%=w=n4BPxzMOfs=>_uEtH8)DjV@*w7>i^ea`=r zIP~ew%9E`axcz%zSR(x5_{qe4938-e*G_{xbYBs(-P?3Vo@5*ND3nJer$UzqR?z~i zgj-1*0;2z(5Gj|N9VM4Qau6RwJv#B#ETKk&^H)6FM1?z`dm(~y=zqE@4}C5>M&|F&pf{PsAt84od#KDF{jhcZ*Q|;9l8dV(nVUzLZbijsOE;8jVx2-^--H{vCc z@1cGmUur~{PoIa$D(~#QG^Zkv%f!|o%Mvi8J=ynp3`9&QIIZ<}SPD`F+BvD_-GS|K zKcbmPs9PbU1r-_IPFH|lBj3ZV81{x>kUnO%l3xP3h=FKY)itVh`bEy#SL&nZ!$#@In{mFM{mksx02WA9#k14+$q^HN75{$ga}T!5!RNa=0qG;E5S;@Deo{_;7J#qS=UWS%V6>-*N z_DhFgLoS;q;c-*utBzlO4W2f`9WM|#`_spzN(A)uD5;dHcAxL=JZE>Um;&Zz(Mk?F zTA7prFGzleQ}AH@o?6CwJ)gIAw^3kS8hHf5*DJ{vpjp1QHMnJkd(ydl`k>4c?L2!S zzqM0UPQEEFB(s&xHM>`Vst(zoZpN z!J9bw?#H7Ug^4hy)`5N(6(PwSpPk%NBekd49l2p9iW&fXe2igYK>Vc~t zRPhL#?EE0xztwo0coXNq#)KUQByR3EnxW^zT|mbrjl@E4yJzdGx-0$Vrs$av8^@e) zT~bv;-*eU63nc}suy)>O+}w__W6zjC7v-;U^+qtpr6m96orhmgr$V^Y^dC}?UWI10 z=)aN@^Vkhv@6| zxzHF}Wo6I(`VwMTlY}T$NtC;0b*0S}Z|Y;|WX|<9p*#E=%hj#J5woz3edVPW=dl|T z`ZkVvfK|}RiQeK28T7RDG*cOF(ogyiow(~P-7s-@;Tm2Oi+@4uRj;qhwZO#h|7c!g zS=Zeyel@z~f<3s9MixJw*sI?053zKZ=bL8md_06lLGD^557NDo0uVHl4Vg2e|t z5~8#3YNU_SXl>%3+wK?|7+I?LX+$8BG%i?L-g(C8y;OCRyY!sF64xm8%KM7o8#>LG z-e;8*#SSwmW_t1ckpgdU>f8z1>l5V~E7{VWl|Ttz8Puchyzk9=98$3=`?FTc7sw=c zZorRNdQ|?uKmC>GO3LA`#D@d=4qsn|Jk5O#vOFI-;E6xPMZP0)U^6c_KeE2~>TQdb zc~W>`?necfRQ#!JDb6Jshzwe}iQ2UUCN)-phWm`Ys!w-q9AFi}hTkn~%Gj#TUiko#@Wu_lf!U^bCE`uwJ${ zL)y;*81(3BfjY%!&`d$ybAYRFmsapO=Eq0Q;iPE`Q(zHc)z+8l7AlPYruxe1-^=l7 z-ep?NqMT~1a2b8@NQD3o4qEbCz21@WFQuhB_}O2|_+dW6g=6wuf{MgH_r=(VM7vsf z0mH|BlVEuKt7qrKcPls_M%_K*gdB=uuWd!CaxH!^)?vf#)u+2=zbyLUtQzM-%?-y9 zYK~v=ogA1vkX+(w1tSDGe^`gHi0iR^lIID2$mi0=jR|isoKHkT_{G~*qQd?1#$J}5 zJ3}^h3=9#u>ELcA-)8d2mH42o-FY7)^A24RJ-SEkqH#5kgUVMhEYR>2J)4kdTiIAP zq<5^`63%5>XOeGAJ~iD3K;#dmpLm^&+zNTbL&6a8|U?t=&}}%g{h*)+MWj0br`4Drvqd>`j_UL znX|Xj+xw!h!yzGKJAy2NXyUi>9do`%+{|6%T~z1haEM#|j1mA`pPmbL%x)13um3|> z_CQYrRLQFfKGtF_1LFW{8HI$;CUQa;@bSwv9G7f zY*3zXVDFGH^{20;{XToDVCzvaYDJWrm8!-zRdK+b2JrY!e&LRKy}SSWNO;;=bpzYv zx`EP>Pz_qfU5@z)-HRq9ao#Pct}3zKR{w_(#T%|4mJ%Hs`}A1&$$TVOTtB*_x8GLx zMa!&{{vyMb-zZZh*Uwc_2Y-6FIrKM;I9p_{0MGK5?H(MO%)b<3sUDQBkcwgX(LxH@ zo4>Iuc(f)?+-iOxO)Bv-(WegZ%!oNFX_)Iw+U38Iz{J-R4%MQk3gU+`ZCvBxVD%1~ z;fKrB1;hTB@}Mq3Z-Xr!1V!YSuPDqA@M$~wlBcR*#T}%!Y@yO07tHUTyd~BDwN-E~ zVc2fJZ4XCjH#dGOmK7pMIk)|vMcT*9x=t6lX|ildVXH2-vh!%O{<+vu+jCJMzvq%K zWF6SJ8Tt6=TEuy;4)U?%s$zr_2^XfaCckYt*lGaPA7d;}R=eJL@>inOK|OjcSiOOQ znY$h0z+tBKPxigh!&vdvP7-h6QA~I=oiJxsu-ZvDPa8BUV=hU4IOuo&KY^H+?DuS@rUs4HfclsJz^!a2M}m_cdFo((}eDt^@Rf(Len ztg_EvenLuonX(lRVlx%?z@50|isprvo0?aCR%=u@W7!;EEF<%|uyP{?+@X`QT|!$P zDvEmDSUFI99uP=cJ01%W^@D~Sl}`kR&83RX0ArCzxDDnWD~cz28lUPu#4RP*3|~7- zbZYP{TC-`^xb~9?gseH4bhF{Uh^%NT#m{^GDs7-${%9jTcTc(x{#5i3jYDtRu-FJ_ zuVkr3lgsm@7Fc?xMMAOSqSqHye_vU)<`Qij=F9F$ke>;iY@YZ2j-moBBPKiRrqb7? z&10{K>oQHkk+IU=Vy_^+Idnjh)AG>}3-TL# zYig#L#%CQa0wqUi;#&nSgIiX|#gG3S!Sk(AhjYQT2O}<{)lV2)+$+7m3PF=UnvY`B ziV4ndbDLBE5;PFdaM2s4aWxGtsBz2Cu{OzY?ieC;9NZE33faXgk_RH)+A7?2572R zQC8?=Jheh!G84mVfoptR>3X@uW za}aI#9S(hQ@tYO7H*C>$`i&YHs2`C{5!geeJ1npVvUG2uB{4)eFgxe^J1VNB| zT0qi#+2sKTc+jphRAAyz)G!9f;Oi8oQF*SR&~M*Wsl|=mFs{1>Ym^&_5a@WGs$lT@ z?~gjnGMaEYmb|5e;hO`D`Ihuyu*}3|xaft5P68&VKt?Ew*Y6AvkMH!i<1pCJKmOuL zhRv1MU6gd--;N&VJ*Yjp?>>$%c=PZB#KN>NxNM`}4#@CX>u4C~zXrt1bX5=bP{dkE~>%Ww=SGH@a2oTh>DW^2)bI>DCq5q&*bKft5XN0-^kh_!TQZ@e(9(mVW*5$>(Km=G{2K3hd1@%)^b} zxW-dg8!lj%5VjGJ;o%r%7h$!0a8C&k@l>cLly?Pls0ejV{0jl7b=zhk))GGK>_om5 zv9?;i!_Hw{_l5Oso-$F7Sj*NA36K0BaF8tGjoEX^{XLsaa80o&5?i093~6Xa==o*KNuH?1AFoe)T%Wg(uUjaeV;Yt>I$^2MyZK$*-`G<;Nf%*s zht*H)qmVx%ic)vBTg3hxYO1@YSj`B-V~331an`N6`G;`!cZ3UV)*($_HI9P-pPw1L z#Kv;*55vBVP@ASZg9U+Zb@%gB9ZFog)Si_0w7f&95~89ZqW=R~JXG50o4t{N$!0Iu zN4?lNt|8Fb2)qRXctba^4W8~mtL=HfyWDKmLRc%*zFc|#k#kk5FQp=PJ}n=;K$23- z`9`3rMO);`@^<^(=<8dRIs<@ES zAs6d}zsLV4yNCPJGCcqEXMts{L0{iIeVUu87SRj!1zerV!m5ev>NTCN(y|D~p#uJH zEpebDt+vQwc$Xg?E=&HP54RBu!}NzFo&U_68v!c&NpV}Eynm7~dxRE1QcY{xK47t{ z-l&KKSl(5e&VsXm4y8X+DYc1%V~d(Qr1wE{M!baY5Ij}C+EF~Oqm%k+tn%dbmK?5;*#hxLO8w#a{Wij5 zHP4CADXguoo?|)CS5p%IhOX-!D2L7O2XBu|)$1r;hk-w}R9%xk6q^siMxz)KLKAI> zh3>Z!&?C;?JvffM1bD#&-yZ((8_b@LZyiOBJNpc+I-F-R*A(7S2e`6x-xK8xRhVFb zh!KkOEtG=lbX)vQ|4m}Y;grcSr0`*=WB+VM-JC&(dyiaa8pxCHh*wm_2S>hU-h6JY zXX+wa*?C{)hsQnaR75V5{9Rg0=C}?p%Uuwu?Z<>zdSsE$;g*RDWdmuM*{!u!35d;? z>M4{1eLTyKuFYEZE4JI`SE_T|y#!781qDRip;PzY4*yi%I}|MG*^5lHO49ZOwh&$e z7cG){{j?^8u@)h?*?*{dI{=n(i-THScHVtBP*v-zSv{^6b@2QMh4DT_aW3$e$jUfv{aPrT# zfO-41xeUjqgr!7)RVMsa*zfq&AIa^o!G25Wy~q_n&eor{TF}_WXlITvskIthWxWHUgq%lVN9RL_bifB5Og#}4S`*k zbBrVjH~GXEI{q1kDsT6=gGYr9Ww+`FY~CrbcMaRBUH)pwbC6kzUAqteEha34z0+kr zB5bQOG13QMdn$AI@@sO5xO4ig#Z_Z`Siol2hZ|p#il7G4~wT6 zI1t5+2WvjW%ASgsl5z;-h8cXMLTo|+SU*nrItBMN=KIGxgU1O7u^Shq#}iASXkp*%dG(Za-t@dZNM7a!FTC_6%L1 zCyq6Lw8C|+W)eF$Z)M6VFMV3qtDuMvyB@N04#RlhrlD-iL1{Nxeeyt5C=XHc)vG@Y zp!l6^cigE93lc1enV~RTNk6QT2^O5oB`eeYQ!xt(ESZQt@Z3ONZ*RJwt?|e8ng}4EJB?7qR z*v{X)E8=xbSc1We#0(Sz(A0B zhd-C*KLK;x+F{Wb_cGiykp8a7^p<`D+SPvC-nM zrbS3NsMlxCNr+AuWYCGARs@ZvE@W&d`3Jx5T4=_84f8(c>zAX7o|gk+D_Rv~JhbKb z-%69-7hL->Alb-yC6rd+D=pBKNLEj=Cbz-*WMTIbq-!()%kJsfex=`u)y{WgY^=WP#BG zk;}*HAK8@~(JKUhPQG)U8n7Mlcnf9l<&vq{yrwbSLLn5LY+=X*MW;cC3b&4KW-^Td z5B~{Tp-XScHc9^u*5<=~0GtT5eR*m0@bYN-k5^=2`dd$n_*u!#5nu2M{{wxYRXp+# z{=8zqL$)d!>@vo1gqr*I#y#}6?xTAJOTE(LU^7#wg+k!`~9!g~EPK{LQqW;8M6*&qxGpp6k;mX-kv7LJ4!QD;Z zh9CXR;F749_Z^f29aS+=KV(n7k&uOEmm2i-2L0LSO!m5>Py#7gUi#hl?`gr@gMbNl zVbSiR35du(p!wnx6Ou=tL0=$#Dr(U$Lc-z2@N{@F@VQ3b;yDC4=+b1(mDbhL~&uC04Nt&y@LezaW5H{kpp(|psdrdBw)S#eRcRGs6 z^Xg)FM$*W>-al6VreWagd{p$R8b+C@RYE2+J4R5Om-dL0Wl4$2lI7miVz}u2b`xZP zg1lICR1mCMiDk~M;YJDxj4+K3}$rmIQM`#;Q+ zh3^O%9!FC4hK$x;PBgJ)r8g}=_ZB}i>mbBxdt@Pqo69Nn9t98FYfT=?yWE2igd(h) z8@S~9tEHpWkGIYFAG&*s{=dn>Yq4u;FS{%P*=RgvQal#?bWjgGo=g|988$u3PT^!Zb@ zoY|?I3?PJ1x>5rVS=v)Nj6?sqk=2UVj5t8&n>d-4RF)9YhXY0wcM_!6loL)P*N1|t ztODmOFa8|b9#FVw#u2m;yjt#XuigSIB|`4(e_P-hcrpIpO>Z4}hL|ggY(lpV#)wzA z<(If{+27WuK3>9Jj7&G;Nlwu7DNox}sFMeA^{!CnGv5`9pRE}2SC#9eZe~S@*K}Pm zYJs+n?{WuI`L4F{9GjYK7MFL$^03eJVxxeaYN-Z9ArMnTcTnB1Or@|m(|ag+Tk8~e zpGe_jEpfyMKpKCu!)~Wp!6jNug0H^kDZI~6$+CsIejDJdO`ZJOra}^@fuV9TArZ*EE!R%oSxzGrezSdyD$x3&Q&f%$zVbID zD#+rAn03HQK-mu1s)uCAurjXHNDq_zw*2LZ|NWKH9zH~=|Hm;v5CUvmx4gv$fr()H zl*<9u3ZPSlh%UgWGgx|uw|0Br#?k9x`7a2t+){*kdhTOa`Rq(Me>$B}-s9W70i--N*1N?6~O0PA_nNLBI-vIZaSM8C$Qpf)!r66M+m~ z{-L{Z=EBDq(rkt5i-aXPyT-8UP&36L@%coE1;+4;;U^FgjZgVK!w(E+QmU^ZNj~x0 zP3id}$0Mdb2SR|VZm?Wdyq39W)ied+9{u+2SlrXBRj9>_`Wg!-eFNTi?<;JGli~YR z|IIb8td?`ysya7~)JPnhAPQvN)?k51lk=4^N;|m%FsWE>6@&NJEmEX=5+fiD!G>!A zwfVo41HsXRxBW?~b@X%+$ADWvglWUI*>K&0!E%QKo$HUHcd2J5Vt+G|_f5`}Bx5M?#V_?#fZj0=Upcza zPl4d}jLd`i$wjM-0Yc^+gXe{m5BX2VyXNO2j)TGNIw3f$f%^bmEMiFXaf0EdrWh7j zEZC|M^BB`AMCphh@3#nnfJhpxN}>|bklO|VTvPf|(EmUYlVzbRiA+CUA}CXZza`4b zK6I}ngBkGpNXhIknL{{bW@?4QU9L-R0>NUDtTl{=U1cw!jZ2ajKGtB2pPb#Uw$xsV zMDzxU)R8I_Mf(Rog?b4->{|7olN>`xTEuu;Iq#7B|f(75Vjk6N5 z^^tImvLZ5?T&PD`8QcSm#nz8)Oc(AW18$J6yC21vyiXy1`1nIAFQW`FZ$FiTVuEs- zu-^-UNvI{JfA#TP!}@n^KC-{KFOHymNBUfHOpcCDyj|s1N&o14QSzpx6kYH0TZXMO zqHlqMy&=$Y^KBP`%1cG!@p-KHKbii=CwLRBJ9p60U+4_k3GNNnlM(K@+e3QG7Xf=J z=tLiImm56=yE-NX`U!Hn6I%*Z(&eL2kfOW$8Q;Qm-D0F)BUAv7@z(KmBk}ch`w4E6S0;9Rk&q~U%q@V*bJ1z;r6y{tQZCG= ziT=iQ`t5p;1d`fL>G<7<_cwzU4!Gwz3pMV_j_lW~Wf zvIXEp6DK`z&i{c-AZkRcrhAgV94GJ!H7t`X8_MDpGEh1mN!T@DD##x0WnWd^>m<9W zPO8ilSKP^vexo6kKBD!ubsdnL=?dHiFO?viIpLL_`F~OGq>^s(1+WHgn}s_E9Vs~* z@a(V&G&Lb;6&9@u?Pr7!1uyND4(s_KYJqLs-jQ&c0<&NceVbK`~;boMhx{2*9On=^C)sio(DbTgNTpWCwGVAJro_5vVBuu$Wg2 zwI^Q<)>p+L0RPNArz&q3xG#wQ^rOVu$HXp8ajtRBW7Dz*VyiSBSx- zzNW>7JWN9>?``>^9!Oj-+oOCM^}F-8xx9$W@&cd~p0<1!<^!qLOd=6kmG|CF#bTPB z>0svxKY8Z#34``wxVM)_NGZz6^w3S<{P}DBP&cE4g~&ILrZV%9xvQ7Z&*btK_TpN+ zyN*wae56S~G6aUm8o%awHE1$kJlG-d5I4*Qx4yNY!9)%N{bob z+kQ@EOS~~Xp9YZ~F*`2I*B7PhQ+uR^&M7nsuH4%sR4JS79Ng<-2$Bg3S`D>)Bl}3W z`eCu!Un|q6oPXAkV7KR#wZE1t5~zlzE7GPO!j$n!L>(P?XG@=#ci8WyLJi+z^LB=L z+}UK&V0rgHNF^p&kkN^~F)5%v!G;xqdlp|NSi65t)kOWhuMHNH z3$`_JBK)j6cQoieSk8VWJ`8p67W0n{pn4R*-2MBjb4WQ30l8IAxs~EbNPCx-Sr1zQ z1`g{*n}b?5HRkiF9H<@DV@gUGm9s+7SoTRZDfy*}p9wf=O`kjRd8g(M%I?;&+vy+7n-UVtMxz0dBt*CrO#c?3PO|^nMCx#0Y!rabyf(d z?S!bm-et@jyARcOri%(VFMW_T-0K1&JrDu@YLvt!8Pu*tScMV)^mjc}RC>gc+=hAs z&CigiR!%v>F;}2HkHBEOzK^Y*;N9|k7a$k;VM7}iA=uT7aml#1cFGZ$KJIY_2>=Ql z0g&J%5%M8f-!a1K05@YX%Uk=rd5hXZaLRgb)-W;_VGAHv(YVaJO zxvy%91g=w}isoT*IRLJ#3In{+w9nV-MlTXF#aGsdRnOKQDqjs}v+ph++-+vR>oY_& zTiP@=*yeXn>89Ezu9#%aGcC}@pWH~MyIk*)6IzF_O%t=k=(^oBK2PkOPl?#mIY)!; z;LM~#RL(S|JJL@8t^V}8ErXqZ6MeZOoJNTWy|-z|UEX%dK`8jBini~_9;};@PZN(VN`Ep_^$34mv!(Rxz)fbxIph70p;PUAY5yFl%KK`wmz2Z1(ae(~k#3-l zTOC8eN;4J{HnV9_i>d*zJAXWH(;B*f7FaDRWSc4T>}Mg6fDveZyv}_Ksl2`2Q3_1X z@Ue2KOi0d6XE{9Oc5!FE3XO;8VugC4Hvq9k>E(DB+e5&UOYYZ}=J85Ja0U5@ZU~fq zy`KT5fKgAwiM36i(%H_tQB*^`f1bZME@S+!KG9Xm*UN4pypVzX$Pi8s7BWs#pFRw# zwB0>Boad|fFmLnN$2Rz0D)s4WSfW|_)c3c8=vpq)o@ajL5ksr*zY$~$`W4YuvLeY- zN33d4*N$dg)}7(|-sZItdSx;x1SCgabe|$VUS8f2t?UAvpze*XU7!m-osHbc>OSeE zhv1BAEx5wCTGx2N?v@EVAhJ$qP65YTKj4~i!Tzl-=S!-<`x#tg(c|KTC)$E{Rkru- zu$eoLs0RXL0iW$w| zMn(gRE(N0hjA~pfo7I-f9=^Mhg!s$D)z{wzN-GZI28=hxE*QY9^v}odBbuvyVX$qI zy=RbZs(dp9DcP3(v(Hdo!uKn93a07A!2yxEJJ(SoN`0wv&s<)9h-hFKu5*#-B5TVG zpL|?4#Fa*C<41k#e){(Z04xS}3ni3fk#doUj*|2ZRhEimlU3T_&4xUf)K$xvm}GsS zY`r!ibFcd)Z+O26FsK~m+fK3iy$^tu3^a?oBHEJg_Cgh{(%wT#_xMI&pmR(8r~dXH z!293h8(*fyQN5%GR4MI7*9*U4L_Vu^=O>!Ue8I;i0Y7(al*y7y2s3+3!QxOGpyCtjKkIKc|gGCf>Z zed9q-_f{*tI8(1fK)pz9top}YKG@TWhD%D;q^@vXm#1gL%207(_wj~?%m3adlhLe8 z{^4})h>A~Z4Y4A`w{Hj2kvE0Z>l{-2Zb#Jz$Ea-Fw9)>W25EYNk9DE0rp73k^F17N z3)!6jvw6PEEnNA~!XmPCgJBu%FWB%zk8Tmk(2oz`F?)>s_g0xx{X$bD%ZvN}2NG5d z54FjJe4T9TdU1EbPwzP2!zq%}(Ent}pyi3{N@d8tIb(!ruviW8`Qz9zBhD+dUv-Dj zggd5PYa_0UrR$;pKHfiEBm`cM2sUtIOGqH3)S#|)PlyUVf7D5dHv7=JgfRM~$D^`0 zI;Io$v{IV`@U0?FmjqM?v~YC;zW?jg#hXJ~1E-Dda@vz%%Y&lgiAmsrma6ToWk!N< zm?;rIQYtH%vTmwUC8E$?R-1Zw+3%NY8-4{`Rb2W;F1seXWkq0X^~`F>e9QKtGvcQ= z79CI!s{^;BdcBKFVS7%gaQ&(J#%!6}S|FGLTs4`r1t|>3AP!8Vb$$-;G`$_--Hf^T z&TL)w1WMBuGO`(6wEc|fHKG98{D`r9V}Z)bm2N3PMsYqB^|YtV$jGiHEf$wNl4_7* zdO--TuM>pdTNaFHyO_KjNc~@iQZslJo;9_fYj*mkxL_4&55eiE8mHoqnh$g9%!mnqY5Wl!lm zd9~1VlbCEnA9b8tR>s}FaNkMuJ+1wNDK8QuTQoYaTN^N`42d&jUt4hcFAZXG#o&6|;` zM^!8Xiw3&2M51sDwdrhWj-*G+Dvj)4Y#F(7<&8i&_-x;^}{4)JdIv%O>t(HNJAZe~F zqd?jn#ds0zMaxQVu(Cm+)F45ldtJ=Nu#n=p<#?fwA#R}on?#`skl-(k6{8*JMv>H* zR>1QRx;h;`)SN1?*+CDj4A~4!bla@~+9J;B4c&UcNrJhgf(JDMS@l=@>C9|3qX5${ z<4mWi>0>71g*@Ti%HdPy!Rq>fxYN57lGytc@6s~Xv{-IA4T+1mOc4Dvh4i6nluwD{FA?1T;%m&pVF35f-zH^xtGzL zI(x{_Fw4@NAUR75G%FIwT%0mk_jzdM?wuT(f=YPm&2_L!H5|qk8n&Uz#;o-FeL5vj zN7wg-eJ+CUZZ|vlxMTmQd~G33fDZtg`J(9xYlvvt-hD@%q;913rbow%Al2ROFrSoBb&vw*5O{1y>H4S<$1~#3s~hqr z8i}$une_+c#J7iFX~ZfE0AbGt=W(snJlr)32k4gN!(J)MBcBnYCwo`wGHlDf9TN0A z1=9k+JYPT|8vby;U3;;i5_uPS9R-0}}L?(xCx-cWGh{$k~yK6}``s@=Kar5%9iF6p82ON_-%-;ld`xG{L6wcsC??@;1 zHtG44)`7k3z;m>TSxyGf+1~iGYb5eD+EGpoDTwxwJW?@vQ*% ze?WwwRnL(OV(TRov`3}&6OX_dB{w0|gUv(KEFe~C2Dpzh zLGa?=5(XMkstUl3asG|IsFr172iHNg?o3wv^vO2{JI#Ym!S0`v{{s<@53YKK68_?B z6ls@}1q~0EssMw8R3plJ-_lUQ-bUo7UhC>jbfv&mnE%VMaMzs7#?ko+(>g6&@;*EgBPjd30 zUG4sixZ+`g-mwqziLaGBG7r&v2YghthiImCu?<*W4p1zpzdo0F8t8>M4W+`ct=R2< z{Gv2}P`bKalVTdAgs#dh@+dy*Z%q;d)@x&FmswgKIpy*DDWH${ovyCi=pYj_0bFJ$ zl46k|8 zrYxfwMTODS$0fSM+N=U$t1(CUl`%*ONU(gzm4#oCFIZEPon9kR(RXm$+JS-BZ2rZ4 zE*LK>L?d>y?!D^mkl9ZSP>aDi-%8SUv$H{*T~+&gqj*3hTIcbzRMu>Sfwev6&W3&C zq)3`32ptt|EN^5l?Rebx|GCGuTdxpxlR8?edmX#R50>{x;%E*%BcQlla70lH9O@af zny9U}WgE{Yd=*X!Vk?vszueG-jh53dWK4?~SkuUONrPx=aANyRRV}Lm*y5BG4)}iO zs>9H~_)?@p!$SkM#vY0Q>$3}S6@YV-kcUh9AIK>LX57h_aDo5xG*6@kU)B7#!Aa+) z)H+uu^-)o&f5$+WSwR#wdgqt49_-crabYUCLkiWf?5$s%TcmYPq0$=bP1Y|u29+XzjthDe;%WVI&WR1qgU9gfyK zMCDM-qyAN)t%H%|p8|=WQDNx7f&`nnD|c<)qW%F9&UF?96l#sD|Tv&PQpQh=;x5Gxo3>Rf&)~qheK+Ojo3K0*kV0hB^S5^f+UFz7@xW+n) zieJF{@Nin!F>LLST-xpUX?tyOy{Mcj`&tI!X#I1{l0mVeaH_XxiI9>4CZe9iX(G`W z)r?BA|F?CYJYQV={sbVW)I7RqV-EXX)pf@pGA21}Chh9uz<%W^HWmKrU`0OqXNza; z2q1Yc`W_@;y>K`Tb($J7J~w;mx})i0Mk1)!VmM@q+rd%ZXrw1UZ}U~Yl3L_2 zb4@t#bd^B~iOC#a%OW?vV&$WHIZ_oyTBk95p6G)pi1$!JH`&tB4wtEbU;4VV; z*qYUNUKK??)Ba$x*ZtD#0K4RZ3k(XIeDEI3&OpJRR7sR&Kk?(Yl?O6Tc>H*4q)`MD zXs$Q)t6KzCz293`VsVK@b0wAjjA^Tl-!dcq2ZCGilJDA}LK1@e9O2t`c5~|`ODLe3 zs^wp{JskaBZ~jano>yFPRVOes`!F#!xR#fgJxEk@w`3k*Tk;MMOBgpS6;Vfrd1JLX z>V4>?5x*wG?A&=l%iwEo`FG62Nmm|3rReQQbSz&{y96Bp2jqGi0^`Uzh3XZ}l?`2$ zxu~frcGMyn?1*>ysn0>C|3RRW(ixSIU-iJ|8D=cxrBr4{O` z_UU+|bq9!wT3aI_()nmW1$_8{V|@(s-&^x6eX%+>?Sq;lz=}Gd^^<)M|nfNrIi z@>Rb1+_p3zdvfv|+}#0k2*s}+4QYyC1#D1~Nd1d$u%E{H@k%SqZ2RzuqPtUzv>k?_ zq|w)sITr6xaL;##xlC#1b-W&ZBe@1jd|>%h%HG9irBR|eF)-Y)F)R9kWiQ8J6>=XiPla4&8O0);f0Z><+>nVipQEmCktzLp zc`on3E}mb+L{x(^ms`|435dt0PfweeKg(`zNM4EKU`Py-1eW9-RRZ@FOSW!|Ik}~) zvF~U&%b_h&*)wD*fA9e%5ht(4w)A8an$uR9cU& z`Vj}@Ois-kB{^&oz`vVK-U?Fo9G5r#GdOp{jkn1nh4Fj>0u?jM!0`KNB-z_@HZ?Og zrBl^vyIev7>)v#ZI$uW~Z}xD{lnJerNdRpq->E*x^P-}XLQx*lexyRe5ARTYoIF*- zTWLKIr^4^{%^?vN<7HrKz`XoXy58DCSRt`AMBwA1-dOL?X>;2JP~ZuhN|nLB1gfW;v8ttpJYX}pbQHxI_Yq(e&r^;4!n-$9lE^2$+H8jYzAw22IUkIPLaGdy$GVcK$Jux!dP+P!! zYckAJI$8G?V8eyHW7#Tq^zR}WFe=@e8Iv3=iDZ#~n7X$Z1gEA>I9sSpy6;#aJ+7)g zuFwQo0bekcsLzPte|NPANYUYR#>TLKYURYID4A*=dsOlPJ1qNEAee_E&?t+|F0E^i zX&fjRf33Ja^tA;ZuNT^cUbY050w?pYXq2N(1>zZ25A8WpT&-OXk16=1is$|grgiGk z_Op6qA2hqc>YixTwjqDK4(RvSy*pDnfBL?%EkL3yLSrF#IB&6Uu3dy9{L@~BBC8sS z<%!$v8~xU4`fh%bC)uTPFBT;rhtV&-;Kg`b0~J%&0r}JPIpgCI+Kehw#$gGkF8!v^ zm6)gv;G1!f`5KZ9)j4D;7=U|G?o+PI3${^KwXb{hxO}KABzA1M`qn;B zRXnUz`-Y)JgGE$h@$dqpTSob#qtmp1MwR&2dUi{FI`?%bYA<+4t>vGkfwRu}G2O|JjO@ZzmdP$A>C z@l|_~{yS2f@z4M_D;+k-fu{~g!gZbyGUTNZvf1+PLAp|(`78*YyKN%|Q18brxn`$O zPOT}Y8UWg4*FL|BCI@V98e#4)VrkC~BNc{Wau082k9Z*i9-{>rXj>15jO%<8KY4S_ z)Kpj=JvKo~poAsa`l)DKP+8p{>z}O3mv~3&_LHZPMqzz(Ype^mz=&}nUN88xG+d+ZJA>@PVccfgh zLf=SLyJPJ~mv45NcFvK_yTI6A*ELWYiM{ zIJ`}9xjImsi9vjui=_|eZX^Cum>5aK2cx~&gnvw~`0?NSk5pc~qkXBOSOxx8Z7HY< zhgQ+af1Es`aNh6YM0E1ePk$f?4-gBbY`pkx@nI3`_H7SxGkmEcMdOZ7&#e;+egyDi z#)CoAh-VpdhFN0W&nx%ZOjBxHF*-Uhw&IGQ(cXX2X)Hy|iS^M}OGXT$1WqNq*-?1G z__oaK5-!;VGRRLiLXxxJk6%o0N5LXcP8+`(M-phAcz_<{2D2+9Ii3!&uw2)Qe~>aO z`B@fh`G`Q>eC4K|bL_;^JwaWd;bWKeGXabQv28tls(}PcOmmfxe_$f1H*`YJ1IkiI ze<+wLKI+!g57=s+a{m+-t^0+4<>PyfY?pcKgV%SxY%#}V`N>D z%Et-wh<`Guvx6Gc?`Fbgi{T}|!7OqbU}GHb;Uc~6U8byB_B zp4h&>|9&<__4=xmEa39pYqjh_b5!a({G|auv#-e>Bm?c)Kalm?zj}Up{K?{wh)s>n&OYvD^xSlGF!ps z3-Ty5%KG`>nnNy+#1f(&809ax@zHWX@YVx&=KSA9QbfhIGl5o)^8bXi)98VoKMRd9 zz(>sh*(;?jHZ9ic-JdAhq=(qZ4M4Pz`GJ^u7&wBk_|cjrKKRB=UjLAJcLX)UZHr-?ni(Y2*_v)H(oX3Q`ch+Qt1uzSbUvlZSKbk z&2O;g8Xd?Yo_=`Xzi+i$wVpVq>~Z#@ee|#5yHo3z_k6_Q)_gsK_x}fasfJO=DKK!K zW030Xq@+laE8V8*|22I-zPwzcpT$#t7VDlXja^H*1O6E`JCicrFnn<&%YV{kZ;33qCC)L8%E=dUvgkM467Okv3%@0hT3$9^&n$mB74mA>Pv0d0Hs% z0my`cx?smMA*evTA$?Gu^;=&jZ)5(>QnFYV zGX??*Q^?A0V9C{Edsa3;&cli_LMI+X5*Rj6y|g-Rton0$0;;Qj6>s@p+XEiz`KK^; zn^Smn1iZCbp!6f(vLE+qz$zhoo9H)s#I-*5)<;Htl+?yMLQRl3(1Hl5^Ll6)G^>_z z-D0=~eeVb7=xdE%ra%QJS3{_1Uk?P3NTlKWQpi@34ZZh~O6kr^H&?GEx<7*4*?WG( z6tb&jf_U=JB!Mgk7Bs1R>UcN+*Qf}2daQbz993-?52Zzrz7x1pJH6|e0oVL|&q_l9@zbmU^at0T@#xj*7 z1@hB&$EQEzpdZ!n+O-kK72UT<^;cY1Bt(t^s2JC>>QNq^!nPp*MGp&rXLLhZ_hKC~>424i*Nk zB%1o4R<6?*YLZCXe{Q-k469f~db*#*17D7rbNCbLWc?$$Qb&h9EyDnTq-UOIB+;=X zH$FI7=}t6gh4A6sBY#da{^Y@{erCz^G*(&$Mp>J1)5DjLx`5^Hr9%>?I^VX!<2X;9 z&>t%0=S*$ZNSg!T^-8)C@paLxrW)kfzuCoapm}#h8Y)=7Lsd_G5Y=S<= zD;LQIPtXyki6;7nV&pa?mF#Kb3px*m4@ds(qQ*q2MR_}y{l7PUMkp313U`toi-c=b zdBQnrq9E6tG=%^_A^N05sZ&_x{w!74K+Q{S)`oX5@?Mmd_LVW?9elB$o^URNHRO*3 z6$H^IG>o&CyK%2`u*(aNO6%*@=qrHyxXWzvd^~N^EL6T4pDPy6sscS-&p(_x(*fa9 zKtmjl{96DdIXK8vh@m&l8p~)v5tpLFx_91a2mWj-u)22I;kq& z@A<9;{D!2)b#C>TQ-mholtCCHbya-GH~#ezuS5I*vx-KNT7tiM#t&mXag0ijqEs#6 zC4d;FM~iY%X(Z_Wu4VV4#qH%8RDMDJxvHAz$-~GHezYptG{yy$= z&Y?9RPg zyL#8It*ePYsW^Y<*opZ>KFiMM@dyNZx@?_)wFK29bBAigIPL1E!diRBjA(=R-jVOo zexWPx3+a+oQ_et~hV1m;9Oj05fzIjlbA79_v^+t$hj!Q0chDbvP9)(W4`DnwH`0{pZD#)amSfOq_;?3J$k=O*@abjsp9O~GkCmX_Z+JmslR<_7kPiSOI-ZZC$H$`X z=-JAC!RL&p;u6aZIi=i>MC4@+cdf{lmz0Wk&G@}*<}|?mg;}>$pZq(g=qP!4)46pO z4G|B@2(ICos*N(?fqxYb4Q#Ao9+mF{n+ElmgG=i)+vL1u1ynYzB6@B-s>&|vj}~)F zOrePWKD3{{lQcKE2jr+bhYH!hH7l`us}bVZ`WZ{0+Cu!17%bhdyL8{WzmXgPRV+%8 zn*}iUn(QM|tE~lz?Y@o!JiIz!o>8sk1*hd+19V5Kl zYo%Z(tQ*ulF-6(^@qZe;_|NOl<|71JRDNu z(iMXvMo4Pnfjx5oZ^eIu_3~j@eeWuM1=nG{RaW|f#|v|}4FnZxnm}?(^E~p#r!w-5 zYpr3Uax{@=`5v%%$)9%z4)Bzm+@dQIQb7APd0x3T*Q*GL*kI0ibbMM;v2Tv#71+8H zNMrLVd#+`3Zfm1y-3MzT)%v+WQUeb$5AI#TT7}gq*KmD&)tNXdq4wvd)0hN*2AB&s z!>z=SOd@jzQ(#ZG$Si~9L_&U6!8(f&(Bx5vh{6yP;s@U|!R0+uq=nh2sG;C(ogfIf z@Ul88E5%Yq{SY|DvFbc&XrOw@46LRo^c8xV9DAzKE8=rGX7cx*hfm-sO`bY$g3sbj z-mjPW%>p&*%Q`96+s!R$Qn01Or}DvFsRNC+a3JflH$wNr(rrVMY_byX>Pk_8gjNvs z5=Nzh(8_*YR7>Bfc@ynlu*kUl*Gb`S(~VhPxPWKeLJ67AkQ)CJ{rx3>?tNfYG;==o z?0IIaxyp7VInv0{n)Py0#tQ{!t#G~#eFw8{&bAfthPz|(k$$=lJn&STHlNDh&8A;3 zcJK0q9AdLf%c%gf9Ps2$RHFOM@`d@|>mPImz{^p+`jp#*EZ|20#3d4Ji*CP0c&X{| zX3xpT-mKX$uYO~SU))D`fLku=Ye@k|OEmfMtT?^Dxf+Ar-!{|tuGJ}}AgKA&ei{q_ zLLNDv{6@C{5ox6CLY!U0BzLp!@VDV9QI%l@JKY8H*hL>O#PG!gsKFEBCI*LXlL|Gy zw6$)cYUHm!ukDEs`vFigwk^x9e>~6$R@p*qTZ9{uaV+Vy?aHjHta5e-vo{X(RpWIu zdQ0+ew({*iyH!5_R!Ej~79t9S8k%{UwRF;O(w_~vJ`!NbX#+-#alpBNxkVdGfISh0 zs@B?kQmK;MB8Jc`eOy;0|H16Z?I+Z+jPytTRrzp-+Oe-5fg66(B_YsbHTasR_9+_+ z=GeIH>@`X+}7h)^P`hc@&!*h@1(S0+4U{@ zR%j5WZE*bYpQpw*>jy911jfd{PHpwT1XEI86o@r!s@UbZLs*OG)f)zvuD6V~uL7H| z3o5Z&7<|IJWIb5u@vgJCd*+OoE922-OcwX@>H)0e^K~74*}y3hXB$0^!dfDCSDLm_j83}o<8e3pE&;7q0b|DRmGU&{H}|x6}KZxspgxR zmk*cPuh9H^vz;U>epb}Mu5pxbDyQ=UFMt$UDHnw)+3>RkNaTH1=?Q- z{wnD%!dS{LmkEZPn`2IIH~>q8v2%xES3!Q(S&$%pGA8{ovtFMFK$1HI@(`3N>0tvv3?0vjtJ_`MB{B**1tnn9#nN1kOP-cDl?8d!{_#>F! zhE#9L(?ikOP+Y52VjE{LHQ(=>0oJ@EsH4?yBS%V|1AAS6={+AIRo%f*)Q|~x|HX`O znos`4#K+gxj8_Sa^fTkdPG3b-SGu@{b$QD^%X2#cN5<0;rF*pQVq~hA)~4fPcRSm< zT(`(%Ds}vNTgI3Eg%KwQWc#Q0R#*XY%6!7n+#Rd6&q;{uvI+}G)NzUP_GA-lanNZ( z?GB7BH-8B&vr_^iZtFMQdSE_dwymTiFSm7PujDNGlA#i-!|2I+sweEV2T%cc^5r56 zdhgIubHBOHd@1mDY{}`#AE^NcLCnYGYWdtwbHu?<{GpXv=66UQnu_26^K>rI(pQxa zmWdfyYaYND2lJj!Wk7o$@+_QpEZyV^yJ2yO3O%k zmGkf?8F_hfgX^Q;KKU~C?b;(8rC&LKlR-V~K|13}768B==ncMjgU;WNZ>D4fry9sBF$1qiP!;3G0tAsYT z&?-o@@^+kz&RVa+rtRL|P|9ekz}WLyk!JDc(isL2^ciB`Onu|Zx|i|7`3gD(v2Dn+ zoN|R~hB}HIuxZKjH^k8M@cmnN2uHY$J0@67%@o!! zJm7$n71-pn3>Sy~4z)%G7QX|B?_O4VYu2AP=rXkKg2U6QAJyJ8Ytdg(5iJpXIi1@0 z@v_}(j=<+Nw2^{~lV_u>LXWkUSHyEFbQRy;3>f|2Ut`g)SH^qN>&?`+7NHbMBftT9TAQBs|Yulih}3`$#$%#DNU?Bp0*NQ_l^H@g&Iog zBaKkBs?B*TzyCR34wrf)Ri%WI83e{0lKgBJCkTv<4&Pky(}IOj5!;_fR1m&$sS7|U ze2Ng{S+q`Ppd`cDtInYZkGy(Pry3wBPWW^y=NQC>$zgG!xfs9x7mTc2)|^S!%_}k8 zpCv_e-nB?Ak*C78_4eSL@$`@Hj+ogEK{aIG)U^O?&3EGIowy1mJq@F{LgVtA)7LYx9dTftGYOPA=<>P)qUl=oad zYT-(f`gaHo6S1L&?&Go|K#9Qszk79Ncqvn`*l7=aW9lY&m6{~Fp>ZcFqh|Rk=;WA3 zX2-LeBqGuzBdfQKPq0))#Eodg`3N|Fc$Dt5w-uNIHIF$2%$8n?Ml!k~jaAKkFF3q_ z(so`p=-6nvw|#JWQfSDQ$#-@Fq_aT)JDp%o8<^a7>RTvv;^&8m&8(bZwRp87N`v`? z^^HpDoc7+`o#vfDylGV`aS;S||I1_kyzid5L#}zhlEBxIhs7mssa*r$q$1DQxv9j~ zJYc$6SaFD*rIshC@UC85>uG6Bp*!TF7iDe{<@wfkwAuQEw9`QIynJvVvJ2y-!^h~D zl);Re;no=x=hU*co#atPjJ^D9ucJiTx$S1XUi)?_7B+SrukBjrEm%R|$wCMLiP>p#Qs~jV|UhRroa} z_3nPNR*R&*P|)Xw5P9<6o11)M6Kg>@Wal=9KBA%QnSWh3udE8+>hd9AVPH2qZurj9 z?iQXxkD=lo%@}5R>h3PdRR`VdQAzHqj7STo{a3a2y!?a6TG1*Hq-Sag>I&tR`_CDO zTU&_EFeUFQxi%}+MEvbqb%gOwB-IhoIV}Kbfr39>^(bmLPy3^v8lq`y4(FfK1K8Og_XyTAjx4U%VXN7< z2x@vlcxnCn!gWy<(&p$3d!I{J7^A+lnDYYL#hHW@ZwPumBT`|v2Xa>LGL;cWQ!(KM z7ZpWwWaYtPY1ZJ*FZX~Q_;T1piji&p+`riA^o7+LUXT7zL5D-dJen`xf`A%y2m9A3 znDmu^Pdnzm@*v&C0#Ysd{(SOQ_dAEE)SU72o@J?JH(`x1B|c9 zu@OroCs@YHXe^w8pkLEGAsS?lo|OIX_AP2S8WZJRk3%ww-;8 zw|H0Sts#i9*J{_??%YPyN7;1=Qi<}YZrR(@xUbM>Hw)c?qxO4H zFf%IUBb(2e63Nwlz9y!u3_M<5wRaK^ zFX?DPn2!WV-*W*5e5+;aDz-IuN4*}mMf5QNp7tw)9mEZIC$$#{_%9xGA`NA}&tt|W zJ}a*I?uD{h-*ls(Zmyn)3fX%nWboIe(2LcLjK`H-s!zT#`aYe$YJ$*U*(Lo@UecQ= z&1UR*%{=f?;r+EfEh?#M(_r!G4AhnHU(czs-bTc~1qKp;9V27)8ZeG8NKtQg6nB-?#yh=V2ESmjALFw>XB7)(q7t7(^?KgG=<-VD zBIPiDYOIz_KT9@8W_*pOa^3Qi)In}h(wvnd^QvYl?)eAN0N=H-b0|+tz%ZM3uOuVg z4`jYz3oIgST`{O+(=lEm3mSO920;ze>Wh$ujZMHo<>S*myCqzDhQG(+tvVfL{B$)F zLifbiq}xzip82U3^bG?hGEhfcZy0`}t(F=N#{Y%=`oK!MNBUPABr3X#5u9>&^;Mup zr7V}f7$!sR4__5{!Qt$lHZ=iLX;tOkdp~@tbR$zo3x3Z$K!Gorm`7d~UUL~11!llo21G(>oP$m?wtKB#e@Bz>zi20m93y?~Jp zkdlJ`nPS4Hs1z1GmTdAw4=FI(q~12+_8Do(XzsqyBzy#Vk*Mg?{|g%^VQ#I7n`Lg4 zH&P*SloxH?&^PlL(;dxj|bAv!O>ana$JE#w>1thPI^>WP@&1sM(N|6H($> zN+g3*6sEJ5f^npauj1`u&28QCFM@xLqD()r?$mVduo;+tmb=$XHfEI%7I>P^Lgwr^ zPavs!tt%Fm0~mW`v96j;7qSv}Da)@Y$n%Hqk#6|j^#Lpp!+@IPFvCsqh!;ITNhKQn#SbT$ zwa@zjjo7&ol)6-Q)z2^gxC9RAwySPW8rmmFQFco<=eiaWipiP_v*4AbIqbt?-#@S( z$o!;syzQQf>tyJpcZ=#rIHq~z*Fu=enf+_g(lVMeB(SAv_MODQP^emdrHHA_G@xJT1wD(EXqi} zv=NCSu+DKdd!Ag|a)gI?3$`72)=w|G)yzI@MD(};;Sm3&0ZZl6!pv`}GD4~~F>?(f zY3_FiZHmA%gA-|KncGGIPWQ1d)i~+yT#M}2elM1$4Gsb)*ff?CVxzs2_4yIADBUbl zE7*5sOQI>^*X zx{msov+}1HX{RkDL;&H?lP70>8lmk9ctMmE?I2n^Q0i2r@3iW*k6nE@r_Fyv<;>^; zyO*kgf8pHUwUZ42I~Y-%W!7T1;oabrZ*ra9&lhTJl|MQVU&EO%C7Y}M^@Q;-7A|iqWE4nE+Vdr>`it?Bzs(Rjku(YYb!HUzu%{S z;XXdE`x@_ao~Lc+GIh37(U%Zx)Qmn00sDsiWNb;hT>D+Z|pfb7hEmxTrBgA zO|a_MP0>Zgzw~jD+RVE@lXyV>1eBn3F-`QSW{dsm6}?@fD?gKWbRbN@yN_?RsKh=T zR9E2Q&H52RXk23oY+v(1#Y{D08r!c-1ag@ge(y~II6meb(eJwq!?@4QJ1vH7yaM~J z-r>P+yyvm9_FN?;HAz((aK5&cGjr3f{%H$<0XK1u))dGXm+jflW_ts&L^^jvx3>YB znd~&zh%<)J#ym&zjZc=BWW=YnL)Oz~9mw}GYxzRqQ_=qeb=*=Jq#RdZ-pl+aC52h8 z7m!lE{;syIVVx~M<=Gz#l#4D7{7043I9B>W>9kS$pI&N|@Z>v5Aj{aZkvv z;K1B+mi@;wn!>#1C_?8p51hwtG2$m_F*YdoG6>b~K{>Zb9fU7ntnT`@Jq<<$w zQxEYOa9(=1C-KD(nuTP?xQ0Q$CYkA$DFM#k&*yJvej8rcK7-M6uVQnVy~T@r<(EM# z+$XhHnPsQ&K7t6BVN8miN>_SxrpZCPV6bwUjnAL^zx#cKdL0}juTgWC>ec0azn^;g zDsbV>>(LNuRboymL1g%D-lxlAF6qjq>oYyhz|k9|-)E>#L5?E|-QP&Lx`F^Q(`qO7 zr=3`V&L)O^Zkl1o8b8D?_PjUp3ykqaO(CYrte&*q9Ami~QqRpibS^M5({dyI0ek2o zH~(|=t+<)diqx$a&+R0Q#P(-@6b&MKAeO`j_kkDH3x-*hP25gOKx_9ddG__O(*3h4 zJ?54*x2@3aU!;@qO-@uBQz2h?+`|t+y6q#mg z|3p?@oBJvpS{g~(3Mj?I2GH+Ymrf|C0e$SR2iM>b8q?zzwSm<)YjJEyPIpSDu#jm(&o8+a&9*@W7>3`e__mhs753gf9)HkYteia zWKL0BsSyzf&;693u}eB%PY%ZbRkT?zw+!Exyw zwV*@bAa$3QJnxW-`pQ>1mt(OZ7K><=(DNvfdgWLhv&LvDzOIteD1*hT*YEk~g^+uC z?9#B(Nl$#_dK8bj^Aox~^Zagr16gU15pVg{~*4PjmENiLX_-H@k z@hq5MizrAn`;$vB5!LnrzK3_gMws4bj9=4cQ8hM1p6n+*ysbs2_K5|#Y~I-Kq*SKsA~OEIf=6j*?md zti|RB*-RPaO+r}DZ?RGQlePt(#~L@f`X_+1d{X%I+#2(1nu7otZ#l_$ec@=9P-GDl z4wsw2@bKQlXD26cr(v_Gd3MJhRGI!W_U{a=9mr!KE-Xt+8NB^f_VsN*L!!B`!tIQ2 zC$(8$meet)>;4B~X>*`khzRd`rZOQvLZ_9xGdO4 zx^y~u5q8f&CTj#;+QxOm(B8}|9t2sze{Q#tsUFoY*39G<6oG%{{PW2*hCG06<*vN{ z8h8LY-<-B1NT-=grULJ975sXt8I*;ro&Q2^8L)q8<8FcSY$rXrzp?e4<7+^Tr>a?j zQnf)U-R>fU^=Ol|_OqZx-yyfeprlNjT{J`Yz)zO9mAh2w_2bI{3QtNUOm!#! zElrkI9@^aRt}d@0>Su0CyXuhj=6euBe3gUfcMU2A_E$3!UqRQA7p*J&Z%W?SqU#W1 zr&0C#Vp^(&uz=n`H8?&Rxn5v~h4nHID22yZRFFLGJ%7i*nLH}P)t=;&60J*t##8bO z^|<~`bHY`tysJ7s?*5}{{LB^xuM@FGufHInagXk#*%01m97&4TN{7e*30?6z>U zUy104DXLB$X6uO(!8hX%kWfr&o|!>v+M&`d3KI%r_FyhSx)8KSjWgg!a3*b#Wg{2C z@N?77DwR~A77+UqDKc*;e5&c)Jl)Pi0D~=xsDW_^af!A9 z=?VYmr=DTDrm}Oeu`84Y4qiim7nYivv)LJd$K$D!pJ-^BL~!F<<0H{DY-u%YzD?$RIzML2$PlxlM}T&-FGaI@)rr9*|IJDAOFBL$u$-eTpIji+pqEre8 zp^dc?2BCPD(;_CV8?g)N?8N))?g9QT3py4%|0^eHV?{y;T78P8@8IR>QeN)oR$DrF>y?G^Z7 zwH5suK(+}wXUa~W-WwCos`>>7L)CJ%t=q`j09C_`_yl)N>{>)UR88TqAS=Vwjn)vc z$ILSNFD{$KmcG4!m)G z3^jWuZ`;sO>CKru8B0t|-mU1EcyP2du^ORjB^=QGH+_F^k=a8NF6lD-t^#wV7wh>> z^E%x0LaUtj1z2_Z`H}seMK*A3J5I}@%rnr&EMggcdl@N=Ty8A`xvaFTJf>-xHQUU6 zRb$*1mY@~Fo-CT-}q*mZAs{KSiK-n3n7 zg%NnLCxfkK#Dn|X&ALV4i!UoT8h`%}B;CK*Dk>e<^V?hJwnvSKPNv!arjFaL-gD%( zlix|h$HZ7*#&|~9^p^2XT@0PKqlhKgisk2{7uRSn5fmLxzgMgOHC(=illr0rOn)}T z)jml+t`i)0hvT)_n{@e@&6~r-IldACS6&?R-qeeenAchK9s)kCLtiaMWnt0T}K>=(K zhN}QSRh<1~zd_8`pT`XdQZ+BfV1H3IjqP+GX;MxKWn`&v49R|2qax&1PFuCnqUFmj z1~~W8`OI`z+0BCygWS&O%`vZ{?7B7H$^sq@-dQ9RXGGUf((Vz`z-@frdO?%C7EnwQ&RWOJB3c^rp2Rex!-lwj zi{c4ATv4pRZk1ziA`E+NhFO6^EK-pGErXg*Y!zyv;QTdRd?H zIrB3@Do>XX)-CIPLS>fI4g=JesSITT&NKw61^JIFLo`K}<_DxkTwV{f_<6mbKSPTr z_A^js=VtsDgSG3!AO7otC9d|L98zSXQAsyCl8f!G7%g_gfy0BQL5|k^+KxxqpbqGg zBIdM+jD!05mI{a*t7a79?vKxi?Xw@RC{sx0%~J;qRBytz4Gc1!EVV=Nv7ARln*^nw zyf0TBMi+UN@!=*<%k&;=0ZlN~&5~@4=KwPLzL{i2Gg+j?FAnvqF`li5w_jerQL5Bs zL9ACTDWTOhElTO8N;?Bq!|LaI+GiLCe)<)fV+g68H>5YJk5GgVX z;%M`zoGHRY-u09%!kti(XNv=n=jR>znstED%23hllxE1kRYq^SFEmk%KR({|YxlD} zL2PTE+v4VTov1?pQTgR#_@;GJP&vw1=AHhN?M!eeJ(bhfC)qQNU0Ae!Dj~*5&E(AV zKj5C>_4hzhXaS3Qb<*%_O2F*2v-Nw66mTX#&)%EcbGtjV(2oF3d(=Lfc`T7}@@M6% zKzyjtqRPXI!FlYapMtn6OrUQ|HA5^Vr#ZnV0`tAemb>wDT|C|;U+orx9DcZ|zemkx z9hlpxv7WMvmKuHtc)$r7D>pJd%~EkuWx(_fFrs7+G959g3=05&SNE4zQg%}t-~aNwjAXJ0od`Ijxh?0y9HtU8x= zYX77|Qm5|sRGo@BCZ@Iu&D(-jN)$=0(l>Vw48vBR9hc`jzoe${#vqhzmDlmlC1n_Z zvB$Rz(3DJHu!51~0K_)oS)P7^CgWjj#Lq{T6!fhTzZ=O*(6t6ss|XTKGV7Q%@Xxhf zd(_KwTGeEez1JjB{c(taEW-*Rw3WTZRB$n8s4yreRoe({7DBV3(&9C3mY~CK`UG}f}Ey7TecjMN_t^AQE<-dDwEc5^V<2|G_FKRdcI#W!@zHRV6Uw`rK zwHqC{LR$W;fhGeRvge{;K=9rm=t5y0pUJ46 z$P%Fm585}+!N%gl3jyhzU>9oZ78DbhbojdTaVm#4Mty@tk2<-(qN%-djW=4)Cl2Q= zpCh8g(TD7%gPn5kMTveh1KOcOwR5CI zY-VKEWpNFhXev1VNzoHlB2P6BAHe6hpq+&VI>QBWwd&|#m|eaLt4wd%#(Uk|k28OK zFHyegfPN;OowX%GZ>zjVJT5EZHQ=Jn!8@mAPkJP3idXfZs~EOZzy2uoTa|{Tlrkz! zbv57lXXN>Pg)2ef2^?HZg9FjXgO%RDGV~tDQVi^Mzp$te4jq@}T1u^6;$D5d(5+^8 z%zSfFkL-!j=c8gmD*w!TB%1npv6L6mUzh?!P}_a>{F2Foeka$O3KMIZxiJTExp-2| zl{RYjM%7eL6f9sj(QO{)i+ zZsDkmMrT11w|qZY_|FcLIw#ioDOqK;bFqNw!F3nIxkJmTETJ*@!^x zaO?9Go!N%2C2})8tCn-QWxguJL^st2COLI9rf%65-c0P+{e+H-iy6D3xdno+S_nug zQV5Mj`vof6ddUfo(+PASiJC?T;KrOhwQ4q}J>~26%9|AA)Bmu~R9F@hHKdsEKI`}YQm#5omyq-eRsG8?)uGJtmBXEX10 zjZj6a3Saxi&PE1LsIPHWNUZnhep< zuDt~FZMF%~!*Gf_{c1L6+h141MHm_=JPvxBM2!dCc5LAsr>}}xmu%>Ib;)R%uT$AZ zugRAJB31;=TfBu5TVGs!s)xDoH!h>NC5HAmP19@(0B<7coZsBEHYRncP?v@|cQo7~ zAapPAu~cpSR>`iDo02+>GY0aR-zWzjt9JdrngXu!M@v8LuDF_-v+2uQBwNc~H8%5r zzbdwEUQ?MUG3KO0m>phWQ8dpv7`Il3m$24CxkBy}|K$WIM99Qa`;5!>%O*KGap#tW z_S<5q*1H0WUje^I@WNq~7xL-G!a?+xDwisAAMFA&WxemT^f1#8(`IxZ0a}IPMi(z$N_gZ;>H;*n_H%>T%*m$}Fspi|-Juz`vC61X0^y z%emq&={2V259Y*k8V1lJ+w!*FX#FFoAf?)!{Q0}i|0YhfQwCu86r+*U{eDCRM>q9Z zM3J^Q6oMTl@Fi|QGbcKcVcX?DIewy)!+1MsmdJRDbFUBvO ztQ?iJv377|{MD|pZxIUPnU#OO>xx)?qM|cZ((D#*ENzEv-SC!lA1P>$1mxbwZ@Y0z zr61&MG`eI51o3o~4|E@tai;&N5=2ry1?xbYXM8_NDxrXnzS3m%#y+eSE4^Y;nUYY7w@%ohLph}!BwL~f7B4aG2|3U^ct3^ z9{X0&Aiw;9DXA!RlSt_k{^YItmyRAr!RL9+7kw3r!c3t;)Bxol!%f`e3*JmD_-3O- zjp?^=eB7DUM=j?qI9Hp9;k95rJ{3iCsYjwC4fz94i?F0ZsNDX|G43zAOmh~?ft$Jq zjSenX$EDCAjI-H9GtMT`J%@L$swe1Y#bPl59APFsJaAk%skFfMpF{T8`=#pWcUL$Z zp0@oz&{pM%VoGYTl342iNPzN~o#EC-lyc`9`nMHRe5R85GQZxKowdcgik|mraosgJ zVi24SPCRKj7GMf;{ttBL9UHyVNWT<{NMnJtpR=s1Dx|^har3A&Xh3$ssY66ggQY7z zKs{blTDiQX#6O)I0$PK)eLiq5)CfRVn(vIRu!8~oa{Y(D^Jkm4Q|-D` zenkOF-wWN9624}1R;5oOmn*>jh_5GQVM=RWJ82y$MsmE;1x%PZp0%{6)8JdF0->j^4_h*LtmBfpStV@ zSNZh5w{&H5`waG<@;g#J2J>(atm#gvQiRiYK{y2WfU|M#n}1zy`f{Q&HwlA1uT zf;z6{wI^ykFKY!I81d|%P3dsoqc3F47ky>qXgJoMbk6vbsf>))Mm;zf&OZcGr!`JX ztqbtW`yt3Hs(Je9j_D3{lzg6|8bCMx`GT!YU5bil|89&clj;Gs^{7@_wsv$JH@TbC zDm+M&(k2HBN!m~36!HT*PBly_$=V)DfGcW3H+M}r(muz$dN!3652t>;IJ>U$XOq2I zWRb`hF-fw`12!*uoB|ULj99RkC*S#KBzN#;o~urF`;nQIrB1km)|DL%XgSQm0-Z&! zjv1tuz^}g@pHF|zK4{MUc^ys-RU5A2xvSfApw1Uz_JGV^_+7(T0l9KH#HFGy9JU(MH8Ge7rVE+k-LxN&iNA^KpI25^*trx`kZ^C&;JLC z$Zk$geWSm%wyg87Ri#Zz@K2z#8&x&+VQ#@Fi+x5`M{oTxJchaX696ChS@$2|J z_khVG@dQp#OiD%PuL9z`++z*2Qxvl7jbR`T9BGN0(*+hSzQ?oG1_b=<8@>?5tbo9A zQJxz-umIG2%`DfB#_AK0AH0@Jgc2*mx@IlG<4bYT6CV(${PM@(Mw#bDeZQHcJhiHeB9Z+`a z=o5BsEBG2x!=StxQUv1~2RSZfH)sb#$sufC91Qo~^la)SxFU9k5Ct1533s5(@egud zZqD8)muqX`dF6Ghe}aV$m|&AR{@u_CORIw~AMUyF))_u{7%bijxr7!QR@yB$eV8aY zAgSO?o#J&9Kr>v5`8%Uma)FgRzfu%q`T+P>{=T1`TtcPJxDz-u;5U8Q*cKz2pEAx_ z2Lbo;d-C5CGH|*v%+fRa`P_K_nM`##FwD8WNfeSANN!UJG>yy>uJ3TJ4rAB~7bm{2 zTYSOD^+F{KMiO#as&H1aQj^}yhE;oB?>p2U!-nGTu4ycp?b8@TPbElnSQqj(i5!Wme^^dQ;gkR-jA8%e}s->ac-n`5IHa$EK zsD;Z!mIGQr3iVQ&x1^p@HxjOFg`01BW#o{cEuCV*#5dNydBpWX9&k8>{ZTIZ#0=!k2Rk&B_}`V6d9h*cBW5*Qvmm z=dq+~TrYSEM%*Z2@^ktct+xuH+~^3clqXu(6s#?;GT~SEZsufYL+D_u5O>ab6LQX1 zhwN{!3Cd&=kG<0S~XMcAKcbUuda@3FqGpXwtZ zX7+Tt-I_~FRP5)+IMN?a*rJH=2!d}e)-Yl=b1W!uGF+z6)}MZmLg`s{_P=wqE?BJR z|NiMCF^Suw$(;N7I9pCZkp41+0$-}kDzfZOqXjSV@E}i1a%-~As>H3V(eZVEz7XlE z?pjP{*lX_`e%*c`Y0uyt2(%QdL@En((nFAp%rkv|*0j_-()Ax#OGAS|@*x6dq$xTw zwz!#6KR9#*5YSsWFf45XHuuP&{%IN%5xl8`t`c!*6^=dG)~$V`G1xthM#|;!nW{ey#X*r;A8f+ z(D~IA^SC^t-r~q!_i~i=yRLy$i`DU|kiZd_U@rWW4JSq^_GE`~r4_-w(q!96f>cyt zBD(`qfeH34zILx6xE6>CeaLn3#SBCG=qkz*wj4+Jpg-9pfprI5d!%zt`QNmDx?pgb z>Jc4NnSgM8Ui(tlqy6+_Ha(mSz-H1Vs@WvnzvcKEED-uk@%3Jo&Aw*AJVf@1-Qh%b zF3iGIkGtDRW0|#D`yA?)=sdrS)1sawH1>l>oEVH1uMTlto%#d}X{T#JBW%|k48tx4 z<_R?}60xCxqU^!^K>e*_?#CWJ6mcCNlAqq+8-|ESzp8AlG}`o?c+{EnHqc?*MPFkw z9FpjbWrxl!CAQ`_yc#ERSz%W#1u6V*Dla`~r5(C_uzZ-*Bvu(DTnSXrsls3AYbak- zMfHqwM_gN?SaMOc%7aMr#lrHvYR1Ii|KvYb^n_7r*Q|tEhbe8!fo44R_SuHw=?EGK z)!~!llcJHZ#KR{(Dcm3#6@WNydio|eYclgJ5&o)uLCvOACd`odaTO~|Xu?+&yLdA5 z0qImHw5bp4_Hc>rpbZ}D9_W-R5AAZZi2Bm~sH^{jlD^bev&|DX??O$fXQ9#0Qq8QFibhB6 z*rX6hp33K>sfi_n#rKkff1Ul*OBtmJVJco}9ksH25*gA+P)(@fjUzLE0_?*xACxCO zPTi*w1$}XXpa76s2*gru$JCZ9fE5bIvZ^k4LGr%a`0stZ@AF%k_r|nv%LIh9d8A z>%nuBwR#!D%BH~fE=3@A^=!)`55C4T?rrETp}++wm(J;tkT?Q$lt!tp!Y#plwM7*= zu~muCtq3=Xu{RRyk$b2CVl;BQPww_3g}EdkT;d0)Pd_-=UGsE0IVU3J8-ouvl=?&U zLw9SI8R3*VH7$EyG03hpmZqZ4i8|&pLIq_i(d$c{-!FCVR%7m`Nub;5>hcE(UHU;a z@-uIs*s?Xz6}bEari6~Oc(3Q|5IsFibY4?I^OX#B&G3|^#&2EL=8)aBP_R<#mMHY* zku$EVxH^)xFxE?THMNohq`4gKW z%UOku1kJ>9fQ25}>Q7B!^Rx3KF<(1Xg}hAFrSvcy;w}eM6<6XGt03to^$jUY_=jRs z&$xeE)WoMr=E{*(JYK3qVQ*!XPT3_-|EF)k#*MPJ!&Jan6?pLZ`jCx9hD*@p9y<)g z(${WU;nJ#qTgD}v`6Ueq$4>ZBd1_Xf4;#Ho=%(S``h9-$kF0N6n2t7e%uUi>eLo&3 zwp~mZ0D9eI*W>h+@Z(3obFNQXZI(&uh$M?qDL_oM>3HPp186$bzMA23PwbH zmT8q8+<#U^&?gtq7eZ1LS!7V>rhm#Z8Ak@5<&+lR`}k>SP_Wh1sw9l-mLOX9@l@m8 z2H;@NrHjJ++)}*mkQ|3Aa_$TZp4jeuAwNSrt}vUgimpRAL6h2m0>sbFj z78pZJS*e>CM{Yo)^)}J4}@uB(!S(xbA+tpf&yTHI{!M#2wV+c z_nbQyR2)9ciCe$1)4f%6)c+uib!p-#ZH?q}#mFz|W-mCBxfJ@U`k`M=W~>UD9z=pq z_$|COE>8Wi+=3V!EOP}IgoEL?5s)a9g%d~J;NBNk^E5&J>P;&R&7O=*J{1lA#_%{B zGEiD;CJVImz3vF^n}usGf_XVW8HMh97fxvXHxvgjCzbjCW;hB6#wGW*B1@hR=v~qe zbI{u-=poebe;!@F?mq&&+C6Po`^z zw}zWiBFUX(aR&-u>41T}VG1XYL;~0_pP*_T+|$CQTZrv3 z(?fQSHSIk;$WPrO2u?d}D`Mgz_Cb=78Mbp1JQ_l$+L4{J-~c3AUvOii%HU%76@lCU z3B%`Fb=ac%UEg%Jy=@m!Foq9VW6m5;&SRD z>upcR*1&Djbi810F9Ag$oAB5Tn{eXB4%yT<2fUIwwQb~3L&6E6V?Mcqn&8`m0=Kq_ zH_}AM>f(iMA<}0rn!}BcT*LB!aj#CBUt5Vw(*^K2Qfk9JTq)~4esL<^BTYFz`{eLF zW0@@ljvl+9BRO_;jX;9N-b7g}Js_8@`Eh<`N)8+{y=-Ug_ zBk@P2Rp0gfsoB?crQ>*1#;l8+9b6=H_zqFDf_Q+RUUtbZM{O0m4;cmfi35YUE z+!*z9YNZ#?inYh$CdqF91Bn_*kY!nY9NelZbYOnLaf98={i+$FKgqtHK-lQH$oGSU zr*`<3&zzrHZd5+vu?8?Okj3wtj6j#^U-tatHB~6B?B5~1Ce?i&j4X@-M~Kxk zkhh8r{1ANMgbeD4Ud|*o0|3EjMFOZ8R0dxF`5PCTyqgP&iOM~m_{Mkbq zlNQJo?*U&O?Fg33PwsP*U!GFnuqJA+6uN< zfO(40mGOdU_!Pr%OK*#Sdtt6YT5XB26j?paz8G%Wc88$z&z+N&TkLy`U?n4sqoPn! zr4)0=&w=fk22Xj3S3t>K0|zkW%V6n|r-MhO)X}T#t#Oz%%~(S3Tb2aQ8WpY|3= znSYvt1JA|NoP^n}I%4YcQmU!zne&%{Pc{6T(GCTPC3qqdacXcwVg)8bI4fo3Y zd)_sxEmu=Wtrp;kPeqsU@-Un6JT>KNUP(;}F#7gbGOs;2e2n=`FD+;fyw2R-;+I~O z$)xKh_=GwJdyFX_ai35gynH3(S(@&&3WViDjcEAhM5SF=FeAP5!Rj(glxG(z zs-iEab}TR4B>bVy|464{+|5^!mt`tqbr*>L-WKvl8vOJmjw!#BeqC(+Cc4d9a?M>8 zMw0_KjhW}%xgGN!uWg$k4wBBcdf*@M?x zidGdq-7Qp}qO673UN;MwJic?M+QRScAcDvz-2$-~d1?8u+du09leAR1mMC-T?#%yP z&)2mZAXd67J5SZz3xiCq0N}>-Ktjd|g&!3Y8pNwNrgUhK@n$j0s(ET*be~tC zi}ilA4uo|k&5)#=c~B(&@QO??&EdJlR9SJTYF@##clYcST`eilt(7=j=(gob0C0%& zS8ZG-1?>gOxdJrHAM(efS*jI>xbGpqdb0-P8I= zCjZI@spV9&0TEGZ-&4`hF^|=3(y)O&U3-R~bSv9K1&CY870~Y#1$QommsO{n7(yt# zwDT@!Capz!-oTwS^R=2$uBm$9b!$#ol2JZ5HuO$|p!nHiY26i-52C-nRQCTNmRj0y zI=39m(d%B!Q7iuuCA$`DFWGv_t8dVHUJI((@grL5$W=M)(O^3p#_sWrxClaiFu;Ma z?Yz>)`$0J4o^Cr&FGBq?oGp!(6~!dU zpA;Aeq3P^Tp4O(XugPf5e?bx#I_`%y9VJS=^+5)%DX37oRaPlFy$6kG3 zby@jQM2PQeO>Y_TOxaKe3;t0NGaN8x3EsC~qMWVQ$TWCNq29L@9e^!-dm3=+tDmh~ zDp|AmKHPpJy10iobosZWak+R56WVxsnaqBV((!bw=2b@~@726Q6{O`U$}tvhiN-;{ zbUZbaoM0UU10%v4-3H&X@eVs82rHLL zY?E`c_Q)fxwJV!T<(_Ya{;8wJZkn|bAxE2iVqxft?{@M5=1i$)v;RdDekjig^6b)1 z-%mcHYNp_UccsEEDfur9=uhq{hALu8h@6t5Wcm`O4fXzOae3p#X%d69v6L1;6M+ab z`Rdl^LoI7!?c8Tr#QDU{17|b17(hg}sQC^IrssWieR!(2ZqQ*8CIK7FqQ^@GuMw6HT&iXIeV))F&Y8h%h4 zSid2nlolL%+$a6?QTX}KdkZ#+?1R)Ct*bGlq$)?=({c-?#O~#wx7tY?k+-3`#_@J> zn7H|37k+D3C*DJvbsR5n7rCIaU3d6&MMdP57mSA)_ciPMd&hqvO3k<4*;(gS&`luB z=BpE#;$ZSSPbv&DlD8{v1Q@Tejs^r1JW~xlE&9t$la9 z9C_b;vx4%B2TqWh!N5Q|$(_QT)hj8(+g6Gil1LB~;Sr1tPx_hmfDlLKVdGole7AbL zM*8m^GKlVE?VVzPQL&jur2xxrk^;IC>*>2buj&qyG9ezGjM4iTRKl`kcP!FZ_snL0 zRA{)hh*CyP<1nwfR8V*KNAg!B#Q$9;rG)pJM zlkq8*P?gOINE}xFuRz9~Ha(a67GiJEG6n_c7S(u%278p9m8ai6ii0;;TmQYcnOqgx zFLwR=j0f9G^Y``CerWa0G<}mjJ7GXjJAGclTYg#GHy*Sa3x-*AZed%+aMEdW=TGIn zRkJ>*WJZUiwYor}0TF2>kP|{{&3FvKV8T~t61NPj)ZfYPXXV?0Yza-G`T-9km%}X- z+zasSX|NdVj=a~$6sn=KcFUxT6e56NmZ%$`IW&>Epe9Fok2Z}LeOf}8_J-I+n4Mly zJn%fOo15JT&vu(0asw-afFPkH{`Z%5?p(4>!??G2q2S(^Y#pJ>KefLDF4EZxPU)27 zRy%5K#JEPSiu@B>lcJMBnrU~<9Q+lv=lGvyZvWlATZLiSp{RvKt2XZT%?i^C7_#zI z%{nH4M&vooW13@GCJOV)jw|j1kBSrLr0C5U(jnf3HIj6jMc4I=-hnP6uQ;q(DXl>? zOPK5;Ir@d7N=V=E57sxm>n{$W%)*NU^$yzSrnYoH@cL^tMs~RKl~=Kj29jp)x<=h6 zWHSxAa!1wB^XhJYO>*9c0nBRcist;PXTa>(2U7}8kqPI(KD&;+D`dFRxXOXmr7o+L z>ttCthPpX$i$U-!6BeGX88W4`oU}YE{&FHgT1m6Uj8b8C1Y5obvSV{pKA=y#s`)2H z$C8^H(#^}qAnGw0_0gP%A?u~uYJeX8T=O9>*k2=s*}_{b6DkCRlHvVSn^q7tFUqg7 zs}E98O2}Otvw=VKH6um?jMJuQ}>*7t!?AiYrtetwLTisU3Q`fx9ee$S;T2kFdrPO-)NYJ zlat#LLVX-4)wePV9T@qSPXx~?KTD1#xqf=dpfwm-SmKoXmF`O z^_6K4Ffd&n;dLvt4XEM(^;^7#bm;g7_IHiu3Xk0P=Hh~FwV*F)T4gi>@@q68i}yh3 zx~{VFL`L?e6sB5S^QBuMX|ld9L!%dU{vBxatu_{7P@iLVEqMIgs+dCJ8hm_=E>BPy zSK$gi#Xt@l<+WuO?i@K5|BI193SrTD8VP4%%a_70dCTn4#lT!lds|Iqe_5sAA_!IP zldpI8{u6N%*BD@4pq{D@6D=CyrjCD{(JF}fqc{m3DUg}W=<8wWdFhjux@i_R_N33a zA{NB0oHmzK{`hJ4q%55Gae$L1-eLL4rpf!Np~ltvfw~pab*~d)z_|p-;bA=fcqW!Y zf|urMy~JsDSMazEv`edDR*>rVmdq*~PNAq~JdiAKj5X>^7^F@!bRPdc0LiP?nk}$p z9&#I?8sZ-6_Z%ITt>Z|Gd%dY$O%DEdDufEw3)i)WQa*$`3R}~Q8a=L#?5J%}xwnWR z_aceVSNZ`?1JLrnZfQ8|$MBTV{MhlTQiuyBDPP!QZ2>pPi>XlxCmmX6R~w`Z*H|Gf zku>bXNjYJR10LeM7@UT{3;n4r!%zPN3TKPRQ)PE@^{w(ay#3!5%oaT>`*>sw>(AIDTS|L1mpi`R{-JSsj{Ul6hhtK(h zf1rJ528Al884v=%TC>d$1TG81>;KWs;C~zi_m){&`?PJTtg}=ZzOfoT_5PmYr_)#i z3*>?aYpfazTcbPLOb%9N67@%sPuh4_hev}C$ECk+n%4-)`iZOB;hCOBT0xVS5>mp` zjq*6_qf3RlqC@DK9Mg3>A}d?}x>tLGiQd`cV>f~BbkZbTY$nE66#NK<6$yz?q?{H9@|s$XGVaF*r879$F%j$zC;xzBGc7pTy_&zct*<-r2)==l-;#@R-D4g4E&- ze9IEKV)^E{eNK9gOKIP(Z#-$7zf+xSFCCYmlyEI(0D0$~Uc1*mO~g^DaE11D;34g( zgSir`t9)@f?zyF_g_S`EAc*0=SKdgxs$2xW>4+9sa~y=nMg=~2!%jLJTn!nRNuS-@ ziLVNhS*yp9bq6)XVpVwOs~7b5uY^T|`82aD{3PXVYyP^u*RxBR-?Kzl=s9BpKX*Eg z`AZaad9lqor_QaOUmxdK!>vPv{e$xUH@lNh%0w9|NFyl_Y*XRodRPN?Zcps6iC5Jn zD#D7?K@pVv&Y~bf6o|ja`D&d8soLAAwTf;r6SL=d2aQXItn3H%x!8Mtb0-7m0_h1- z>ynrK@bjX^t22R|VwW8VFQ@^AX?|6hgB1PBw(W;7pxGhW!9#T?|5ykPBt{4;(E3pq%=mpI758~IP7EnQ`(mQkb}p!YB&vF!sb_x zHgr!a?*r+}Duc|Qr#>hfcg|{U7I(gZcnnjK!-(7WX~d#mhHhi0apr76r|dCx+MUvzu4pU^V zfrIyRepajhq88VMw?IzTn@s^qNBjESDvg11)h1DVApU=#_fZ?`kz*$^?STC0b6|4)9z4d4BpFyg>+kVF zQ<8=HVH~H0dqb;eyhN&qU&IP>cRp^gqbb0Ah^ zO3-6V18a}b%;PX=dhdakg|1Y5(snTAaU$?0HX0F~4rvaC+@>b(&ylq=iZBtGf9@kb zA7YhaVdRPxvvdw_^qH31sSPv5QH8%Rc^J~n0XVPX6+;&@lG;B zt!&CvO6cXSEt6)NMA=J|Ly0gFqZR_hzbXp4IPqX{0azZLKc);0a`Pt7gz)8tD|#A> z%1l7!$HeEqX;5rI!_-6*ERAu|rc1YRfE_e}t8qw=g;}N>Vpj^Q`2AM#gY1vBS-o@0 zb}_OKzaf1*o_hh*R!P51(PsDD6WA!gejEMbr@8u1v{NL2vf9fcsur^B_Z;4naoK?i z_m#NOL>TO}I(r*&zmcg;My{?F%;n3GYHFp*FBYvPx7n<7{fHbi;_S@X`7at90*I9o%d95o@9U{wMxgqNjRrXsWn}p`u~0hx*uBA^cs? zze=YK-kUY!3>dMT6G>aC6|3!moUuT@)s;hR4K{caOLmiR$$ryU}@jtxW&D zwo>?6Ki-4v^>M$*iwx!@ZT{>`;Fptva(}Mg<4mJ{F}?odnY^=3jiVqp!W%g9mD8{0 ze=^m{KjGJZrDNPMSJd>cs%z>Js96VYn<5~VKhBQcxVk1KLKorb9ZU0*wl~T9aEGTc zWTz~r#SJb)Z0cO2G0sr;rPe<(mBJaI*cuI*D&s^?7tb|Ers}j_?xe_p(gHl*zH7a% z#tghoiib&*^eiJuJ&E{J-ExblHb4n{*}uK|hL?m5y6MGNqbv>`;@~Pyd3$vhE>`;7G!an z2m0JaFYfA@w8{(;o2M#=zb*su8kb!}eMYIxj|ws#i$yAsGooFBL>)o?l%VOWUVPiv zQ8W5WWCM-8N8;hbd3OHk2M+=rHxt>g@Y7teXGt`Q#qY~L9h@o*_mKe`xX{*Tt%w>Kv_~~pDKS*xTuySK5|zi-P=;N(w!(fMkOOx0LQy9Z=<_Bb1pgskI>&Mk7^gq%*GSvZ z_~MMDfbOB4i}|cN*(EAwJ*6I~&taUiV($`uli3M<)#3)y^AzthQMXatt?|-lxil4< zwtLZeoozAy!b@*b!;@;Dx>^e>MV^T@D_N(`v#bS1XJsg>+f%7$_(w16m2>8CH%a)W z7!GZgxsCZ$b(colgXqZ_rDkVwy=;FAqo_2d`p%%5%RG*B9%4f)>sM;1_8k4ZRSsob zDRJvJ8UjfhM^1W$1Qs>UsSP}avD3Of{@3qx*=FqyUALio7bZI0$qh4zUrxb`0U73> z{CsLHl~u$UjeWS#k@Inpp%x~I@x83_DSXfoOKh%aR#ZRdcCQb3##sD;D7HAChEQ{$ zD{vIy{zlOh%Dfavm@5cw(xkQG&Ml4mKAcuY1SZDw2GN;^N(PFjETx_5QL{QgduncX zl>5S~eUtW^jSy~L%!FqjHDSts#X_C zKQtOY6B@ghl9)8EM3M|mjzGP_JAWQLy7f3rYQ^2nZb!2#CoKcu-p%wsaq!t09H z`&ISbLtm%9>_j6cB)1fNn^#UbJKnPON=;U1LxP-uSw&y9Yba^}8QJ~LPY1`Gb7{B1 zygYAuh};o@XT^d*)(*886=}k*)_%srd!uFyT}Kpj9w>UxfYR$?O^~Y&4qAOl&(zPj zRKQ;~eJRpUx!S{fQiPR4mm*}TkA*_?e%GZ1Qo-dqK)OyHb^>Rd9pkKhTS2x$|A4vC*n<4}&y{IHyl}i1&xRH@^ja z&G=Dk=;_@I!GyFKVqFa{u59Fd z@?^}n?n?WJX>{3}Y&A1_cB(4QKXg<30ixCz8d6Agcinr@e(OeWLqYADNUjtfxl~cP zW)ea|cDHkMt_`t0eTBQ#n0G--TbXpjX=t#l{3wOr4 zI<-6J3LEd~Q5xziyX31v4KGSeL_T<)KF67sSr5f(4dT~Mts8)%`|vB+mABE@1IO{d zVDdH_Q_-%$p>hpi9zhH>9HckV409D~SK{^$NO^ak$#qOD~G05!3AddXs(*_&>y zsE_PU<%dvp_QNbFdRl}J#NaftjFcnZ;|C4PelwwN6-w|}AvO+w-p4Mg$;b^o zS5wTNvoD9)u^uy5&2gf;(r@VoFX}G>D*5xs!qwzf&1-%NP$k zcqVpm5XO(V%>J0;S?6KPWaAV*L&VFc4nddsq#gk!N|)rUh)zBx`q0PhiWBUisSIWg zUsM2}7!~)08DBj$E&SMIM(T;?5QNr~Jow3q#leBbQC;p1p!JJ@=Zxkq3yOy6Qky;> zHn&LWAEo4lqwd&aU$gMySCF`w)uspKjDoFC)z+zqVAg?MT{rDx`cE_*gya0|j49xXOX8k<;a7UL`1L^}lElF0ryAccP zSK|G4L(1t31E)AW5^Y1Wn}JWW(>AQ-*AAH;(3#Hvcnv%pK}_U<14%nM-7~+XPZzJ& zi@eI6E}yN1m~#<`xV)UvldTQ5|LZ&6Fx?_FJe^}pvSeP3h+&Ry+Fl%a zKplVnv6--Aec-M&VEirFguK&AbZYwO!1z7@;o zqY-jZpp78=$G`JTR+G`5ox8$LOc%{U^1$vkfAW6&*lc^y#4ZjC%ZEKY%1e%*(5#-| z6$n+Illa~+3PkN6Tx5ak1PD?-$#IPmVQ+cnB?!yOY*_pjJBy51o&Q?r8lO?(DdKg!JHcrQ_ zkg7GZopj6)5tK0a*Y{#Lxw!RI$Q4Oe6+2;s|HBu4ox-2&zH;*Ej$WBpd`uqd7NcN2 zy$CIg=_uDYjTeWZGqTiLDQKd)MY;amOOrR}thjc~7`u!d0E#l=P(`2Cn*YJIWN~|( z_^oe2AuhUcqpd=C3f0gMxE8gvJbu@XP5IM4 zb!QO4X?CVW1OJA(!dR^u5Kh|bl~$K8yL*dUED!X?BER<}bsFzoR$9vMBFpx0 zrHKE5DlOCQRGBx#K)nZfB|ZICJ`ZGYH~~AP5)Tc?PSp<< z>f5<&;&TYzo+1cmlXMpGG}fMO7Q*D@8s1U)({r2ZTEDx!d}P-UrPP!|3iqn~N>(#I zi0&c8yayrd%i&k0;b&@UYDO22*CPH=XWcc)FGxJazfdF*o)3N#pP1^9s6xT%hPY_x zj@NQqV~b3PiBb`2`b3o@vSG%8xy46`QF2{+O5DZQDi^+7U;`g{gsv4B7rV2TYZSDtwZTa(qK${?Zdc|_5T)h()eg6FYSeLi20TcG;%ZRnDy%}icTXA|S z*6#hWXWFklKebhS@&sbPc6-g@5EcU8!%b?&#lFW;A~c!iTFu|#99lSujYdnGfsFlw zDk1@k*$K}+7Lq-fP+FNrxd`X?-fSm(9%HbURS!7!5@f5PesgBpJNndKd2-TT*6>Q!#DWan!c$Z8(mgdy5EctHv{Kiib6M}tml_m@K zG_%jvG?|?zq3Oljnu3b{Fz%-mOth=DhW+2WOW^?%dJRHUz+vR_ml~SN3>vxc15sKL z=@%e#TFujhIT;*|GPS#sJDIrnno+2<9zm;TCX{3ZD2p&Eo&Gp?R!}sU`_eJbmZ|<7 z+@Hw0j*PR3v9~Vz8xtY3uM@$Sqn!6l9V&uYDtyeGrT>5Kz+!V>&3z~t^4RWL(ebN*0D0nCM{yp!nP(LzI)0!8AVM7N zG`_d+1=@TLvPp!VvGY?s7rRl+#i30LKN-7UC7ElI2lpy=6&)gS@96fZKOXf$0Lk=A z-xdKc=iFr<4}1vTj3XZ?{p)jTOP#13nF#cOp7m6VvZvJ)E-?4f9HE>FrPSbH8krSy zpcAk^rXy9S=UnvIh^9`2VH_7ydsA)b+p#>AnJf2Xg zicA$`B7x?BlR}4829u>62zx%OL{nddc?r@m-Tm3|n=j>7V_iUIF+uC7E>; z8s8aY9C)j65?BalTAh=LP?Bzz?WC_zup)CChj;SRXVMaG6K0O0!ozvx@<^=y2b6&i zDH(Y|{DgJL=Wi~-F~iNmxlhtrCyEOnjcn%*1I0`U?|7>%ANuCrIi{f!d|=#aOGOg5 zdB@-`NgU{)O#0S^{~fK*Er7~s>XH_oCMUmF!sZVNL-6~wn1XHs3Db@pC29Q>{pqk!VCiP?a+=3T&_w`H-+0F-- zmE1_Nbj6M8LWTCGy#&#&Kfm8}!{`!9a&ggY=25JK>7RN06NZ+W0P!<(mM=960@J=i zGs+Y-Y?c@rdy)vIL!ELYw^kAQX5|7MKs6)7AfXXH%A((XOcU7-ocJ=$beZNdJOrsV z4J~5bTmJ(&&CJdSmTzq`4arr*F6T;%mL7@SYF6V({^N?we!g$=x^B5GhUfG9Fu%WY zpUM69gm^vxN00O5M)~hzbk!{750>>*=nLpWc8NFrW==T@K0dZe&VI*H6tU(k*zE!2 zo64O2dC-}DtDYV?LOOX<*Y2pErWxX~zhiXUj|QV7!vf^7A@O1%&K(}S=v>H|f>yd! zK*~Q}ZQ}tqHv%~7P{h(-Yq!upZ>fHl?Ssmvv}=YW&sX1nzpQB2a6Cl#F=ofM7c=)U zKUMd)6&^XEpfmj}#fx_OK-RQ=%d)oX8%Y8bEj`^@luOBqQIjE~1vfg*^HhNW&sl0B zNR5Om)I>V76{ENreqN6@8v?i|{5iu&*P5sL^Qpvg{;bN{wu5oS?dtT#+=n$9e^%>Y z3JH|}S0J4n^)y6)uhYZW#vp zrqKwcr~0Ar`M$=0o!0#o%#$e((DMbLfULe4^Js0*@8$}1zuB+&JfVgQ7NKE6>8`1x zm$8#D`1*vTms$MW>qbX2?MZa`=gxS&vj**u=~ z-~ONgROnp_4JmCX!4tapSoHyWkG)M0;2H3i`i$^!{9-9NT!!-jPoAFbn%E@|^1>XR ziUXOZysXgApV?%N-kCZ$m|EQCO%yk59j42+S;eQ1`S98=i#4VLI;sa&KBIcn$;tgU zEYRh*c;Vt>!DQL|$MWgr;MH+T6A#LRfUi-@&E%mkEypzB!k+}t#!yDTtN~1*q@Jro z?*W`CdIZJUUkhZQ7Cb@Us+X$Ql&yuQyrPAbTZZOtZL#2p@)wo&W~QtbzJ;CyCjB>a zvL~3-F2b)yL7^l!NvhR;Eo^~%3(TEu1mGj}9W8Y^ z-|Dg?wNRh`WM_{^98=j{Tl1tZ5=6ASPJ)ON0tK;?4DL9XCtrz8Oi>7mpg5pon!+UA z@}QI%@HSUHYQ9}t^&8j%Ph>uXa6n23?3Bc5W|}6~T6t?X;`M>44!KxX=W{cEe;!KabB+#(8>qEs*(F z!i?(0Lv0~a7SVIdL!=5ZySk*HmoTLne8ZBy`VlxESPD=2V@(nHFD~ACJPVzP5P5v0 zsh|g|9v3bwJ$*NA_yRnhr)U9w(lRb?1nSe9%GpG_?<5@0y{-H#Jfh6IvdN!>v&o3I z@<~Q8;eYKI35R(6?TM7r>5Y8EKcE%-Jv3M~v#&FoZA6T8%KZiHVc5vdST+ zr>c`wX{FwZtrF`m6otp4bRq(;1eAxjtZ#cRf|>Jh&^=e4qUfZhMR*+$o!-c$^r3`U zd#GEviE#(gOwYVnX(z3CtN83Wg^jnmI(OIY*eX%ks(At|qb}D<$uWBJ9kcc`5rf~> z%lZ1veI*Ob1ZEb6?VrREf?bn7c-tVm3sagi)YHQ;VfHsq%k*_=mKtJXgl`6pZzM0! z5+%Oe1yYPV1`wD2ura;3v714hz>t#-z zDAEhVtGc7_zYK8YoJX$r4;E(^XNOCma(t(+HM7gJ4T5mlLZW~8V2+Cq?2+NGglK0WI#)x8?v{XChF_|Ze< z#m413ajoiVilJS|XUMu~R1wXP2^j=w>WD#%~!8E>odQqz7G^v}7DSl43jW;-d@`(aWa zjj=Gxv@ZsrsPuWR^(Iu3QK+fw&)egif|Nr<)}evYB8nyI4NbYoahF3Mr%i4~`Q6}r zXtGw+lcu!CBe*Kh#R73)2mKmgsKn(xtI491{b^3LDL^UJk4EUpqT2m zyD&qJMyoRwFA|}u^V3Tt^w0(@k?$8?RAAH|yA*I%j(siCuP(8H3htrlrFq81FcYV* ztJU~9K#N_;)S9mcP!4Qxecb$^ziZ|VHwWO_Ppv9_Lp911rnz9@jiWON$A_pu%EOw# ze29#pD5jNH@_}knB$mb31DQ~GyM?(*2>U!SM-(>noxf=e;eu)G{yPC-W6M+PBw zx}2)1uy`WR+fIru%mvjg)2bvsaS^t8apB!xBR{TtKp}Yk;9&(|imuj=Ow8A(#3-z7 zh}A9Cl8fJbS6&P^QSMB1DugP+zP`RcK-)5YysyiRBeGoaHL;U-lqWs4-C zlQMKgi~j{qbq6NpkcPEv-cjYl)s=WqZ+a)}0KwA$J+YE0qo@W0|W9|`zKs+g&yJC zuYie&ZBlROnhT92#fC&FH!C=qx!o3ozrvZNU*e;x#I$kBEjQXhATZZoX6x0u!C0(U zz=XNc(kEQ_@BHm9V}9L1tZ;QgZ7FoCBRY8+(1Qm_NXp z-v5=B8Xhrh@xCr1aNXxW&>fE>f9AxWvr25?219!xKMh7G7GqLIqBo;odZY-MrNhNw z+>SaBZ#3H8NQ=9KEIA42+`fv_2b4^cm(;GFuXV8O-GKFuBe2ZjXYo(U`DMuCf~TV zWvf2FR`hhYX6sg?VCvwYdzJUh&oSkn%tIu>-L&w?(@N8}_eDtnN^5Pgxy`S2wSaKp zZ4nU_6IG;w*6!{x~F8WTerwR9SkfYI2AkR|+N(13YFO745 zcyEijO#$H4j=p+Ejd)Q2Ihn@NcWitF<31vmwYeZ>@3T(eD>$7qA&UKxbMPnG zH&NvbiK1UPT1pluY~|wzZJs`R@y4U@&GO_KJIYuuYH@*r8$|m`_Q)jv z=IkP7A*a-F3A{Eiy;i>R=sHEh_3&jh$0=WT_@Tw(Tf0} zE%a9eQl)lFZ2#qZI~JB}(LNavjRKKDLPF-{Z1K#P8-Ur)Q{6v>V->{p)Q6k4gvPIh zC*$Uadrq86ev58DsY6SF+!*s=Ukm82)VD9{LUvIvGj_0(;t`ccS-oA}Nh*9g*B0dL zNqd-YHBK_T+a$1-jAo0okBaDthxa-CsQXu|5`RJTqk7(0KPD$)`*%1Wea3k&z+klZ`&Pz82v{;f8G{=;Qz$v%n$K~ zFzlHJ*ixvmCTOW}zvS0oC4x)N`l$Wb8|h_&Bg{|OfmTe>K@bI*CKvB&!t{?ZCwI5# zXo<{dE0QDc37Qa9eusA;h6(6-_r8Iz``5#3-;-IG#yu)#}|^7>$Z6lQ2XF!e)s6$YJf&@$0~wr%HHXN zl^hc~KuC$27Cdyg=)DWQ=Dj~%JXLf9aicN-I3u31Y}3*sQ*?9A6`oll$HhK9;KD&d zKcof=o|^83keb@D50cLd$`GCV6p*%7ULmW+FE(+fL#4GslvW;ea8#UqmB~W7BJ1je zpb|o+;!E2eLTzyG89N0SxT-pVt_Ec{;9)PcCRDTm-Qy|IR(;K8py+{(CigZAU})_N zMJ*BQkL07zAgr0*HUvb#-<01Sd16^LVW`&pQ-HZhfvvMIa~~}wGgIv^?6cTRzA_#b@2@g^?y2rP=YuL zkVA5Q#Y{Ant$N+4dU(JKkH3#G2e8qGt}+>*Nq;itJ;Ynb(`C|p=3sX#Q>Rp+m= zbE&P|3(v~=t(TdYD`PD!#unbH;{Rs$zh-D#2PPx}@%XZr8I$qYz1uXM8oyUXmp({k z#s@xmxO=HSuj$Lm^*ogvsXv9~3DIMFM0B~K{M58$} zA+uOt>*jfxB6%j#o!Av*ww?U)QNw?r82RR$9a1W$R5&scBWfz0i1YpuIaej+^_hNl zvKF~5MJMzQxT`KpkQ@4YX&&lYz!~!RQ^)N$jx>nP8y03#>*{rgk9tIZx0$C?&g>Ga z0M~Y61>2%j`7nY9>xo(_y>o4$X0H*)yrRC^587Sb3o)L}`g|)Ch;?Q0@*W)M)RXt+ zSKnAG9R9v)Aa-CdBPe&8l)nmz3Df*0AjK*yr1EI2G4ZO({F<7-;k@Z4plYN>k4 zQey|^d2`?wET_MnsmhP#+6hwTu3clB4R;_K^)%X$;vk2%fN)Z1Ek?2ApNtVWE|z51 zFL`^XI~UeZ#|?zHCF({-XiI(dL{3$SZ@*NsdXEplnn2O5hj=M3XF}o0Lt&UfvzZC2#<`X!}La z)O2NmXpAbY-tA(9t0h}wVi?`n&hCy@rWY!6_%^SjpWrb32#mrrFMTt=roYNt=?e8~ zcm=?`^u^}mbLAtKM=6xe(PYVJlv$r@$?qxoxAnKKr#ddI$dhz~Ij&|ZGJkl=p2?71 z9-Cgc@gCIG4cZM-*O4SgEXs`YFA3k%$tp%r4Jl})EYFYfxLPMZ2>E5x%hBn4Sf??~ zMNUIY*`p?BTRPUz`o^pkcrmZPrM&9nm*Ey#mQR(8>a9LtHDT7UK_=22?>u8En3j+2 za&WZ3tml_{mVe+5Z%&@x(Qo0p(F^3QV*UdWEQ^-KT&*zCUQbj!XfKF4oX@lxI7iW& zNqZ~Fmdchlc^tK((&Un7IG8qZsHuiHcJGlUYP8o4%hklge=(^~r41FAln>z%>vo72 zEpOn@Q{Krj2H6z`5A?O}KJACmId^tDZ4ybL$wmv`b!ZoFx{Z4FwGk>A-U-ZnLmI`8 zy&)Q8_{l)?<(poRtqcg*AbF zH5cbbO?xJQ^Bdy^eGom}jLi7a#XUv8lIYjbVg@0E4**5h`D{10-9*QO43Do$ z)xe%(ML^fmq;%8C-`ha^xh4~gm1tM?Ll9f!sx2;9t``@#Z1|$4=FSR%QvMaB=w%h3&QY+GtOu zZnAUkPEAOcYaUo%BC7)fu4c}#Zo9Mg4cK?LrALDI#K&n@>`}%s-gKER*=GPpb!4~g z-}%Wy{V5%Itwh_M1_LOYjIhS`r5t&A8qNz(j(WKdk`}dohIy@>2C`_BMtBAi@S@6= zE;Ps|WkG!70sBCO_$`i=gCX7>2laR%mi?Ibx_|9l`;h5ojQ z5O%&@HgAa$UP|n*>%)dB)#E>;T4_#SKlb|cbAp*lbi@c)aaR&IAs8?>BpEjoLsOAM zfN}EmyY@dxP)aHj1FXF?;b`>bf1qrwr{;SmEd2rfZ1-?Rii>PolN7-(4I;{93DZo! zJRa?K94O0D?Dmvfl0H$-6QCzqY#}0IP_E)o0%wQL);Q{qx8Ymy<)NT5zUM$>hF8|9`-NB$TiXh<{6yZ;Lr_E-c=a-ysDYu+E zG%>%FDJ4w#Fya~iKq5lbG%3*6s5XF;>p=5pB~uDmm)OgWA+87wlTL8h{@ zoLAq~#J^4*Qj$wt;AZE4{;){gvU1e^wfB$=&wzWUi^u|a8w#*~cLQyDxw5Sd{m&%1 zUIF=xbo?FoSHN!c&hxvP5*W-<4KJN8nNk|Y6oXlaQ}0#8X<(0K|FV~gKtUi{`IL{e zqcpe95q}#*pY~u2{*i%6gQx7y=pL;DR@U8rcGaDtr-1(Wa%?u6_3oRU2<1P>$8P+Z zP*E8$#&yhPDeJ9L?+YCoED0Hh^Vr=1mes2<9bcvIa&4&~bmAhS4#6*!t4-xaA&!47 zWU@SIMK8)J0usmJj{L&+4JkfifrG@JbD`sne>C=+_`YXk%yvWHXl3L=C7(Xu_G2JofT3|?Y#0D{9YU|pN zpW#boV{9#ODm8@Y!hEtjohDqA`+e>`TZ?FrO~6%|H5GdeW-nl+7|8tq;?bkpuzgOo_#0PT;997JKzZXbFEjZ zzQybrBsWHDa3$k4CbaUsoh1{^o_yMelHx)`cIC|^T#EfmZLc45Gka<$ico-7Z_pS<=1-{`K8f6UzGj4I`5c-{eWqsp;YE zdZB?+qvFzvDbM;uNZ2*sTUMKINe~}M5h>0TcVrH~jcpVheQ! z*qFI_Ft23e6se0yKpdW5QrX~nSZCm9a`rorL+YZyw&i--gpz60XSsg$bii>T-*Wg= zH^C6u^$A;&WG*T!Te>`iIJT&_U^De*#1)j{A5FMBRfao8Yl zE*4`jE6u97MX}>F$7p$*Ny^2vy_8gTbtlCVtj^AMxs5jK=dY=*wtJa=|4`wXbkow< z_}m#&?FK+}clkp$Lrpd%eRIDTdtJ{OM*aMu)1%CL{myP<_F)S}NQ>g&9% z;o83=ra8f0xHnLcYB?!Av zYCu_bR{Kr;JL_mtPU)PJ+@VUXx3!jRSPV19duZ%MK~xJXm;GM}Kr0GK1@O}B*<|-- zn*0YNgNF8JSqo>oalg7;5&CYajIn%n5ophr8%CQW0o*g1-``GS+k!H&jPjp zq(p%HH0Xb1t{BP9rb+x!{pO`lf;%nazM*L2xRN2PPfW4bMVwJY%nE%cdM|S&NKE=M z5kAy?$)Nix(~l*x8ABm%UghfYm&XGk`{a1Pm7i%?f0&>=F?sY z?;rm7vzL6>$9a#pm{*3QVShd-(%66TMeJL@D0luu(L8F~y^Ky5|!a4iL*YfbK zU>G83etYI3|9;`jZ)%TAaV@r7zt%OAcPs=LGtwYs--H(*`hMKGMe@am-O^0epv)Iv zMhzEj$i1gy-5jCoIlo(Y=b0I!sQQ`yf-w6O{eLHSg~&of;OQ!=*gm zfU{h;qydi;}z1ZPBjIsmFCXaR7DTuDRfp<-h}PYJFns;6Oe3o z+8-aOah+oG&*y#wzgSB$jLnQj>T>&oYz9iASw2JPzS<_!a~vPLcd|;VNk|xdPVl-X zxshL}+q7A(1mhtqk?Wk@#WBH;=|>=22w$OVK1?b-lPAX%`&e4+p-}kl{%NUO)n=S> z6_HFW)EV&8P)8$yMvUKC6xZwDh{4a|Di?=7vZ#$%t_# zo>m?KD*@b0Ud=)2%eC5%r%gRKo%~P?7`ohwzGmz=Vt7F#dQDAFAbqT-psl_L*D%xm z9OYme72KyP$YR=Hf6H{GU61MJmE`=GH>@BNcr?!%NH7-K_KDX{<^TRFLnmA#rD!5Q(%J{+OQ%Aca0b$#tP;%CB+#n|bc z)VPlp`_Q%JLJtbr^$=O#N>_o?mN()~&{wy~OcSxf2D(q~yyzzH*INk+H>d|csp!r& z6bWhNjQ0^TjgbYerk8I9y9qK}cJq?=y7qUE4z6cshP}FTv6?kukXtfbL5u)Fqkp#! z=zH+}Y>CnwBCWcOrP{8Vw~^5~3a%KxIv}qNACNedz)-N+(S$AVemN~FTz+nz9wv~z z!MctjRGPfwN0(TnB%~{2kYjAIoWK(6=*nVm+ryk*JHB#kxLCea^X7I65woY}+Kq{+ z3@X19dk^j|hm|@#+&9qB=Sp~pJ6T(pprl8^ja4rK2C&&t)BS0CKa^Cnr&uQyU z=VK~!)%1viiKh`o7zY}4b}L%`i%qVH|avu(4of0?6KfNA+OQ&=ODxPhPHTCI5t!^_DKJ&E!d$t&UH3 zvkvVV}SXZ4xCn(vch?wsAYc!r2W=*AnkcLaIB6yn~B zH@VD&%pmK&5yitW5)gXs=Zmqt$C}rZr_r@V2R+OEd?zzw-z7eb4FY<*LGCY088aL) zz$pEp>b0TQhjIPX8jvGh>zEH2FJkM(NF{fJWtiv#`^BfSl^9aFNLtqI|9J0eCoEIonW z3R@8|8b^S7IG>(AS;!gM#EeYrsOT`iUq3Kr1QEMn1@Lef_*d+T`sf3zw}Y(p$zjq# zK4r%O^c98X;X}LGh4O{mz&!Z-U6F>NoMaUKpfqQV|LIV_c65&KO6-a5V-2rUINS&m zpV+W?7w6s`FYWN5BXD3Yw-herdTR|G_NYRH;zX!b1%h+Tl)Eqf{&<0TH)E{Jowe|E zrtzg`ch)!cVG-}1D}L{XDbip^DCtMSc5%?sr^bJD-=mVm_04NAg&9NcEv>qQ&O}dz ztU&2)r$YPBkfxtCHr5B%m*>iR+iuxjEVkI7f4m5?Aba{PNDWJ2vqycxT?#8!37Bje zVsZa2-(XdK{fUd7y(xFI+}9j2G29+R3Pt2bxE$It0?L$2-iIIV{wVNa|Jx|2Cxso@ z0&QNJy<>Iu^DnfQDf%(MfKD3sL>X()kQ*OhMYCG>`0?%3=crh2OjN4MbH#O^lfoo! zUq=TT%I*VIb>WgAR(~lTT-$R`S)UT2s)8m)!2_ zz!z0E_}MKgYHnMsLT-_5iznJsHr()@+@q-A2Vj0spR$XlsP^jTBsq>y3w?u$kqKt) zQCBtUoi-`B=8eG#q}hXG0ihAYf8%quTKRz7t52N^ANDxRi`7fxK-LU%ScgzAwbv2> z5o+X9`Q9gVusBr_X2OTAew)^yRvBC?b#`(d_@^%O+4LrKi1$6nDzs&T@opo;Uf?t0 z+bgN;pX8)kCoLwh^Ro~iBB z@uFHvcSB9?>RAD)*cEeor%yMX!dtLR#Q1diS+y6)FVLTRt{J+`zMIZB270_y_+?f| z$RcFMo(U=+eAA;P8CQFywfn2-yH37SPSm;RMV(2PFAFJV23Ie-p+;vkd1>nW%v8(l zpOHXqMBv&A=K+4^z~uNm##Y_s*ABe+=0an%R&b+j0JWGu1mq%j&1e+tyeG5YCm?lu z(leRNNswHf4{C?@Ei|xxG1eAV3xye=H)&lSJcNjl{AdMT-Z5wv!n`TZ2Nap^-_2+h zjk<~02ZLZw0$8Ts`x*1YK+A#lrikcnRyo=ZFXurb-ruhDYjJw6~(jUq4e+x8j1S^(53`U5{`7@SYx(0~vgnej_) zpG|zNv*AvihXo~DM54dP`F85210(w$)Xf{%4{w#`g4~DIr0aub9=3@_0?osg->4c^ z`;|k_G+;$KVL8L$4@3X#@-68~yr{mN3y=reDMy-~EeMS4osh=SgvJ8E2*d&2c!rxo zNlcV|?%$4s+0dba-JbEX|DKy#8bDMCh`2G|MMZS{^|h!HTcGLrIe_R&k<5Fd);O6I zz{2bIrAV`wq8r|X^~Mtl9bk)=y(<7ifVTIbVc`(F!GDEbw61QJycqsfaha_)IQ!$* z)|>V1qP5T+YiU#?TT}RV$!34(K5>g>@NznP8?7zSP(L~JPo`sH>WSd;`2Aq9tOc1plJhYu;R<>J%YmNkyxw41qkzt@ z)x~xk@^P<7nlnxMV@eR<4%laX_GI8CaHaWtavrZu1Hq`RF8~5WKXN}CNSe%Ug4Wg6 zi%h3ErN!h&>$ipzJ3t}&Q~50_iR<>X;*Yng&T61(guJ%L!&D>aQ_i^xxi~YP6ln+6 zafGX5-d_ey_#13+`2@QcJOTA?1d1&{oqnx*YRe7Z%dNi;l>Fsn$#n)0YOS%SL=TzafXhy?2+xLIl+HKZp&ak?fxgriyTpNN(n3cVcF87w)NUGWw(T8UbyyX%pt9zE+r4T^k2!i{ z$a=OQMKiKy9Ya+$B6&OHbLLy!w-Lpp-11pF*jprVN1wvzyqB3H;6CQaxd`ZFVYOWU!Swz)wu1ul+{##|0j>%zytA*U|qtx(K3?ZMpJ$*YQ0{uae11N@_NP{BfPpQFGs@#(PRHxsfO8|7pvdmyFTTcGCLY^7 zGJZ2NS5o!6!43_R2x(S}$UPNre}KHDFI?x5Y^LF&J;rmh0V3B|+yfse6dyI8^XMEo z$EdNfyi`DsPHds;Kpm04TaZ#-)kC46cfLhy)Vhb0`a&GubHOLLj&VWtk)f}4_tqsB zP@PqctO%~KndZ>ay|kM{WO!sosW#`ZAm9?5KM@;HUqKA+yX{UB0|JzbqA_l@HF4j* z9G@9 z;5}y^KW%+;?JQI5`v(=m93c8YMj)ggwPgu?;2U|~2D>`RMJrvkl#@IGEO@@0svuaC zD!NHn!W695YxQz)?&JNOVOt)x(X!Ps7Zhl6C#{Ds)a*-1fgkk}e6%4$3AY@<-v`F(cPjv1iwt7i8~M{=$tZ znsE@br#}~tFw-M1DXPqN@SOkgw68=qUUP8px!G+P#iPJbL5Xo%mxAKGQ`{008M%ZGrw6j9>Kd`FgrheW?#xWt6Gu zXP4zh{MRAdwZ064RYxYxGV1IoI?xJ}*yA7?nrSYNv;x21V+@_v6U&4fc94kYI++@W z)GO?oaQ{s`>4*n&#dO~De++TrQSzpSYM2^x{tjOyHp{U_U~_U_GdyyGZytR_lbl$5 z?XlDY7@sjU-HLw5&ra)wV^Mztz5;hTy_%GlAn(D0BBp4=LdHPwRMW@z;*dc=o%n0I znAV@qiy}HYcAUTLwqSwp8K=r5>Q9lx2Pi^5J{uZ=`0I|^HU`e-=cCWZ`VJ})pGl;^ zl8285BE9niC&VH=hri{%rc)R%M3i8&5ei{pZfK-|**g50j2>w)ZGkJcenJEMNt5uwvh)OdK^%3v*4Z%$q^$|ddvewbTO zJ$u=_>t%1B^8J&Pv)u9Wzb_kl=0rDOoCcn-w_LOSq(5sj;5~V@Qx+bZTPRI__)D+; zS@?%tB9!qk*$83gL~vT;tCtHi?mFhG+g_g~tAtw?P4OVr z-NpVmt*d#V3GaECqMY;g3s54!#(Vla@d-l{l(lV`AmKM(C2p)Q+Xkrbex=x1d~T9I znR$)yZwP%wQ;R}9!GV4R{oOwVw}5G|$KmrT=oHJ>SQd+?{L@M=VYM!xG8)M0{v#b3 zQANkbvJJvk*|=^_h3QDR*V|V~>kwQ@;kSYXTz$AG4--3_6-rdex*u_6V;G zV#)1f+uXCWZFc)Wbd=7zAET{6<_*{x*V%B!L^ra1*)8xC*M5Y*CD-cIaRsfiA!PZ% zQX{-}kjt<86yM-gT3{LbnL&P)V&?SvO!m$p9iPtb00Ae@5+AgDr3L_+=QpV1e{{Qg zR}OLv^$f_F#8cUpx01maGI!J3?xdRSONp15YPI)-zAI$pW~Of%s$ZGnUHJFyX#>3n zeW};4%ZEJvNt4Z^li-pJ+4EI%!yenx6cW#8~cDOvKnnlvOvs4dF~|Nx)g<}GxRY2P}Frd^y!NI-PAUE_SXZt+{j;Q zIAyAvt_b9C@y$`b#l>s&jUnQ@5)>&>!Tq}Kk@eb8FD8BeR^tA+K)=;m(>RyzgUJ1y zAb2*>ef5_1^f+dhp*5i>^-Pm%-|E|AH5#B-;3UrENw83NHcrwc1^CyzmoZV}n0f5d z`aFJ1X&pvKx<+jZO%flFM+=S$BxNU@O^(l3+4$6~1L-~@eS5skfxi_~jZQlDmeQbt zdx=)9)t)x2qRQVA-iO8*jEN7G-AgXI((rDQCQv`@>(!?uQ8wVSJE8R%@B9UQ!K%E0 zBmr3qO;ZM9B{SX&-MgW=o|8>Qp^_F2!XxRQ>+f?AdNIsa73&bF1cKq$_uoeqjjk*n zb9ds61Ua?%uu8Yg-^RIk`Qzj*Pz1B|+?YmtJe*b=xcocz|6j8Y44TO|Uf z2zwJ>FXv}_nP*pm>b7@bV!Znc&UrSbXx#SH4R>ahw))^b9n?Kq3JS&N?}ElzuT@(3 zMs$W3Ce9UHEI&|Pt;aF>&9L>9OIqV6KHq!_;Zpb4U2bc<*8*_4<35O8+u(DnG+F0S zmv<+~nz(pxJMtHl;XYq?cvKsZOgN~+r6x!fQ-v+;GCqAQPU|Tt5plx(YI-VLbA&ub z=#F9tkiNfs8oe=;9@GEUWfC#K+;ye4w1HdFWGsaX$SRsSVdN^vXou!1RZ&F2b2S|v z)41+N&}814D2mbICD}6x{%GK!ksrA%ght*ixe;Xhkd(zXN}(Ub)wvp|G?ku@q*_zE z21sF3{Eh@LW%n>eE*G+(>GZl^!*Tr-%Q;F!y1kCZ52eODRR}61rsVqTNQBP|)ON`D1QI_fg`vu24XX}VX}iy` z!IRQOK?ZGfN8HxvG=c>-LR^bZ`5VeN6tJgyhXn`%D0%+4L|K;|lsp@?C8+9k=UuBY zn(nwi(r30&5lhq|2|k40Lm&s69`w?$*o$Hbzujfl+jQSBSYK{gWbYqIE2zA+Z@$jR zjX2q~s`z{#Z)^b}wR4~CVVkUnIb5lT2n3nBZLMfZHDL-EqobLmr=VO7$(I94^tI(& zs%eI5Y`Kvhm-~gA%U?O~_B(QN45}FR-}>>^R}*%-4rihlBy@f~7se?y+*V}xm|?=8 zN^z`ne+cgCh>ko({!2A%x2f>AcK4e%iO6`PH9^}=E`Ee{#);<^kyA2*$cY*EZt(+s zZpX$S9qgGQHW3~by-lM;e`oIJFG49EXQ|4hCgOF@B_3rss}}43l#tAQ()!9?UUNd| zx=l%@)m6n9mAwWeL~)E znVxeC(?9+0G1oSK6OboO?fuAfY8n&)P38lNY8Q({&UZHuNFMQXi}i-^Iorf)&-V`; zM?oz~?Klfwzu_uQccbQfuT*lbxRpk^#}Hn)ud_0Utpk3GZw!}`TpL~~e@@EuOTjf^ zepX8uk)lSxEJ=YU=O#GUWM$FQXrF8{VAUKsKIA+FF3Z!$a8Ab_QUJTv&lUNXj;rF` z16L#61?i|`dikzpT*DONJF`d>?q|Ng{0o6z3r_oS2F_p$l=hcHET z9nSg~3Y5q2Zxw4PWGCtvWX>%PN^B|MX*;nYApc||y<00rrBRsPl59Ol?KpEhdCcmt!n#6Gl{n9ii9Kuze2vkP9H!w)Z4utyfU+C*%( zv{nx9fMrNj^_PR-`1N<&--U$k1EG3HzdYkCoc&_6!!p~Mhm7bZ2&DOLjROm}*>NV# zfTbuXIeTjSnbRWkL}axg8XM{eAC3En%b77w<8fjvo0t|cR!a&0F@8XbQ_L>3RC)wg8w^s6XY@Z+u zP1-+ToAkLNuyS&zN>^Nq{av&3dCeaaa}d{X5a`}E7?2DNdMxfNzXlNJT}vxzJ_`ua z%nM4KYba@bKRG;nr-eu5*W=seVdGVafx>Mb4C$n#{BVk8kBJ{R5E;Th*YwuF(Z*}o zAwUJEar3COVH>T`E2d8!!ci7KZ_GJ^hwBQ-Od0r1nwU+|Rh@Y8O^ML@RIv6PBQ`+p$DnQ>m6aZk_dwPp_r1aE=fL4=>O5wB?BUYz*=J&ME=nk#pyx40M%a|uxb4^Ez3;&PQva@|I&io{ z`!Y3oh>;F5=r#Kxh5Z-B(+l z>Y`MsyH7NhK2wgyylC*5&=YWF`AYx~F$!2X|Ht{xYIT{-Mw)}~rf;V5dPBW87dsR5 zcj9lRIm`>?DKWgW^T=DY8#fuV8RXs}*za4OJXy9ZRGnM%ak7NT8&b?fN$!}d9Ui2@ zkB+FbZO0aCkD=^?XjxyhP*;CJ3QZ``k>~3%wV`(gG!f07wkC~}DNKW5kTRF!SkQdTrY5?j~vl>hVKeHNTkX~G6kBqm`clhnY+kWxJl zEar^#KTxjcK+_3|KR9{e?DfFiB-(FU1GKz<^{n`yG>|(6k%Vaj72OEByQ&+#(Ysx7 zo(MhB&gjSMFw!tk3}m)7+t2k(q(6WqDdnzB$_tnReFl+{b`YlY^8TAT6bHch`dp=# zqgH<_d$E%VCYHqH^zeeu2Vsf7Yq+|kP+Ws@Xwt@7L|;j1P6DtKPF2U%4$hDijb z@C$Xq0h8*12H{_moQ?^u;MGIGkCL9}U$-S-&rDP5pwGypAFOy*0W+j;^)3WYmrApR z_e7JpZ2Wr3~2T<-~+JmYTME+vEfs6kJjr`R=_g^YcT2*#J5!-3V z5Igy4+m!O?B*Keajb^U)5WFqke-Ir5oR8pdKNe{xRF204BRKexn~8HpsV|(feT)uB zjO6KJ9d&6ubJj&ai1hc1Y*6VCP=TYsme@6;H{y*jyZ)d$Ge3}SL&V84B*@u1@ zi-iBy3&GB{5f*6oC8L-T(D1&zr&YARJvKg0v-%!hUus+&D#r%nJ|8xPRjG)Uu80^t z5~tyMet)i9H~;ZFJbUX~c~d^rE49f@W4V|YG8S-W@La>1u9K#4$A7cMyggCvm(od$ z2@RbZsY_D1B9qWDL+usx&x};^brWerd-a+vU@)dp)53mzx7a@ugEsX~$;=w|F)Xni zj_GMg3X7yJeRBCBo}Sl{ZkR~Z<$2Q&MZI%q)TWr@kwahx$B}AE{4YN?9(P6SEJ^}u zG0n4vy5`#3RmD@;pRL^^C3Dq7m^PQgC(SC-WIF^nhqph@ycM{j3!FZf5R>zBRkBXP z&+Z5?P(H#CTPQ!Ys#D!iHuLS}(v$y{pGJ~!^SsWo6H#3p_XBfb8uwE;N-H@qMt_DY z_^7mMB(&>40W|3L5CJ65h;0lJ9Fl)@fBc}@H#Dy*qzXQ9vV*StQZFp#*GbOj-|%4L zO}Zia2J93)KbK;wqlcntv>{P5XG8NgO?NA;?0#4p=$O~J*NN`x)xRboQAnT2CTG2_ zND~a%_xK1|Aj>r{)dg_BQ&vq}T~1{|!_dTY?j|Fmm_NsggtZ45d{`scBzj}}XnNjg zG(1Idz|7nywq&us{N=mmpcx5&DWG*smV0UiO*5ZSkMuc+tplyR^E5Gi$AayMKyT(&=(zk1KxL zX%Ky$2S0zYKUnRF{MO*YS#+Lq`6<{QN(8h;*(0WG2L}XJ0=Zhb0CpD`yHf!YAvPQ8 zHbZZ?CecQ&_RqeU9~$0Hv@O_?cxk*H*uwERRJoKYv6EW;s-l6om((s_3?|KFf)I~= zU^21``i^V4p8Ol*+ONG?Cos1rN7MfQS=_fzUcPqV6H8R?}AS|C3ACVd^}e2 zBxMk3^p*o47=*Ms~maYmcht9D* z;JHGYd!}4K=X8y9WtJ|9ZcEU75hBjd-T2~$h=C|3h7%%Hl=51}MbE?B+z&UX)So0& zRdqBh%y-d7uM_1z`EbrJPq<-m(Doe>53oJR?)7{UPM--SXUq0yC(h! zqYiIT9iN8fx>xGlFNW6qzG?Kkj^fQlKBXifx7&2W^cwA*cDC%*a|(UKP(IXd&-=R7 z+>E`44hLir$YC51p8X*8!+Uhf7l7jx@x`L621kb#LVspq_32>1jcw_VPhz3|*n^>~ zZG-UaBg%IY5@}8?Q-wA6`bF(xsLv!ylc*ykcOLTYlJF$|UsgKj?`NJEp9%bUN2#XOJ{G_=P@z;HL+)@h&+<`wg9;yPT<5W`lB7OX^ou?nN zvgVdDZM$eDc{1ow+TGlL{VlJ;mO8ZzMkI5ITs`=bGdST>#Vt+K=K$?{Ydypft$fq( zl5R7_+$kv}pA@hW@%+~1x)E+xa*GhLn&btv{P8@i_5cUhjYTE&F}+f%dSKI*Nn7pc zYL=?&Obz38;=L7Q5~FB0$mLP4+PABoP%qEu5X>l-{A zZv}9XuX~Hqm{)M13lxIn{*<5v4@~i6m<*47oIbGUwCzH;`^MFLK#fxT<%IttUb-ya z!uO^-1pIX6c9mL+gZlELFU{{m@99#*{Q!-)=>cVB?-kw9{mgHc=NXsxJ}bmYh4cEP zcMs#azhyYWD`d353Um7ULVLcS04@L2QM0SEf0ELxW~E!KhMJ&qjO_T#`X@v}R)b=l z@CWn*d~K8rj)ExqPa143q;W&M1?4v&baub8nKcle_{<|TWwEm8gJFKIW((|>(f&o> zM^Yt&gPKFs7EQ72U5k~i>q(L=#fqNyCI8!Y9=q#cN`idA+->ZZUw_iW=p}iFmFzqZ zUp|rNG0c52?-x-3K>&fI9c~Kyk5XWp!?&Pm_fH9jvEy`$=3%!~meGYeONNqs;C24@ zOP8>@DmOIWgf&FLd~wr38{I{7xLz+vp-gqdUP5w`T_hPFz@%s>!EE=u*d$O#;4NUU znmHQnQj`aZ?^h;anPV=wH|?Wi3c%}+-<=|ACO(`oS7X}V3rjuQ{F(*c3xXe4L56*o z85k1{Cg<86w;Lrgn@Pz7n=n>gjb3;)f?Xic*YaC>i*ZOXSEgiBf0DyZoauRdwMCKVYrb1P0JquBP3SvQe??YL%Qy0Q9X;k;-!fg#Ak z__~btuK1bZ$tIGPlbRqM$F3$~$KdIUv?hM$WL zn+Rjc6v~$RkY~r|Q*kyeJmO@OP)frLAU&Hu{oUuiyo8@{hrF@i-9dj3%bp*)n>*MQ zmQlr+R($}oM=Fu8|FShZDRZYLA^4$w4_roe6Kq0~YjMS6y8L6y^9oLj#qlb_l;gON z0R(&3iJRnuAHIc8|B0g=E36?jzPqjkWB*z zO9F#}bBDbk7_>k9%UD=^kwn7eW+0BL*xJDW-mH{#W?e8jAfog%;X)TD`-71`J5k)^ zBFx2GB%j28eW1`QD5eNzF85l(IBnmnU{C~;lNpMJ zyiU{O54z3)<_Oz9#yqRkrldW5wp(j`>sm$%1m+WRJX9sDr-3|}yp2}H`lskK7Z}HQ zYycSa=tuL3F-qRVEGB<)d^(k9sx#-tE4133_l`)XCT01hFQ$68bfO<6^aX$~J(2DO z)*RE8jf^g~)m%)-i_&R!1&cwtYgB>*8WP{|y6@(H-g~uRt!T*)vUg4#$b({acH@V+JbAC|91d&;LikF$BZGq~-s zWfO}-gk#oaU>wg+n;n0tAo1{DVmR~Us00p^IC9+m&^A7VYmD#?9*~Hp1*82?< zwUiVC6y0EUeT6yJ&_^v-zo(ZML$3J^for<-J30rDRDM8v;i?fC|o9|+htZ<5wfS>{6` z?{Bo^09xt%m|W^#-3!#7(TFxCg&hv+cz$9kr*Oi_`e8%&Rjugfh4n{XMg^$egtOpO zex_HlXnZcW20wu)&Pr%>F0wl(r&EJsB#<^yq5cM}!uFKRV9ax!wC!CJokbpCB11(# z-;qcq_@5elx?T_jz0u2(dC+dN=P`fXM&Zhm>yO67_c#^25zgtNL?k~t#y=KgHoVLc zf$f*|I=a*udxg~X;N(CgQA1tD^;IyK7UHtPpL(Q!v zPG^8YRCw4ClLkc5P?@4F-#EH1cC_|f{SpLd1|xPu>5gw(&@Tlu<`Q@&tHfeOf;W0T zDU}FHyV`zv_W^I@t z^BiVs2ZOp4K(6|Erh11{~h3?{+its`j>}y{Q& zd5b$w?6jih!MtuU&*?)FoPB#j%wRC+tjdxJ1G;%6(ZhCOx2Jn(kUVaYEBl=n!VsNA z^EiCAwSv%50_>jWj?doKbw3u_$H`qoDK-vYOO;oKKO^|t1)N!F7Jl&%#5gKIvq4w1 z+(bZ5aS&3jxV9{Maec;;!%P{aO`4T|i%w``n&Vf1u2(gcv!Wj~FUG!faS=}%jN`sE z+t{UAh`fx_sw^z@G6z&@C%7@@31(;jltp_ToJMnXlK}jZO_7@QO-_BUuQOScY#&X| zms-^&X^!_mkITDOqAvU0&L~EHYpwoEg^(G|YAG&SCtIw{>ts07mXouABZ+cEA6I_c z=fnBUvl)RFR)7eec)mX6fJC+NV@N6AH!UT5PteXh;(L#oZxCI>j=rn*r_Rw%$ogtc z_*-0d565s=1Tq8u+gnY7HYN$_9bX)-|Iiw=)6?V!zX!SH(jm&S=7!!!^uYuygqGg@ zvn-JW^~X_M#tF(!mUIKa_4tej9p0fEP_pM(URnk}cl>zO(ktRhBV@aK_i4vJcBpLA&Zuim&Xf1cJGv@-a+9sAy)?96jx1Iwu z&_L=sRc`KIb)g3D0l!0Mr`npR#Gb7PPIGQ&@7@y72DP{r6Y*;nKZMI8+nY09(dk9i z4GS86UIBI?-IT*?SsKB|@1huTi8v-`sIn#g;T-E%Kv=yyyYf}0 z(SzOAx9`~Id4k81&5Z&2k6MFVf0;ZFhejk4+an&%o9aUj`hH-U{8uu!LI|2j_z`Hf zDdJ(0aB^+-&aSu#R>kll>`?8ijh&iqc8vTHbq*}f)hCY*5zUyo{3b4EJd(99`Og>9E%)2yqjF_A7k-AI(55!H4_gI(r} z=3e^0+C=_*#U`WDku#Uti(z^VI&!X_%}mepRj+dVD#?izy_{5;I`dx)rtG^fO;MHfv_N_1kDLWHmm$DBhFiB(M9^F}FW z{oSf^9(b@bZC9H&A(9>18)yx#trV*9ThInHphwEp#QO(_I2u%kYsK*OtQNuUy?McbL4U(%)@R738O7yB?#h0&8;yoa)J1Hs3eHV27qZE`@&%Gaay zS7RCz!4sYm2mG;tbmZjk>}P`#ZxbeKUZnMq%UlEOVKOQeF=L z^m!Z;m`W5u@Sjg{AP1J;=8|i=BHe+0?pM>+Ml1G<-E46R*jdJt$Yoz`VTG<;A7pNrC%O2BrP}-!vLAWiEL0z` zdU{&^zR$nd0Vom<-Pw#a)WtE_??8U7yr_Q3WeF^6LaLeHSqRlDAFz;}YpPRxwu!CmME{qBM#h>y(`MnW{Lj5uV=)cIt1+g zgO7Q1I3DC7IlL@-igK3?ibFkTo^`M=kU4#6+U{mL2T(azur$_^!8OxW@I`lCtKCQ1 zGWWK5-p{v{3bH!mNr#iq2USbo?PU6VEeIWoZDox6m*dbw@_}S5V;r)OEVD9w& z_geO~FuxupD=+F zP&Bl&;wfdj3ugI@rB5sgu2_sXIW_7OY;F{ulG)#h?b4Q#9 z;AcC>WI`ru{sffAZrp88Q#{v*bi$-;JRicpjS1;-U3JT^I+eWDSC3@WWdbcFXXeV> zX_3PtqS3x9k2U#(WE^S$yunbqfSYc{pUZbpsKk^V(C{b(7P)StU4^dpE{KZHa& zE-~=9^LC9x0TU>l)7Es$TcXmC(_E-7=ZqK6LuLaDU(tdpM)BB|%5jhAwE$jOLX^vZ~6&s&?{JfZw;7|2r zRE+QSSqO>83MeyYX+Cz`)sNf*qgqQn>xsgW02sx6Hxws`NHo~jc;!lNPBl8Grl+tN zq@O|q$VWA-iu;=*GC?dwW{!bp84%KM&8bXmwKjp{EjP^-J!`mew8c7@QC}H#Rqamp zL)m+Pv9~FZu2D|fg~h{Vz;dE6b^Uwf}vySr6f)ulACn+vmjpN$&Yz{>pJa0P8}Mhwe8fBggoXECY( z8kA;N&&&V2lFJDS%odK!eiJT1!g}WFDXUt>IhHPMdcnN5W8NA?TEnWnK)l@(Zl5)xR$@AM@# zgX+tx6u%*R+QEmniX}$9w%N02a-W~iWIlBmw1wa!Xg`nih7k9D`%lL=2uWeNEIaGv zYrMiDrFDp*;`P`0mL?uj^za_wBt;~KI}kGOcv&{eBsFz|XWPz^AY%$*a*sIGSI z$m?4pN;yEb{ZWv~A9kS~ntJI8*S!-{>oxR)&&@2oN8*yiL2Re5N@2-bWg~qK%g~s~ z1_UuBajNJ|q~E&i1j0v5G860by3~94#Zr)f>!gA#!iri$t-J-~EPFLq<(HM+$a zFrmX=bukSw2s5y+)1KiFk-nd1bpCedNmJb{T1iYyWH_mnW00sH{eZ$`y_5_LHxJ;X6WtjK%VlwMrnGy zulQ00tdhT;yJ}r7FVJhOP@pbmZ(nWfmCqDmjqqQvNC(o&14|UnZa$%vk0#qI)ohxL zNotHX6`I=VqYc`I|CvsGsaVo5bLWT&Pi}GbE=3%dD!fi;do;`@Ltii;J`hr}av~GB zVWIsXIW3a&Ba@i>{^d|uK?37A(3E&C#G^{11d$c&N~hwSlU=DZkL z{{cCRl(3CZuNo=&32?o08uVF-)PdEmNOTfjDF_##ZkE&c4UUPE5xl+UKU7;Drl1z21>;~R8+*1#1kq=^vK4i|K;;GW99_ip{*;PPm+hC3>tcqL&4)Oph z>F)jFO_Zz~=mLARVAI!^GgL~VV(PTW@bOMmzZhz4prMP?IQVCW7!Z1%Jj2yW-0=9Y zQ%D%!ER-y%H#ej29{my(_Sd6O8`YV0SFu$H-FiN&{n)OguE9L){m+1BD@@1GqhX~~ zSlJ`Md>s18==<#kZ1uYT$3Z~kR`HgFHz}WQ!6|T8nWJ1M5zL;#sJSn=I-kB%fx3?D zoYx!YJ6N!{cP54Xld8O(5s40;K+FI2$T_hc)fpKocS~C&2CXR5f|~W^_N{KTr+fGP z9#dXxzh$f=L*14f{17u?o8--bBuXXHE);>(pu3Y#e_09Mduoz%33%>iPcqmIUCqov zQNeKTuxW1Q752wTJ6E*q23w@bIKlZpX0@ zbx(X4P7Ng{7R%Xl7|MA~(4cM=qeNPSAB?ofkP1QlX#Yf=XdnK!9vw*a?o~36ig}^S zm?;lX`!aXJBH&}~k-JjZ?5E<8)<}@T6hg2l4 z6t~Q)+L0=5H?MvVi`DH2;;aYlU_H&}WyN!%-@PQr0CJ0>X$=Vqhb<;09S>W1YBE3~ z2SMZ#)PTR)UFEN}J!f&u9)GNJw;!9J@~<@;qw=G({m;z`WOtJo#laoneb2^qvYNgX zo;Gnnx8W|1*FnKB{1HBu`e7OQlFI+!T8l+n0sd)QXxDl%92K@ifCqZj?Th#KbGGuEPtyv^uZ?CmN zK=>Y>1YM3huK)}Ddei#4)$vs?USE!x*bd&^aW&rixpH&&HJ`oJZ38f^ZqD!K5PQV0 z%(|zD03;JL2LVZSQZxCXit9wN#8WqoV~ArwL$aGR9YP3;5JXzt5Nmh5$F8-UhCH9i z|E)5xlH>basQ8X3%ftGLHjcxcq-C1OvdVtZ0no8_3>Qb&c=s z_WrFBmkVT?#ZL;c?Xx^O+P5gRyAeb(gxn&Pe+5Ev`Yu&O`k?5!@!}rTIsB5uMF_zE zghk4bgB<1+W6J4&ubstP@#Qf2O72Yv2}Uu|fq|gaIq#iy0iX(F`lz(UvX=g`pbh!g zqpT&3N?z-ZHHHjlJOBAf_C>1bWCf-zHNkhJ(C4O*42IEds=@%KYFSU&q8NkkkaA+* zdlb%Axd5Wn-qN#5cnzV#N#bbi;`!4}`?O#pQ4tGP zrEALn-xcE>XG{wd{V9~EEdQRaP(yf8P!E*_*(l|YK~Zaow0yVu1RaoN9a{9eg+ezr zcSQVH%Ff6lg5e>3yB1|?GMHNaeSTR{1KW+H*j$rb@n7*1Mx7B1Rz4;gGUIiM#?<0F z7CUQ}fB3NmHqfIF=##XREgL`|0k{Y&T*cV~u6ACl=~#zIE!=iVTt^b2&!|61zChk* z*o_F1o_#gi=y1Kv3*5nzD5c`-43eZ&K|0LL3M_8MBzE9MlHg0R_I2b^>W1$Toj)}6 z{jyp8;#=)*1wjM8OvjF{{&kZ|{b1cll@Hb#3J`0PXSo(c5UaXKR5C`-Wsv z*vw7QgZ7Hc;#%6DpQI8c5;7H=h=wR&W4K+-8Dok)|Y3X=k%AegNwqFTR*qmCLMo^J0p6zN&(6| zRcYBE^04|*eKan3oW2Bmzwq*EPSKl!_FlOIapg)HG z^4OCKis7~{HCK_sI*cGfSIgpFVU zM)wRh7H`THg6{Uae zSmj@Lf4+?3GChA*8YYFAZkTDW9*|;faCsjjeCTgxkJAENVG-p&T!-VAC6>6l5qvsH zn&C5!YlYAAcoI$YCNti^fCyg(_fZXc#(TQ<$HknNpMl)a*q!)4Wepq8UK8cwzv4J1eM)jl_WtL{)G zbx#HMI%|xVvU!?f>Xb-dBXU6rLcDD=zTGyOuMYIzZ!H;QTfq7-V9oO!J;$>wNjY18axP+4v%fMz>_ zvYOOQz`Uvv6(<6qOzxL+(OPu{I@pnb$L%1EZrvkwljX3T$8JdfABNi_{`ZBltvJ(#P;LZ&CnwF>z-*r@MoHMQt#A*%D z*qqOlMhtJU%4LWd>}if^HnFtvhUm58CxOO{M)9GZD3MSsF*&pPOVh`xVq47-xrQ*( zExi@uk{WxUhi$Gel zst*}5G_uDUJv-D<(U26RhM4`88zhz}|5hLa5-yhfyA6|6QHQOM`AgDL^6DrKu zeb)*|ai`TQlk9*$<9zjSDs_RS1?q#OLCA#-gQ;~y=Hm#oG-`>Viy%!qo^^206 ztH^S!7YCvwL8L>II}2qUv`pWaFCGwM2d}R#z_8D|az8G7kn@oT{?79rtcr-f99Ng$ zLv*DkkUYDK-{=Cgo`+d8zs!Z@5XnKcU=RtMs(8!+1O@y-3J*lMYkzxU70S*p0i&#j zl=T?vqe7>OH_-jjs``Ps>6gNS&u&?$l{RA^ol2x}Hk{Ta`t`fkhFO@KAvRMX{j3SI zb8G#;`<>~bE^GKPTre*+P7k)D9>bq`bI)Nb6hzM?z9g0F^C;mJ4CmLgGlv(h_4wJbrysZ~ z6LA=8CWO@BszJ4jxo}71p zaHB^Z`NwR;K;e4U zc+NBi3?Neg88S$Clb?XQ{F_D(L}eO<33!N*{w|XyJ6GkDk3A`2u%M^=q%8I{FQZ1c z-W`$($D!(GW|(1o0irEm$WnPm#R~wET}!s?S-UtKFsRZw@OB}>SFu2Be&lo46t}6T zZD1WoH)w2>uIxjeQ1lR7Et|-}RcBf~FZ08v@TUAEyv8W;mx;i)#K{f!yEUZ_-)(7b zn6F62&__=o_Y5FCeD$G#$L)6qD=>ja^JX}4?4xR?%c!DTFAJYI!)v{gC}@!9^Xn~* z+b4>dr(YU4pN6ji^2wPgXT~2YFfR3Ho1XRXCN-n>8zd~^7(dJ$!@!i&F;hI!xMll> zPBayU6Cd<@=oB}^dq3PH$giF-o(CXR3v+YM3BxiJT5D3lRN|da|f^tb2 zi2$!pJ`V@-I&crSfn1&i< znXMc8KSyYVC|W$+gB+FavK$x7SA zv5kH7fKY*;X4kB`2O4#b$mH9K>P8m&1*nE`M*+1QBoR@k4o zVyT@RQ^%jAVm=^zcT6>~HCODy{ z@ll|Pq@q(2@t1KC`DyY)y%9RzU3$MiGf`YGoU2s^*5-r>mqw+6N-v!lf6e5*H*Y>)c5!9_ZN7$_i^s)JkN72 z;Z0S5y?>Gv`qG)Kj}`_fq5O+bQtnPx>S2GG`IrxqLq`eQ9vT zb^NINye?sA*yPdacUx2+`ZwP_uhcxRsWNX%0Adm#%~A0U)ir_v zU|+s_#93mhO<)tnVcmCbt5U!YRrOyqrvTic{b5Min=h-Fh@UDqqWnlXkteE6!FS!y z>x-a}XyCm1?HLDkQR`n;Zg0iZb-k8?iYeyqz+wJmfv87${@3Fb6C3VSR>;&4mR@sI z`w-Y%Pr!lO@hJM!zR_)Ct0!xGFRCt{sp-Eu*q`}J?N{>xfRyz`t7$KB1P<9G_B4Mt z3f!WTHStzjpxIWWS0uay{rC>6fOROnzhVfBqz%i#$Xk!1T~f9Hg~A*M39 zmA1@ZC(>&w<4B@!5ySM74~x`>*+6A%BtmoEy{hA2#~y`y2#x1hyuU_6Z%h$f4P%x6 z9uvt3jN(|FrJg|l+G|5b+ow2soK>%wqyZVe*7j;6;_qqSMZ100%eyJ~)V`8NcS8we zG*!XqbV0XhQ(4W__w4cq3lNc^_GoteXXQyN>vB(a8;b+FjK!s}!{vl!>ttjE60c?X z6r8QTj$P#up1&*aVZE@mb^OFR`8xqCj|m~~IO4X?4>oHi$(HFa3PGCdeBgOx{Syq8Vo zk+l|YBtU|Jx<5{(+dw{_)BwjL%-3K=7uH*DnuLIP`Q)5;fe!R{{*7tVYk!V8zIExA zfCnkqiue(kWcwGkS0sVTh`mUW2~8pGd*&eDD@Mwk`aLBCOW+Q?S9iK*`S@x1d+V_* zAYZv!<}M3={pD-rh_Utb#vP%WxObkxsOWFFY-L7A>T>U^QUd9+PsnuK8{9uGe6!+i6&uaDCo_ruy z$zb$U@p&qW;OQl$J7h;=Ea(<@zA)D^ZX3^z+cM@=8m=5<7J+Ioqw>MRB#WX}9W ztncbB-mHx4IuL!Zkn!y#L{NKmH4fzDg0f%^`wYGZ<`@YWW%c))f1{#jwVgo!+k7Gs z8+D{{`R(xx`S~x4cgRUA;7gpkV9I+zlg_?=a0ovgWCwHW!|gQ`^W z)^(of6FIAgXO*z)r_6R z>H$fvYD+Q|& z6WirIrt!ccTkz`+=If_5+?3V)@raHlH@yevr2^6MZc?&wkaDIKpq5&V2?dW=op(w{ z`4#@_h_yQ9`Jq2>`5^k5x{|J%ex_PRmfK5O$*$Oy)N@M}y-8IQJz^~j&U2}S7z`z| zI31R+D7pM$ti?SYuErI#QoGpiF>jot%VzfsPVSf`ZxBe@|So$#tUCU!MRXupc_ zB&qzfh5SEuq~{Cp`OH&>jmj2$Z57cO2}oVpbree7N)kG&?VTLanP?X(k^19_##04? zvQ|-;7qr0#vMOL95Q8zd@Pr^@Tz-Fb^#q8ib4^Jz#;617AqEX4s;)OXuumWG!ZvCT(-@8;C+-1_=-%B`ymhzDPNbwmSfI}ss_N8KWQ9Crm?(~d)zURH~ z?B`!uX3Vx1hIV&}!TW_VjE=@$)ex`Ulp(t3pI+TE(#@Q?9szvb-%qn@5)}a-r*X<^ zZs~<(Pn(E^psO~R3bjre4wD#t5N?)45~E>HTCeLC9gCLPHEDM`Ab3LgP{f=i_N^@P zh8yif&W}N0xtpjjgZ*HtF)mzK6=}A~^+5KAi4M%K2<^E}-S^ccgwJwclx6A!csEbq zy$cYYlQ0O`gI`P-4R!_dW&j3aaIOBTch(8mqs{HuKh8eGUWSX15(=~v{ah8~3>%?Q zjVX0Dv!ky39M~7l+P{R29R)EK>sY!(y)5)Mc1(Z&R{rHjQO?M z^;+o!xu;55y5)fn7C?3rqy&j2?D;@Zc{h2%g;^WlYr}FdyAZ6`dpLH9r?_bhH*S?F zWRn1-AR%BE77Y~*aFb@4+^=btoN7UDL{(_V{h87NFshMRmy=A3AUi&0WZL9TyQ@J!ObWKM81!3318ci8pIezmeOuZq7hM z;KLrDR0M906$4DkseQ^E7>XZ%DX0$d?K@nw?kQ~=brX!QGozGLC$N|GDMhq!CSm%D*3C=}h&kZ{sIq<;M{gq|sW|zz~cx zVhh+w#n;e?wb~wJV_Pu zC;(Q`K$b)EIKM7>vVC?e16T2?I(Xxq?cEBY$f9Cf2qNF`EaSs?=qRf*HeJf*W)tOQ@*VWi^XaxQ)|^oo8p z7FD-u7Px6G+X7r>Nd6#-OfxOt-Y~T|C2)9*ezdMC@QVceDupgy*ez{=3#A77Q3@21 zo^^dLtNTlNC0=~B5e1df{^YJWiBoIPnVD$sDuDa(Io^I^hRVu*;yjMN~ zHyhIRR7bIcg;vy0nroHRgMLacIlA!xdYY~dQmuPsZLrDG)djj*Qm>3_Dd79bnXmF| zxzY9*!fZ8#^2L->yrAwnt8&mXvUdKJG@cr*YiK29BY0K>YUbP}%m+{M8}i*IseAKFyK{2_pR{#LP&m zj#WqN;ls-zKQ7;>0M{Fm?9=;vSIZ}7ckP|_`?f-Rq5^Io%;lL^xZaZ3>T_g7ZdgV{~;APKlqcKR%W*B)v%d2US3S6uh*6qwCe}R@uv^H;Uffe_p|alp*|~C@&pqh ziSwR8l2He*e0g&-`0GO}m=_GlB-#Ves^+P`CyhT4M4tegDCu3q2l*OZA#oh?r22vH zUX6TQAJ?f`(sRgd`PUP}&qVvUvo+k0Mok##!jG+8_+6b#1zv}&GWOP7I6RZd+0$eB zFa9}(k<9L>lz5Oy5vV~0bRMR47+z!?tTE)TH+XzFM6^iTVM0XiokxAv(oA|MZ`(Tr zETMEm6n-KI>VB502XXLzzpI%(;koU)gZYs)v0@EwYM@2Hqe(?T+8>D~vX)#XWV-6z zb?Y0Q$Qi!8rSn639l4Eb>ro2qy^P6tQ%&M9^MQUP5vCg-XV~8#dKja(NXGHE>3#6` zXDmPdK|}e?F+`m1_4pqVsf%|i+x8Y{YjhSjfR@V^@#H{>3mN@ok{=Dd28;rAQd!)w zG{BLF0=Un+=NUt8oYEO5MiAdS!u`sRKd_t$O_vE{`V$1Q(2T4`jl*}Rs)p^9)dK>*%>2{lmq%nr}1ITlp1n;<4=zVq%QAdh~MmTJk^^`{;B| zaD#w^tD^_KbXB;kM=EwqfU_<+i@S}F^!`_75pYSpXgEmGKTgF-BggaFpTFVoDJPbI zR7pRUiA8W7%XgV>9JD5qgwM75uS7nWJ<8`=zrwei%TniZl0RM=W-9wF1(6EIUfSDh zmGoK%&tlLQNj)IL{aJofyn94v zet~g$bc_pkL{^ov4e>uQiR8dY$wbd>`}XzJ;nF4~?wF zXF$k_&KtV{a<2cV#-al=U1ufS`@+;jk$evaJcNk)D@PQ}o^E6<4*e>uG!jlC%vZ=i zjBUiMN^y)HmwqG(Q>$NZuJm)J5jgJOH8tXSbjZdI<=Be!7B}F>Or{vHy1Q`(GqdI68b6FsagE@W+=OqD6Uj1_x4P!xkCT=~5?HH&(JA zo)k*xNY9f5X4&_h;hZlydK_>!5&}nWp+bN}hO*}JFtn`-?X9Pc{tGy57=0Ul43JWb znL|q1m0HC*Ls_j{S}g32@33rj6;N?^mJ5iAxjxQf>$M4$GkKc1sp5^L%Ani3j%MR~CoK<_AM4rpBM@X(Baxx+t@3<+#3ug_ zWU0{#&%1y9^$-Y*B}9A96_;Zo0hD<9<|Y?5x@*6i{ca)Jp>;8keU)jxzL9P2v@QW7K^J zHB#I5OlOS|xJ0iFhof(MfP5lB;!entj7Fr$QX^)ZM*|w)E|1_xz+4d43ZU`*jZAb`DJckn9HsP;snIO26g& z+2$v8KH2|dCa`LOgWny-{zwZQ{6A2tVeb!VJiMVK0pv>)9BE;69ULB&&)B;t8@ z`Dj1mT#o>`Lq@x_K$ZGG&~#Z-(Zb(U&L^5LOSubZM)N} zZVPyGXGjp=8W{|O`e?D-`&HMgSpxXlXLsL^H@@um z!<3(m>X*$8oY4V-kug%_HxQ%W+>fj_hb5V`zSt{Y9jHk)1MQs=psNjlxl-FrWx&fK(D?J+F#3|-vH~;xHQ+8JD zy(gYU@+B0|;XLcHWxg8;gko(Y$IhXvgy>@v)UzMiVs{a6H3=%JYuJt?Am4uC#J!mu z3A9NM;$F~t`RO^hCxxzsyE3#5NBOUa)Gy`P3^hSgT*3Qhf%3#^t;=sh0lWM2$-z9k z)2mtArf`#DJ2eGmr)k-rgX9f-PM<@G&0erJiKqI*b2;)K6OPWL9w^^8WHyfSy7<_( zboP$2DWkB~Tv#__7J!Gv${5Cca)5(;opHS`c4X&jqrFn~^LnIVZ5u`EtyY2v!&)rn zhKKl|SS`nmvFnSSdR1#IEpmx%{*T+}ivpn_B+!kBc?k2fJK#lR7r-1x%W7NSQ7t=C z6BZ{E-aVE|Z4ph;bkvWLn@uXEdP1O&<@5G%mN5h85lwob~T=Jy`dU!<~uyb;;{vkI~B~tA`Snb*3{9 z9GgGhrlsbU(51vp@9gCJx$N#*-jhw&i`t9-AE28o+9CrUfmdXtMQVZqSY!R0e$nM>i9Qa1>kLPLn*hp%7#vf@Kq4d|8Mkue^ zx+A*L%y%ezy90%WvSQP0xy~AZl<&i3or$r7KWluTaKd~O|0I3oTeCKZONkooZ(1{= zm1?v9$B*}mqDyogLj?;TPHPrz==FMG?Lv&TGWVrn>3&?lW+r2CjqkbhA2*DITiMq; z&~=W*KNbuur49QL8#m8_*{Fw)h#Yb}w_}(GIz&miYyI@WXp38^W}^4{7Q@bJN2lIx z=uQMS_v+nrU#BPSPW-HBv1(t+<#_4GI23NBCx2k?_E=0X-LMZdujr4=)38e&?l}4^ z@(T^S@-8>XSqZHWXkFEm;cZ*I7FM#qSO^mxkw%(BHVV*q;L-DL2Y1xVe^L7JpJy|M z{WV{pIuWq$Jim)E)#J4&!W#Gw*kt7v=!t>k#QfOY=Z-xZXvc;1wmbx?Q^m}kU?Ol1 z(H4rlvi)HjX&Lfki4YH^5VCWt&$I?ePA{?cv-0_9NijPLHla`VzHnE3JD&L@yws=! zoMI==0*8i%)5%LLNZhS}P|O^t$vxqk!E2X{>wY>F;O!95kybM&<==hl@-q6xYg~Mz zuj6LKHNzdf%WBlmaElm+3Ju<#w7egr&GIM0;?!)c9v&CCT;c7kEKvKA!cFS!96h8> zdhRs9{9mXmmJT0_L~BVG2)rTk{3$;o6I!7xZD-osm$HS+tnhhP2~ zdFLamF6whhTh^r_SWYP1S&9HGY{evH=%jC!ekod2`!aikLLFp&jap z0|J;l;%LYu)$zR31&(w;@&i-|Zk zYt(3Hs>A4n5tcgylXcel>T~fJ&OncN_VSlD9nD0F{Vu)()vQXphrB6aXjjpuLerG5 z(9*i686h6Z>n;>#Pwl7!dRNQlQh0!bRpPFtTZ10Ildk!QvH5atd)$6G02wj&mO-qD zKUZ~2XV5Of&q_u~1}3xt0}Zs62`L!vyBKIMu@v|B8E+5{UY_yrTl*W)zAm4fA_Mj@ zg~7(>#I&^J=^W|dy4aG%15SU96Cm4T{E4^eFX*v8A+UV69UDSf#1vM26c4T|^?uUt z0YJu&aN28>b{l+YeRBGCgO#Do@{dP!)VqnFy!%z|&1)x=MDup2zxdIMh}<#1>`rQb z_ZyiSi)*4C)sb(4NV5+;jbGxi1FNQY-|eel0C6M6X#~p~-!%2ugP(Va^04o(U(hBR z4K~(H$b7I*ev3LO!7SPVqMVB+iH+nx;W339t1;5L$~k#Mnq9Ta7NV&sz~|dydo-$1 z^OgOr)hIo~qD$9MwdN7f6BYmg2`4cqW%#+^g86IP<(k1wZ4 zp(Gm)vsPhsf5RPeoinh85eEFQ-O^nE^Ryj!@sz1hLzb=`nCw}+Z0FulXn$TqTsWrB znZ7D3O5^bplm=aMfhcgj#BSEeFz*22OJ(cFDBfbx$~lg~I)Goq*hJG7bdT~vL228j zV7P%8KI5|DHmCZ&qg}A>Q_V2dXCS5w0+b+klk7|C5%(+w$|+(hD#n%t;)_~1r4Vlk z^Wh2x(iuDI@FQxZ4XWapG z-P}0)iJ?PQpP(lzD*xLmTBA?SM}}%Wm4lCx1RZ6?+G)%ED{o_(+Gu$;0)|1DCOuLu zg|yfQQi{;{rm$u+D;3%O{Mq}7nsH~+WedmaH@!AGx_>S5zJrYdS^OE7fXce)=qaSo z+5SdB3nlooZ5-gk9^%yVLH3FwGG*RKx z%>`dY44j--Ki(`Fb=?nUes0YuJT+Wy1;bG?$#0@!tUpa0czvr#V_d?rnYML?70rmY z1oo{rJD`j8Gx^F#)ohEA?)A(CdVI_g0X91a1DkGoZkbcrFCs)rD8gXAg_9H2?lJ}L z?!viUibsrwrWI2;y^8jL|Ek5gUWPlGkMJon^w1Q}a(%w%NM|+Q6-d_~&TQvNv*J6R zpJfw@LQHWtSksBMc8yYy?L_VfyfmWRHhhIMI8)bjD4vZO4dGV;)%*u$P zc}6o8-e*G@<@7MAmFolu$mY;+qw6&A@Kg)^9x=>l1Dq1aPUj52pC{2l0`nfn?)OM8 zu`O_wfw#zcWYQLAa5+l0?L4PzuPa`n!#B$esOFX)1rRrO%?Lxb8J&9kxsWt(|5f^Z ziWsj8UUAL0OO2WIYQ4pmMbOzdM)2L`|sMEow}=6^SGF%(2~HV`g0*JW^mxu zH87aAc5S7F*grE1ahjS52#D=>Bciiv7@sNeq`x)e059%0)&wHaBE0n|%FFtDctTNu$Q_|u zFkqv<+uAoKW{q1k@b7CZi;h|Sv4R8P6f42dQcjaoalDKiCr!$N@)dmIu z8j=sq?dte4AbvZY$OZOFGb6$O!b|!sQpbLcZWzBw=vNaBRCML>>@-!8faZ&Siz(%K)K}r*{j^gy~vh$hfJ?=B2+mk*}oUyw^6w8Iff(A`ks7L~xNt zQe4f{X2{V`qDt+okvhy=uW3~s*Mm<>+Cg)4lP)THfJ~YgkXgJN$?6i&NbK^Gt4e`Z<1nIZjGSt>cP!ja+R&>WRh3(t|jN?A=1=Glm}v^V~%c>Spy$ zXSAVb`RSHn@@Q#0a%`m1v>#WkW|+ePaGpI$6*BQ(Ed$3WPJZu$EPSRl2GZ*fALUtk z9pERRB)(jrZ3Cl3J~;{Bux9v;Cs)}O)i)|~+}TJ@eX}kjq^4zd6C&gfdD%rO^Xw_{ z_?KoQY2N&Xx{AJG0mB}uM@h|PPK_6WQ5 zIpM-$obAc9)hweB3LwvS-r2r#sH>seTMr+9WsB$$ilk%W|MK@|$Vm8PRgLxGabAsX z@skDp>DzX7tEr=6MO;Be{U9E~azjNkTnuQkA&Fl$bgldGlqBsjwVEtCgNwxJmsm=G^bLd-V{ zc0S2DIws0bTk!dGH?0ZrvsRw|;6uTczB_FI%q+Ir=`6jPxni9r(zR+0{ z$sCT7aS6QYqW8b8W^NofF2lX{LGn}S1j{GZAV3Y3zpoU0)p0ib;B*l6)AF-OhFbtN zuRmB#a!;f&30c@>Y0eKh(q+O1Ez* z*s=`x^G?yFKvP8AZSIRalNL^~0?lZ4Nwwvjd-LuA`~sBlu5IJF%l;f22qd{>1Lg!h z*OvaV*eLXrK5X3|Ac8&!4IH2b+g)g>;#itPn9!xNHaqljOe>t|#b#&~!K0|r%c-3&1w8r{}W2ZcM7Cl_V3M{3@?VG6AeZDgwvuW)mb>B ztCn4SO`!8KY1k?Nut=G8UcKfu!qZR+hExc$inn!1^pQ;Jlzl_~| z@JP(>Oi1V8p^d5zLzny_Do68;Ou3J$nw&_Se_S(#*zilg}ya^8Uk8ahomIqSPyEb;f1+HKfq+PkN=GK6>9e@3G-vxg}KuQ?TO0~|=#$V^)IPx@u z>}n3Ixt1n~3!}y5blRh$Ggh>QR{7~IEb*ksyj=~LSYNcMmQ$Tdr-*t1C5W?b(bbw5 z9MVQ&u$o0%e{*|DH;J6t)q5IttG*KRd0A+8*jwpjM?UvWVG^zEYn3kq+>_VBg5$I@ zF9*Y)v0$tlTzAgIWNs#2%Uq-pY8^J-l&(9EhvN~dO$`~SrJ8?2o%-kajk)Nn(2DVI z%t5AY=?nBFyo@+$H!nNr?=4F@3WUy_M_reuY4IoxDJ4>TRpjmoGu*QY@yD1 zI(^VyQz7eDc2i1*2_W6MDRyHq`&;995DGS1i1ok(EJt&IJ(8l_)_FQkcWqZP<#jlD z0sDvKHv*&q*)9U(U7uWK6tIwdly!0uRGSo^Q@9dNQ&&B?pN!1QLiQRXtslcIsmN7A zx2;*GQLoF{=dc($1*g(7Qt9=T%MMJ~$nj{WZAJ@Kz+1_9%j>{T!#BEd3r&&WBXDo-!NSXMz?4ErOK$adx_PklY{?sq4y3zqY%EXzlsH5HmMn}`0 zw<3lM7qAu?-$MubzhDklh36HI$1@f5-ayIfLs=U>l}aAbCjIs?kKOm@2nqOYDHKVe z1<^o7d{>b1h44@sQ{@zk7k0OMkQsxRY#P%fr2jpa7n+=B7N*iym-1+YF6{5KO4c32 zmsVQ1?CrD}*3}-8iYLAoJd}3wSR794K$H1kQRBesq&RSIuv9IG;Y6Dz- z=VPs)%u##t|AA)SpE^mseX>k#)y<87pOt0xQ@<&C_!Yx`i60v_od$z*uWVkzKmvUQV+xl03wpG*l>S>(^x_|#~(->;#XJv_vBdq(JI(JdYFT2=Qj$lr{L5XlcWF>L*-$NT0FDjCKV+ycI! zoGeyMJOE(2|5n5Xy{Wuv>4AbHHthGlU;_GU1&4F{XA1(H-aGGf)48D4skkLYs0A?d zk~Gg>%vr zq_~`j{zV=DRSiZZx^NGJ_7TTL*@0_TCdMN=p+YJVGf81E06nnHdlq_F^S{jp*Qo61 zIb@{QK$cK3P}Ql55P>3wL}yy-Srk#u(UQ4AipU$9HeY;$_2E--OqYLtZ7Cw-KCW&} zGIT2-*1(q*xcpuy4@ciDUsiWA)?@@Q7gmD;SL9M^9DZzjW~@;Mr|%#^B7Rx&Ou?5K zY&vzp+`>w;_Dcx`(qDz%@`GUXrJh%Ma>aZ$?-#FH*!z2p?nS&Wug;NqS_Q$fXnsG& zmj7)so96HlpO$|NCPEt00J`;^?OlRWB`+|xD_9iU%Hj#^v=kn4lbWoT(eRg}+sW8T z^K5yDdwn4rf6C)lwTNxYyRGOE1!EC-ZZg-6*yWP_p1V`)RY{^Y8R9=g_Jy3`ecR*3 z7=i3~lGqy5cb1&%q_T5H!TfenHt)QnN&__LfbUEf08lYn53+a)gSqV$ijc zmzFh@!0jn(npdq$dbIHT68y!Dfx*_2=`U1fv@MRh zErydV%x+;_miFO<>Hi=HjFw0MjiT6DC!tZ2)ax!YIS2?y(oBa8_yR^gZr3eMufGm- z8*~k#Rq-6Z(bxZZlL{QIOSDBodi=g|l0n5UySv$U4|7rx&>+9&xw%G^fV=$UM=SI=je@}(GU{VH9h z7T2@F$nfml$3P_1=hzryr|V)een8YeHeljz#esNH%nXqBN{UyiJ6enPM`EL7OB@5| zoE4wd#%@O7{-ku_Ji2g-JScoSLtw_wCz%MuQ?;gC2zb&OM0M8!<=8qpD}#=YS1JE2njhUjx4C} z3FG~WfuH1;Q4skEpTn;X_4M)Mg3}3JH#IS;J`6JBZ`Kw8AuCmhw2aL{#<6Zxak=c? zT|dY*@@Vx%giLbyyWk(v9cYbVd%N%d1KH6!d?hR5fS>{uqZ-HVz%-Vr!7xX!x6 z4Zyw&aSf!!NX_KV$sUkn>wyx)30%|pnZVqMcQD2Ekpq>m9;u&o^&VbeH|vI5Hu}X= z@8xxyVz|FT=*#iD#);~+nf;c^Ysl1-iq5%5H-*jG6QHZY{p_&QV(IijxBnR1al#9a zq<{$fX_5+YEa-4W_2jb@k$n#cat~Q?kGvcCO! zlv1iZeDOo8-XDt3IQDxiAUPk3GVnL$Gez4kBF5z*3M#zYvlG@6D(?!F#(YKJ+ z58}Fa5nORp^9}NR30e!B>O3D@G>N_+SL}e?YHQsJr$t+s3v*s;Vdt*YYr1}rdb^y# z&~P{gwpA!3=U=mtLaB7zh>`T-l~2?1^>ZJUnfs<6W2f{12AL>mU(9a@;bTW&HCdwD zV1(UHGB8`R{3&iNPJbpwRAh|i6=M8XPxg~*tD~Ne2kK4=YAAC!4Ikw_#)&lYwx)i? z3@AqA*`nPi4BFmLJ?vyR`xdtxeMXR-{pzb}qI6&Bp$Bhrlql7G_a@+GD$VoCO!JQ5 znvSt!QyQ|=^+xoyhb=%V46ygvExVs=d21=Jcg^k|Li}hWE@_M2&kdc4*xOO*C{sCUK>LMQO_?oGu9$p} zg1?siq6$IY9GzK5&Tzu-N|cOuZn7CNQ~h`U=E)Yi>AQ8G86?} zdAa1tY%WBTfsiTTLAAq}0FP-EIah_u_fPce?^(l?ttfVa%GDFD##6%jM^$bBYR%e5 z$MU73mnEd)b!#D?XwlTckZYy$mB;HJ)Iyq7yw>N@z0CjpS7&1n>DAL>C8y#F9yPZD zx0`+tHiLJuuZN68Olce|1Qr3{VUnc9AL5K406iExQt*xRGasJ(pQ6X*UdiQlJIbvN z1H}m{BhuR724O3xv(zlp$!5t6Vui0Mp~hM7>mda{w|+F)38C0s@5$+2O)S^5UWD2m zgsuHF!@RV2q=rrQBN%H53ips-2RR%h_)aes+6e5oB%Nn{_N&nWhi1BWKe+jPAg3FV z8jcFvyLdiY?lqt6^kUjWsUc4z(FL~E<-#2idr~l`Ka^2+@L43VUBhJ&w^oFx{+T4J*!lVs_=t%o3!B_XLoT|lRBriFW|cY z=GKZ7dBaStWL>ALfT0F%9vDxpA(}$7KK01aC26~j)8}#1j8KflgzZvud=fQX7qE<( zBWCVo02$9SB%nt4D`vLu;!Z~J2Aq#_9p!QD)Afj%Pxwg#%OJRoW()m5D0!(ZezIC9 zV@z|+M3|mtCsLzSSZCU$WbdF?JMi@N*@AQnYYoOQuR_-*_ z^+rXgd>lowe@uDIe#UO)bWsa4}|~{=9g_wN7p!QJtN5?jfPhmDg$O zPy}rU>|ST=9++#F>7-s6tjlNC;-~%s?Chh!EvfiZPKf zn>UK}4^H?lto7Z+ABH!uU55cF#kTVunsUbICm=2q@IKF59@3@>lnW|JDcVBJ; zvgeK*1 zia2lf1^0Cv87o^AnNemm9K}SLF>0(dJ>~E$L&%F2z9IA3H}OaA8cCEmhg>7lVWPHJ z3+;R5Iarq6m;ML(Xazn0-M^1WX}I~xHXl@?d5mit7W_yLP%(iAeehQW{0)=-0XBIa z5l#;T-h7n;ekPEQ)FfWl(X8m$)=#rv)r$&R@M6h&e8hhEuqwg-c>OpmxD}VR`fa_n z%O%-9gg7CKbGqM1@ZVmf{a);yeSWJ|LhlQ)fLLB8Pbpz@S`njTCsaG09Dnx>fKH1J zc{_OJ!-M@)ywq252(FvRNy6vx4yDHM2vm9@+hWwOZfGj%epC4jb4{?73Sj~$bbz1S-VnY z@wzaVn5ZUk2e#bAz`5(FMnB5|6!Mrczw)MiMG|^8m6Dw)##BKtI(%{?9bvjjc` zSCdC5MLt<+n;J_&kkQLu2EYG<$^mlKW-eMrO?oI5_T5*Xm3*3cNk*0WJ(}vIRsfQ$ z@jgcj;$`@RT?SZg@^xRoL^={esJ(Q9AkLxqTC9G%&= zeBP7l01~N+c-}FS(=@sFKtWQpGDfqMTL%Hu%w#}hy7nQ6joT_94&EdW?{^bk^KS`x z`yw{Iu3!qu0aOr}Wn1^{-8e9WvFsXV>gGu}(;&y&7ZvhkyghlgB@ccbg5P7GV=*d7 zEo%w+Spb|u;b3=n$W8c{V^oM7q_VLsS)xq|s7`O&(YvA4<(i?{QWKt-)oO80xlTv{ zSQqDhuS=#dT*y7dZSb!{bDa&DEsdp@t$;+j=11hKCv3(UiSLPNXW=KC<(=&^+-+Tl z=5itaW@-Q$y?y`I<2O!oP;&NimOWiO?KyrpEzjOMjmI~8YyOha(^kNW9{~*vRSxbE z=_`&F;-I+ckF?5`AJhBJ5l^YxDaM>}-KK>Vx_WZ*-oP$@iH-i<;NiyC^_xl;mURC; zmXRiHA#uo@O8bN@AS3Ur6z!lAZF&6L*@D5Cuy6HW3`ewc02X8v7O3}p)Ihh~p&)hr z>wc*GwRZurf92@=XewkAXM@9B9Zu_#=6 z^}vaUJ^@DtPSM0RFS2nAKX8peHvP3Af^elZUWJD$sM;|Al!hcpSZ|*H3|pi^EKY@? zPQ%2yy2$wa&KnLyM*vZ(OXq)9X9h_2dvgRVaKIqlSY&1;qY-07qDv<&t7To)g0;Y( z5~&`pr>60xJoG^Ql(}$kG}{Ph2MLeZJY;C1l{Q`%VoGx=2gj4g7`IegyFXW5?F77n zyVIHc-oW}lbxQDPrRV;t{Bkke66S)Ti6)D_aTcB;vu$txJsg*~cUS6JD$1)Gdu@@8 zeA_Ts(C`(R9T>JIHM3lobn&J%BDJqhpHhKM`B_(w@usA}wN(;?E3qVPvE9KYnxAt> zolR30y5niz+T~8A7SSfOtJ#oQG!MMOr!p-NTq~*kyIM%nobwC)W4qad*1iRApVaS? zD=)AwNabA^(@&OAezYR$tTKGiEE1$&)Nms|MS%>jrZtYe30F)@Ha0i#$Uir;&B*9V z`Sp5KJi_F4=n|**h-TTPR)IrMND# z_s&X@z4yL!bB(O4aQP5@fA4;O!o82j>wV7iJeN-|)PA2&x~z{TY*qmO;;|Ey5R_1?DOR{HfD$^`Gf_YYqEbZmkg#`7<6#881in>hk$>=Q+A zu6EE7$wf`WDZm1~C0=wbmf$qQY-}av8KBpCkn!+sO&n4If7%$7BF+0AofzJ}$0(y= z$0%K`JkEDgiK#&~xiIQoAql}?+t{Qct#%IH1i6pYl>(UlvAuOUz8lg^_rLk&blyxs zZ@z234Egr|Dx?@kQFFad2&rVC+1$&ELdfso?f(QB9UM;)m-lR`1x+Ea-Un7OdOf5q zPDCjRc~4+<{*n1xJ&lzegv&KH$3YHy?;{iKXVpvT$!nS|6mP|8Y+cM35;^v{Wq{^~ ze55S91|SRUwb6w}sc^+jy+4RqnMv$4-SVVUczphBp;B5pnDImDm>F^Ax4GtysTOMb z5jX=+VH{e*Nh}ikX7)Q}f*4MdxR$Lc`g=zKUl#bXHJ~qGch|_yg%4x&>%(Awl{THV z=bzhZb2Gp$%;6*+m;5;muus2`0r3i=r~^SjHPsSe@;lLRv{F*OYKCpL0u)!IWL$eN z&xdm(p>Y3l_5&4K@p9Sd#v9M)=LK@OoT6Jk1t7j)%C)q@Eiw0ACs%+|2J=XMKk7AL z?l$y;N1W%tUFi7OFtw{zHYF1O+aT7F60V>o^E|ijL@9A@M%fZ8<+V5#Dx;muj?n2F z7?X*%8uZcGEwgY}9vT37e7`FLgc7BpQ5uRqI`(3dkEHa+ zP%dMd`ZNyzvMOPN&2T71b6PXJOj_}aij&<8*!y|TqI)Rs2Tq@s^5|ABP8z69&=f2G zyeD$2L?_hs?pfveZ3*9-F6&A%B=7jQMa~g}1n~LfHQ&XU#);Z`?p9l`a0`F&ul^e} zpp^JRti!9BjBsGZwWp-=vt=4lI+!XSJY28qW~7FXhWUuJ`H+<|joz0f%X#-7-rsuD zx(Yq>znneyzu2z2Z}U#J+UnD<;l|_6v#<0iNO5WT-_c#8uKE69o1SvEsqt6#R15gd z^_%plImEfKn{~}kd zv+T8d%U#ww&H<8``lsT|+e1b-3V+1X6%BA)ZSCI_nbtA6b1I6r%#NN;EG(mpTxt+B zhor+6n~>u^S=DY@hGv5@fVi*nfL%>|zcmrLB8D7`ANV zE-E#q8{(rk>X}BB65sB98qmcy4i|Q9qnB4m?m4{oVUywJgeNrA6?sx$B9^=yxt?}r zVvr=)UG?r$GqkzyN*!@G-nSIurKH~yL8oZ^X@jHWQc3}k_f8%-HM+%#k8ni=`Btu* zOE_33(xXC;o@Y(`2+J@Rr zNOrC?A2_8v#oTxe#oBQ^5K;H`F^e)|`<4HO4Bvlx1M@^w$@A>5YJJF#&Wbj?5lG*j zI=&-XjqD$ikDkk6_-MPVMxmH>v8W&mG@zF`_`E)wP3L)yYhoMG5`pt(9p6X#^!^X@ z+*>O3X>aP7#@&$p{l<*|;_oUkgvuSggh4$d=T?c8k)ifCk)Iw9MmnxJnCOqg077xj z=$97NK-Y&b!?8UtH;v|z<;4bJrMUl1AGqIp)W`B@knqQ(;bT3B3n~}(@hc+Tp(?2& z%iBH{B7jAE^hwebJsx~B(;^X}Gr2rf`)Pjr?_*#7_@|t#!;K{F;3Un!<){(Th^}>B za5#C_zQ(x$$+oQZZlC%Ur+b=VtRuet{Z)_++DQp>BX#ymG^!N^S-^U8-MFbIGlt{i0lX;G+ZW(m}Tg&B27Xp9a)U ztn8Fxt5U~WRvH1g=$Jac_INlLZSy)e-GkdJ@ByW4AHBNLhf7z1^AoToFa^o4Ge>O; zB2)&pu?A6LC>VbW2O+5{ENtzsP~GvUnf;_-fA$1>7p(#g6Bk^hF?9>gF?2}p-5B5d zq-*6^t_a#_pW**nb7&Dw4O>+{3-yvW=`EVT3H&4!`;mC91FPSft7rQ~eGE>MylN^m zve-u|`5&l8e^7*8OD?q222Ht?I=?Cf1mVn)?f(2uY4T@~K2Mxczv-Z}(xKiw^@Rd) z(`m*M!{|H&>m1XCAw<=T7siIvzGv>ZsH{G{i|aMtpHdxdwEq#nd8w;eM6cz(Q$pE_ zh&<+L!dkxjs{7xaI?QZBfc;S{&{26Emm8zW2z<^am#f{><=Q2KfP?1zNw@-p4s8?J zj&s>fA6yw2P>TEh;Fn~4N6K+9bEbVCjUn#qP~|;gF7cX~Wc;7m633X(``q#}#q%^a zgs2wL;JN!J9Q@youuhz;mCd3obvazxE&|^CoLkesdp#Dv(es z*QFD}a_oj^upJL>Eqy$8BU-Xg%_si9gq);;W>s@|H%9z>a7h(&Y$9Sr=nHl5Z9P+|I$w%0{LL|M z+(S{mIu@9z*7qQJri=0*kBz2ju#4B5c=y1!~hm7V0k~v}Jz}Yu1{pTgrhi`N z^P<++qTz3)!jVmaZ?;A^MK#Uk1hfi2(6 zEM3C8LjcN0z47;4Tl>6S zZP%<1ef^ro##qmf@QP>F#LP6qe)yga9M0-C>}4?Hy7UpmD&tF)h(zp4RN<{E_?#!P zoHZ*W2%!9FM2igzV z)$iS{1Ry0e*F`{CwH5}%=EbNPbSCs1vuyzy+_s#mWh0~ZM!l|d(0}4IM5CLdMuB(G zEIP`R&}skmiPA5&-mXO#y?unn&A1H?CtC%!YZq;E`fdKVG0l7|Y|3(K_7HKYY1}OP z<}3a)PfN(uTfb+c5>+Sv=4X=JsauTuC7xVy^`l=HQl zhOHGMuc;Moydj+QbGyOs4EQ}p+1H7~$=pnu&{XFFp$&16;<`wMAEr`7t|-Pb@=n0F zyC6k||A7)kM#0`~^%+YF_f$0w{+oUNk*(GEUz_Zc;f>e{)np1H&&)Jj)yNAz2x;(485XBWQaSEqXbOvHlQK&4V3r9R@2Q>_LxAreq+RW5R(O?%GrAva^=VQyZL^{ zw-32HWmU!`seSrc|0}#c1LpAxzWr&h@t7*@-9N@f`a3jEA{s+QF%#;%N=O*~ALz>d zb5P-_Qrw7Lq^MnP&)Nq*g;RdYXtNpZZ^I}Ikn)M{LMelIXc3`rN`K^N*!Z+_a>a3+ z&(--`_}*`ti;1!APK~G==fS0Ccf(CQrIw@5=lm=`6#Z*FSW{LlOk99%kGV>s&A=oo zY;bN>7-}=4e@ZB?{Muc!i%#FsCv`cqR{J!dKi+fI23rZMF-0@V***gfos6*NDD=Kk{t6X~lWQ#e=_wI5<~orCPveU9viO9_de(D}4_jHT^+}a# z#(kO5h_OV~nZQrLrw=huyf4rJd}9F53{2l?_`!j$RWSk)M4_qQQOvIO2(W94GfGmx z)~CwR)HD$A@8>vV`}WNF{qwrsZqKV`9z59FXr<}bM2_(==X8&m!fLVT2x&UF(EI}f zvju8jDhBe4l&qnPvLk`a4{V)% zL=;LHm6x-Luasj%l{9yRy|PbLpS~}|7l{idc4+#QGBCl3++mF;rnV4KESBBw2sXBt zwwj?4GCCry%y}UuMBSvTQsVD;$J`R=VCgFI_Y(zO4HViuIIg`&OvhOL%(H4vsit7<=lx|mX0j>GUYaI;LM@S>~ngbmXyRyWW! z?x|o6H^-WQ(ESwq))W8gjmLU4}qc{vGBT}}qr zP}+D6>*kcjD=DOPSNo`bBs!@FsCSIXq=biDDwoB3nH=~$Zj%nHY(4w%hDx&QN|g=P zKBXT#m-%%CWsL?>VF?k`)^TO0qmLfca+xbbvHSapyMyL(fjo%2BRf1vvTqP<;&b9%vW$BThrvBbAe z<5Hp)`!z8$9xG84o#(gbGckADsG28$7nhywOS>PrDfu^4-o&G=BR*#xdvC~W>tBt+ zs)X8ht|iS#)4gta?ZdZmLyQM1t3~OTc$?}lM~OkDZ&gKHmiF3B{Nf`ZN61D$H=-XQ zCT;nUZ&>=OL_@Wgx>8eix*+sV_LI!2!gPUkLX?+$G`XU_7rYKcU--0FSz<7MnD2iC z>ZGRj?jAQVd~_Zdw|cexeW`lEH8RC6`yT(bD4?$BVuuZ!_VQePL327`6qDu~oDQ_o z%FIj@BDecJXEfzyt}`=aXiUZNhAEl*p2$X|w)!l}0<5fLgG4mG6lz0}m>wm`nikccHU?z7vNXDf zK9r{Mz+4GM~61=^pRRObmUVsrMK`Et~xS8d}O5@jXah}xmpw=cotbYn787r z87-F6Ld-udbiCXWreNJ*)d2<}nHmLIX+aL!U+?0Xz5hgAT;t#fq!YS(v{2${nQQEE z)j9FT8J4MIC;YaH{c=>4DpMIDD+IqJZBk@jIcKx5#Hkb5YulPJv_7EsM)il1`_at0 z-|l0lfirtgT!&T$qw1}pwL&gH=;N14%2onN73 z@A!GZLQRzp>dP%sx#~H!U<0SZ$xq&C^>l5V@m20gSXm$;&PpDP^`FJP{8o;<>GtX0 z+2`jLi)g*{Cm>Hb0(@{5|L3?S%JkjKvg3VJzPg^FPjHYyWdelgtn}smm2{^`lH=YW zyJ~0h9?($7ngo%F#Mmny6aE_4NZ>wNCL>*)prLs5F(JP9OG8UDb0AmTXuCIeJ9S@H7F`A!|jsLV_Hgd1_u8)gsaKBloa9XQSV&oxcX z8RMtK*tliJe;DOHn3PUP?jO1%-TU62!6-v?k|tGIa)qgs=lHk-eSQlaKgnhi+G)>* zVEW?1c=+cWzD{iNy;F#;0$MLm^}V`-6-ss6r20gAWJm^i{DXMLz}^sSlb`z6;e0;Y zt{YfbH^om;11LjfHM_J1U(luSyE=w+&Hc#J^eafQ?PWGcIH$gqFb%vBJri~?A0CePhKM`_s2RNXHMDg7Yw&C@-EJ*( z;P<+m!Vpg0HoLXizK-kY^$GVjvg0+NWSTk;1?2F9*Qe9|n|u8>lhcH z311G@#wodjjhX_T<-}4whe~aW09hILrKq~y?E@MYci~~L#p5crMIit`HoY~(%dM_Y z#4|7ZLpb?zEq+_aeSDC{E6XN#;|kHa|K(5t*Qcb<}*Sh-+|$%)1r;Ql(D=z>C#$`{sV_w^H;R>Y|W5 z$J;+FF|@47v`}0Jz-kcHOiU2el_LYui(`*p^byQ_zDJISVG1+tCDjHM!r-|@rU;} zCXVgzVZdYY^zsxJLj3=omTOa$@uh7j7?G^(Ac{U`)Bh!>{ZxWa8ABBy5t48&Z!C8D zS3)fda{$*{h|m{TlPta9wTar(8t&@;;tgLdssgtGqytql7nW%^K3!fTUXDk7`axwV zQN&a2H^`r=b8GAR8G|8@I=%Tq4S!u{KWkxAt*82*fo>-sk$z6_RPD1KX~{52pWJRX zk#^UI5CB*L}PZ9X`f>{Xjt2#JQ2ofDVZkf@?kKHEv0l6ub5!IXc42Xdc_KufO7@ng5tvs?6@Fg^E3(A3EoG!cqd^BY5-$9BAw9AAY)gmk312 z&7%CxS4Knf&AL+=e?v9vlr>U?&N{On{S?$4~i@gMIU+Z;$|@ikjKZsJ3f7o zp*keT=Le;1A$ZT=X7V(q#Kdm4N2jFh&VAne!xIzZMZw=kkcmt~&jz>qzRne|5K-<% zqnU9t^Pe$qv@L#mRDQJLj%;XjDl*4`_I6bGcr*QA`FG9DNrqO{ea?-kQ~t@KDQG(m z_u1^=hKE380y7@#gphgUvVsY7@C6hICzs2=5>5m3_re-`UHILd{G@3KQBu*lf1A7{ zjunjG5?UVBih*nY6wpK>6;%WDWN5z?$eIc^%s8=P4qj+ZO8=djbNO&hqwWKWT=1 zC$yOoweP+^a9oCDU$0HJVbKY)Z3)EYwDA_OhC^#$;DNmG_>rIpf;vl<$c%ds8zK|7vS* z3bcb$!yLwk(M+B*b2fz}&cXdLv3Sibd&8D(0j3NFIw6jA-YTQ_vH{7$fJWa3Z_HBT z_t;ahwz8WNMzd>Jn3u{*IuW=7K$cYRn{aFN4o%vm1>2Y;W3W?isv0k{J{|KAtj@cpMWeEoJc_7+<{CR}pch zP`|j&10n+0e#}yo{+9|()?h{Phmhu3dZedZs=Ckowtli2bG;gD3v3cjo%BM&7f=Wt zdwFS`#WN6i$a1-d(dbtMz)VJ3CM%^b2QrD%ZwW1PU-~?CziJ%-CYIbk@l$=7&pJ_& zENO)4Iz7P7ibXd%1d6VQlx)X$$+5q@Zv57x_-@4}Gdd+AaJ@4}oRCBuQ8;OW7kE%a9W zm|SMtIV-v8&8&O^{-k(-zLx5cnxg#WinH8g5{jelue6qzRLh@fd%at36lpVQoh8G8 zt7{ew!1_yZ?aCtPiqyf*6Xg2aYhoA(C5W=W8R2&H?7mVC=1ya(kaj|YUjStp`60%f zMV21>HkcTBW?Usu)o|A&MHut?sm~#!<#goSdj|$)E$P^YnH?`hpxGAnzU|s z6Bap%kRlDESV$P7S}5&>=(2^ZmY?Y@&Q2mHDWFt3(aer7H@|Wxc(fSyNmcLdEj~8z zdZAV8jS+vDVT0;M&UH&y$EZAO;D>b$@ zpYa?YlF|03r{=(t$6dQhByJ1H?Wi-KMHuv;qs$JKKdNyt*Z1&k&u4*Kjn6T&*Ui&W z`bZchF6dQBgO>^*Ba5_CH14{eD)JLRp2uswrmZCrI6R%hjGhuUlsJfb}XEm zer&(xX~l1s>hA=OG!zzVVKG7{YtA({8rZ(fhbYZ3daX9?(cJxz7Q*ea zS1-4&(0NLOxW$fKvCZ>!Wagj`0!x-IsukP`gIv;H50~~$Pw)S=$YW5scZe(C7SpP> zM0}EeR+YD?^hbsuL}5BZOm*4G6UI|K1+Txl*o6y!U-{`2N;@MZnvk1G!}rT|`PM7& z#b76-d9GS|cLFs7yurm=L9zszf_}Yc>nXDK5zf&eCDjD zee4Y`y+HME=YpKn0Ajqu+2uU1HR)A6r|Fi2<;``pFo(nd=fyVjEvo9LXygMO3FmjUJ?|2Jnj4#u zC<5SRYY+MPt{48I_DfrB^ypBjH#;dNNUH?{p?0E*+qA?#t#D}YoPlNa`o9G9>Ek~! z3bgdxos`SC4wqk#K_0#SU|dJVQR52i?$+%0l{-j=Xa!Z$UH}Ad`0NXS899L;R%Os= z`4%cl^dF&Q-v?|5@oRJN8;^Aqu3WzvlYMl1CeOoDyn3NeH>2kl>km`#W|PDn6K!-) z489_fsmYlAuiq1GAF%>p8oHIf-cxe_btU86x3U$p*H$<9qA^i2XucEPGMv#rH|;;s z`Z63`3gB#tqk$J=qB|Z|ha4?nry@a@pXl}0XK^oAS!HGWP-+Un=QGj;>}u}m*3>la z>vKLy;*F5f-_oULZ$$U!6^{#XY$?V=j32;;TWO{XMFp(@2DRO{YqUu|X!0H$bzZVM ztBMv<8ALEDn0BgdKWo^9R##g)T0!GbB2SNc)q0?jiHKW5=s)oxpJuupp$7hZTb?BB z-)F9&{{z9P64h?ez^ek&eP8U{36JJWRUSlqEz(%gSEK$Q|VeH>mlH>$Zs*J5~#o0lTgVob7G5n0JfMV99|L$S66Gz@t)= zt);CC*trujOcIo@zE7E-!y4OY7f`6ciLDQiwf^jIZsXZ{OE7pn>=l1VpNauP;T1LH z;3=EFwlh*ZE`vw2wN>24j zR4FFZi844xgp5vX)jW7e-*P?HPt_>30MK8R|L`?t5ur-NIti4^(E-i1=iMXbMM|DQ zc8Vy`_PnH!I2Ungoj}Ok=U`VLhx1B4lfyWibC=|zVO!wDX+vi?zVV2AsPeCo9W3n^ zd;n@&9Q#s|T0waijgHpKYFV0E-}o>vOfuTq+&*SiwBx(t71#yqbxn0112FgWd5MjY zXRD{Y5w*IxnIsiYj*3;#-v`8|VV@}rl6lG_s7G=_ga(<-+RbKO$@4N&wb4e>7P-G~ zL{_zse%uoBW1ccX>ZhpFlVpZ1Fy)>MQt4Z2ovNF;A5=F3ZMi!4-XpB5cZ;=0i15GBL>pR?byf2F|JtR)MtnMZ7ItLzsnyJWSVWgp3$#V z7nNaQffq6s4{S|7R=lOeJS*qeO1vsKR73i*%kG|({RIwtfN(dMOm0k79_4%D@bZh) zaFF4XiS%j>7CSVU;B2Q;tv}YmKykAe%~S@ zUM(+>3nl(6hO9|ey#GC@=v(&gQ#4tSWe|VGh;Y=0D7%JxjAm4)`J&Y1zw*X^STsgl z1roijUp)6Bli`|obo6ww^QWj;831(#)Y&x>#ZsR7)MaCV-yj8GFB-JBt%yPLQe=&-9+x41_~M+8lePSrVD5&cVoJXRJ8 z-yeU59o9l&yi(96O`s`Ww*?PU9vlmGS^g-4wOH^`<8@2E#uMZPFr5C(_ft|iL%yFR z(QvyQUgnn!0UCpo|A9pEZS;Su?jUCo zrgS`bO^QF)??I94Jshj>TF<*){xF z&1batn0I2(*7i~bURh5lqnL0H$|$LTbIrjO>t~8EGz?y2*OR^b@HH-cu&i5cLt}OO zYicydz@^xOvXAosQko_Qw+rl5eJ-d0;t-CEp{X{kH>x_upZ-GZMh=Pr)JtZYCgVtk zu&y-|Y(G**)Pagc$@tqR`9CIpZq`3uwF!ru9A*qVaYDX`Uij-a2dbo{GMllexoI8U zmz}{3sz{`Uy-38Ri#sg&CyBrDf^%{sgi)>7MqtMR4!`NkQb$n3!K?jG9dkF&Dy`sy zU9fE{sW$wvc(Ztq_gDAMw8ccpCSDx(uZ>4wr;STjgOz%gcE~FeYew~>azh1+;pUAO zDM{H!6>c_>23VTo zPxO^Tw0u00C=oR6V_W(yKpt77Wa$(B^!&+60#X*IhXELPu$N!g(`9Zr#mG2W2SA1o2#l^=D|GK%N2N?#Fp5crOY^d;rPC zXdkhh-0cVBd0#VHRd0bmf7UCNi1H_G;UcmUhzu%1&|uqljj48okA{|HxeqHLJotj*?n<| z(WUWsr?}V=^m;b14wFa)QI;V@rD_xUH;Dk$d*AqJX7YYGfH7Sld>Ma=3*&)t4{Goy z>1c<&(#`6hdEhr3-%Zc73QXXQt{Ej; z6l}A#rPf|m#+#<}YOpc}`?SZ;mR8-!X7=G=l%{j{KIoOVR{BYWJq*5Gj5j%to(0Az zZ+0@Hq|ASMbuc}%GLH4F4ksOC{cH;GbP73)pND;E%hx~u=UF?-;noGDTUq< zI$|__6cX`^=EYQVl0<7p<*a!F@K{vjKCp&&w}jt%m?QH?1@iuJ5BbkZ-E(Z0$Ws42 zYVlTvPc!8vLzr!lU2U(V+?Y?sc4t{yLdR?wX0JamFwLJ=jxTzyVuc^L$6mpqL{zqG z*Jc5mp#W!gl;3`tT^n+2hSNw$0k{+1NXO+|hgJg=+dTP6Car+AmsA?L>=9%DpE6-{ z`(jmdXKQ-7w695=l6jC|Gs|25eidncA`saW%xe*M&#`JHOYPZfr!x>`QX6dR{>j^V zZe=*l0hr#u&ETMAx&Z^ZjARUy#P+t$_!jqT?tZta^~Z)i(cyIbV**>gt;Gc3~fa%H!5 zQmqJ}E+&tI&3n2cVf;acUuHaV)D1NB|C`@Z#7|A;TF-sDr)K=_cPcd#I0Z1LrVM}x z$9t~j`))fg{BU`1y6G!*hm1lNcoSqT9btIXfYys3%8Ls5wCQoxW1V$xP5l$8l?cik zQsZ?8CPiZeLb3w0Ww%+e1Q1{|MOMRPzokeoUB5Xhth?IKwju48utE(xU;CWuOkt6J%&Hvd1liScx%Wr zP2@F!v-j6iE5?D&7}u6hR0BVF`D-l^wEO#6OC%;0>t$YGw8bcSb|3n1A834`eCKyUFo-ITI^VXBTJJ z-yD%auR!-m%PPAvX;XI9RWtrpD3)z-yxPSt2~#TvQtp58s3C|XF|i>4{~DMql^U*x zDEp>=p?pXI+11TBZD7rm0?w?+w2^1~L!^Nv`Cvo47y~t1T0D%32O&mCn_W29ar>#H z9L$@|!M$BWO)&Q17vh%Iy??oXp5W4&d!qKK%~7IBE+Hbx(`VO2qDfLvbi~--3vlj* zifN3UI_9!WOd1H9eIv2(W^!y|Q;}T0<1ecibrjz30Z{T(SHaEWUhFk2v*|6jp7Q?9 zE$BUNndjV};y#n&Qx`;%k&%r+MUf#Ur0Hcc91_vb3SAZ%+Ub>Rb!Pj|+L8}f@Vg>q zC;PR#B=oOhBE?A+BItEN=sT(BF-rOg?^ANNip+3{y7)La-00w>!?L$=P!ay&tKHrStGQKfPb8t>i^Va#x6Vz} z#M^Hp*?u&jnBCkUb^cBTb-RT34+`e(xZ{wtfIYgH@SHx7@0T)lxj>_3m<^knR8+XA z#4IcDZYIy)&YafRK47)}a3>fj%z0|yY_g>ze!pVxlY6iQ;AYbm+r4ot`MuNjoro8H3D;@Ft|98modY3;4&^NE+l4oMwd ztU0}s-*x=&{iR5s^})s{^RE8k!%LZErADE=Q$gl9IDOy%J%{&pKM~32z<2YgPqP`c zZnsn}e=`_uYLZ;g7=Dk!|JDAbO9BXP#4D-bMf1qeE#VpPV9!yT>;@y~YZye3y|FXN z{!M<)8+RKKcz@9Ey>Wdd+hc$4fp*H&ufd#Mcd*%MoH}QmThY7m_wuqfg3!joV~_zC z9Z7`C-1OVD5m9BsQ!Afjudojv=lyTaGTBzs85sE+AnpE}3psgxYr)K(C+BPoR&`r2 z_+OB%&}izSv6kR2)TGf&Z_LSpUE;qpZ=7yUuXjoodXQT~Dl7Mpz61}v07SHo;*I-I*h z?!?NVlOw7pztt2mdweYXmojqhVbu~+>q$4J{MR?3yX;}Wv3uzEpre}#X%nfhSYo?5 zS#MCvg$Gp$NT$?w+YpnUZ);b$-Xf_eh!~i<^pAD|cXa`cWv_1MF=_aCht{N3b<}48 z^@q}BR2V*r_~P3d#Vq$rZ`jL$<>t~%Mf`w`^qkw=N!3f=2c0PI2bw}&lOFJ=HYmJd zv;`;E+&OW|BgG01gQ0J_)K}m{0Q^wTu3{S!h9kS_%fx;vHA6nNI%E%J3v&sg)FHe? z(;pa5W8|4G1pEq!q6khCPE7Dm40zE88@xZrE^BS($#;|}Ez;|Pdg%z9a8J8E^CQja zgz{dl!M1zVerWQAU{N6M>w!8Kr|Lz)srh;`5t@vwHY{SYmM-yUucHFTphEC%6ToX_ zyA<>$^SaBGijW=o^U}Xf0a{<~7^CW%uVxshPxQ}df7U->BYTEA84 z_rrkPUHt}-75Kh`j=65QjhjUEXq9)JWcZIC5j&FVO)P4D`zaUoIw&bz==NUq(@I?7 z*3)4- zfLF3{i?u%1RXeTUv6iEgT(y$qa8JDa`EB@4k4F+N$U7^$Rc#tdkS}%?p0TrfbcL&eHmv1@h+_7u}yFdrEd+p#$Sc;d?uWs;E+hXE%D*#%)xp#G9 zc~jUy2=?vBSg7&H_?<9e-LA^3X)-} z{ulGRz28Z~?@pQpZ}YBIInq;1v!EDByCg-n6=)Bqa|8NAkZune?-~AlIifB!gxXQ=RK$Hv(5%1Go(K{uaX+WWIbI$llku2rvH9rb)sIvZch-J0hVL|iY-qX z!@u|Ie@Dmw5e~g!K0->*m`dHAY3S`!#X^s!(ME*62rBmo??f@Pp~-maHUWc0t0*SK zSU7{Bps9KnB7iT^4X3Hyek$G3V`Hp}`*7Alds2kI5<#s|RU&uG<<)&)Rh>UZ?RO#& zB3egjxDWljIbi_>%Yes5uomJFMC#wt9064>O=q9ugW%>VBm(IhfniFcv^UdvyN~I) zk2!R$Xj*@<3a#~q{);LGp+N~dh)-Sm?<}4Zw0!y&c2Qx$_ACp(s`bGN*on0Qds>CK zb#*nH(RH-_fBJ>0!U9d7_-tcev9j9`#Uj+hT=l2}=<&p)`Lrkdts*IJ>0`zyc@RE2 zob(hcQRWf5y^h2J7mVkdLnQvNe8Qs~$2xuSKiu4a5a%T@UNgIZ6II|$3}&YUs|H*|kWGoqUNB`B-wPIml` zV@@?Rewps4GFO=ObyHDm9WI)(t)}~X#|LNEl?tq)l>7#vS9ywyq+Y&kj{@XdVHXyv zFC78>9+{Fu5uwVz1X7g#Y-rgest{&MOWN+tLG^-&kgsz&eJcI1ZOaD}|Js$O z$$eZXS_q`3$ppi>p(-gGrCY&N5_GX?=&h9Es^Au?p3i-qTCMvR(*zKKft^bbQP5z| z>wP2ElA0&Q9dJLpe)OnsLCl;ADF2{8mcnpIi;?yG)1%tl_l`1`RG#2qH#XW6+h(`UfXo zS;&uCEokPC(Y`J9EJC9My4)sa%OuQZt75eUW(?h_thJXt;joT=X+dl(+iB0_bSnqO z;;~@Dl$xz^viHR4zVSUiKXYsTj=E08-`meWh=>(=B-L8fC0jSu-5BU`@VDQhnQJm| z;L_nB!D!efhdBY`=L3PXmJPcb3Lwxicll6zqf_M3T}E|R1CxY5oJcXNd~s_V_(NHy zwNNqH%}q<8rJL7kU#Lm_H^*8yQzP+VQdiv1eOPl6O#n{q{-MeuDz9xQ!D zcMIy5{Jmjxu;#qr7%d7-0<6KX?YPotMDA4=mDPO5z z&^i_zl$8Qij96|Z1X0X21r6=R9k5f<-AJXRh~oI9%f|XE?xA#sMDBYYmC{n8+p(Z$ zIPd^V*9^2oiQIvgt_tr^1f@-=U4B<@m}?R1Oh*5_kE>zEekGoh^2&FMiKcd~Le*-^ z2o0ekU)|Ny_;la4`<5)oCOczp6e5(-Sx<56UaY}#JY)2>*2;IEtV`PXSen`~<(UtG{R&FZrR@%fZrE$z1ru6yg0iJAUJ_&I~-)pw>=W)&jUTJ}J^&A&N z0h(c*akKav^R%B-uHkd)?V85%64zdrAB=GkDqE}rhY({93Xq<{TK&6*#@G*cH^<=* zyOS5!jTRZUs*zyaW<|wKzXex2g>MNx$(L_?H9@Je0}4roS>A1?_Hz0En>k?}`XYCC zdfVjNzPp%s9=2|O8tA6IY1tThlaD2Yh&Vr*N>=b3BvEi6uDh;g24gnGvrSoGSK}Vd zv#AENrsPsp??vE2bY-zwC!*2~t*+yz0sazL9E~xNvqHFceq)EV)NXKh{(*M`-tu2> z$|(|g_Dh2%^CytB{UpuVz|xzePmBmDH%0vUsgoLe{WE$C6h!}$SIYXs&Q;H4%#@I= zh%wY4pW>>QKgj27?!jz+bKksRk|K|*_4zG2g&m9L8B;HYs*L_8>zCJ^=`sS1QQbq7 z*pyM`FOjfiLtur4-sshYFUg|;*Ohr6I0OCyopn~^wul?HNWE|F^+(6_tG5nsyXoDo zh%U;REe?+J>9mGAiFfN_uUD_#c*HlRQmg```el6YgnTbzT+a8@-egTd(l3mm<>3Ux)vtOVj%{FxFR8AAvt}PZRqSetPo;$$;IWski2Oco zWWut-;7@h;u*T(DR#Ihj-=674NE%QeTBQG6et1Nb4lgeP1)ppd6paF{7yvnPZac4c zWM>FlPNwE+{k!iBjT_>yW%SOSuL=6SM%oK9ND^Kl8Bw22A|dO8+`$vml_+<2&(08@ zT#VtK=1j5zsOM|zxN9bAz^vhfkgF{6WI@|c07EgTco6{#)LZ>}=?ZP3g-EL*QMXX{ zIM0oje#-L#ptBrl_+kn9c#mL1fe(){YHR+ZF-#N>fNxtHB4~e(6gQ>PT`Tr(p-lDy z0j<3~7TK~?Z&dIg2SwssJ+dI!$Mu;x^=yh(G7NftK9j;LWy=34`Y_|C#{T(iNq!o~ zTR!wi0A=~<1;Y6r2Rb2ASDcnNAlD+;>i;;p4u7iu|9eHDj1qElN!`oM%yzGpk)6G_ zjO=xlO-3lLYwv6C9Wrhx64%~)*Tu~)E;8!-dw+g^!o81s?(6wH&oklxqJHYaH|SL= zFP#nzhIm|cjX5%nwE+`2mwJZVhL1O}4PhN0pO31j2fu?_>~q8e|E_11aZZ{h{2Obm z2dtqMc5E(6@hF4GiV@2+bW-}BIB{0t_wv4jEqh`23NL0gXR3Qx-`ZB0`wjiwk(_tl zwF6d8W~sx+d?G2w*NidEB`nO^pSs@<&|~d719(?tzydu>u&Xvm_?Y6KDOh|ern+=b`dIr^5mr=0X7BZoOokqD8d3n2 zKi%Dw^=9v4@+z-c*D!=_6t!ms5uA9+~Z#&Fx15Vut;8r|)anI&Om>flLjW7!Y6J7jj z4eGx1;VwRSE=!^;#_y|8!M6a-r8(@Wr{}*;<44apGigAfx&vI%*t9{di?mH%@l7FN z;-5~LwldHk$M@ZXR|O<7O;eMSA0)fI=7&()#8Ww z`rCdg59y`Xy@AHG5ygq+kNcHYCO65O-@_4}c9TW68yq%BXq~Vo*Iu3Fd1jGMSOM{+ z3JvlEDBO9j?wAZ@5fZc6K2h)gcju&j;AYP2?bsg=V4EryIw*p#7gYXuuJAHzxqpJwE zfs)znEQ?r8{1EabOP_gb>#nAeT4G5o^#BWA_t1R%6X4tc_ReZ~=4a}L8Syyy9116EUV-=MZv$cUhoilrSf|(UuMG9rh75|=NCZyXsah* zU7OCR+_DO&PIBVq=esI{GYirlTs)MkaKxe-0SH0X&(E4~x^Cq~sqIp68basWxqC;l zCazgoXqsJ4W>+9cLd95l=!x_?@wocaj)f>NT}+*T+D_9{vUViV>10$X zG>99~Nna4>pr-F{guIn+Rn#b;yg;?t=a0DT{N?)C!269zS(eA-#=gxw2Z>lw{ZgSv zDk(D|OKvwGC~zC8l zqqlD6YFlO24=?99TUQ@h2vR5eU7eOS4AONwXdtF|I}48ZM6QR__31qBP||_ zA}jyZ>qRY_xiPI-eRN=XYVUqO4Mk3~(J+RrsoZ>ooWzpWBhLi}_rHf@-gl!YAZ`9)nB+l=@}9spv-id|Qm)s2Y%IZ+}zurcet0 zX|4ss=Svn<&bmYj>45Ee0mqL=AUoCQAOi zHoVKr{euD$7!Mpwr!d5c00xI{DZq6ep67NsEblL(a@TLU?LD>cdW$>|`oqA> z?e#z>QtLvDhtG3o=mpSZQ8IlK(lS)h`u^% zyHm$v=R0TiA@?T|R&b0ACi~~_pAP1ujzb{hajnMFOL+@YM(Cko;*Q2(ELDi@4u20MHXRk-PrIvshL}GD9G=l4B7-O zMEwxX!aUS*M!r2wU_W?Iwcw?6V$JgXej``sZ;k28cn7|SrCvI|zSKmoZj&qb=G^c{<+`i8G=Nva0_{$}CrpE79XQOEbT*lyX z?z*<05kl=hGuU>CX>A#cRPkt_PwYg~j55Wu;BR4)z@{rZD>8q{jaRaBwl{i-1U-<~ zA`70h{Gi21^F}>Nz;qGMqv=F<`GGCm&E_{cl*w>9-2ifMg6OKmnl&ongYKUDqa!4q zd~pdsk`RR5Ae8YGUuW|DD%lB$Ye|tuEi4DzT^=1X&YZ{Kcbh86?MUk^W$`%e!joME z)lIq40_?_X#zu6*d1E9{$1;1MHAvX4glCrV;V3->SuXrYyLvH{K|F4^B3O~g!`SAU z8FX?`Z1SF)q&F6gnGv#mAx)O-JsO&t%GB|8@;Pw$WSOzi$qi&M4BU#+qe6~B>TP|j zb5Z40^B1)%gBdLfyVo>_jSK(fon+DIn_8xE-oK4!12lEu%G6Yzl2dVXJ-fcu;wcgw z&fhLG<}z`vMa72Ljh$ODD@H1HFTYgP>0%tSn6i(D8&M<*%Hu6gMQ2Lt=LcE=M)t67 zj~)Chc7bIhXqx#VvilR9rH6n`$#?lfb<%KpCwM}<5XVp-EP;?FUh#MlVU<+h^8WO4 zi+x1HYNDu&iHIfio!yg?^IW;X7?|(h>(2s7a9a+RVa1j`!mZXaI%K8qH99#xt#$8y z(NbCKB;!Rq0K)`{7g7mN(^Sp9HXLFo2!OPlZ(5*PHe3B7Yl^ugSxtD-KDWz9gK66l z0YXr=LVz&+3FU($QM5{;b;*;5u7zelrd8>Xuz!Xb zJ`Td%PNQCQC{?`MZ__W{IbU679RaNYtBhvhE!OlQ+PF@X9Q#Q<K+)O*6C&o@z8 zx*=n=mDhucjHSX@QwdOz-&n13|F^LG=XcS6oz*9&iCR7PzdwShBHv@GF_l zZI#t5-_OP4cXM%kTA_yJ-MlKt@%3#dV~nYZmOn#opv^{S?6irEW?C@XrBanrm87 zsCm~%sXT4^*k6*dMz+(1%xokfWypaHhulqmBl!`-AU~?;Cqp^g5|x{F@<@H-Ew_W0ggqc8Jge%P z?{8FtrZ1hT`0N`@#!pa-8YT}Etvm|dtAMf>ocw6oJE*e_*$^%GLZ%9fRV&MyDoINK z2HGJRc}_A7cf1urtf<%H9)gE8@@Q_bx2#zPhfj5a3F>}~9Dp6?%~vw(Ekz2+d=2la z4e{fQr|V+s3&M)?*r!rbvOLHtx=8Mbx)r`XPiX!AJib36uf0`3S!g%^Yvukc-Uqda+-+0Jqy_#<`Di#&J%+=u8NeVQA5F(VpSdsA>1{6HMJyLK^UMf+DpA4t`KFP3tR zAKId4{U2t;w-LMt#KdYwzwA;3(iOZ#K+|kul6}{|yd8+U?YiH7c zH2rZl`=`6JKYt?k_hHz_*B$J=xD+j`CXc#V|z zf^XiU?6Hik3Mb~gPnXq?&zv z=teV>iISNKUzs)v8^T(%JZImT1$_128LM*Oe-@c%GY2;5<-m&Rc|B|JrCd4qr})KW z1zoQ(0-87f)a+==F{I|}GlpUZUwgY{+w7_+^?;lNom-AL4_EGV)vG=|Q#$%VGGg|zBskmtEiKoIE#GNz@(q0f z)d_#@bbjR2DjI$@KnXhT-U%dCwc73jjL-!f;QW}+19 zYe)Gq$+meK>+w{4GAuHg&RfINhj>k>tsV@$mThJkRUbs3E*8B08||$l`}-kXksKb{ zV6Av)u)v+vlE3va4tf_OPrH0SdJCT6JHrRv@J8!ie`yAM)iY<+8p(Bam(i<;>T2Qs z+HnRSOmxS-QB26Q3-WYUaRG&fw1h*rc;}4zqBcL4L**Ko*F;oG$7BQUCyHm zalzv4$Tq7V6WO?zG;f-9-n_@G%`37buo9oLQ~lFL)zP+>?X$<*l)ljBG!@@u@z5h+ zU_BE{%9Z0YK!dccxHnM)LzHj?9u^q%vg6Om^Sz*Xr=-|(42^q7nCveOb|8O0%U9J% z3$0AqwmaGEy^tcR2D4|2kKT?RW7*YtS48%1d05iH>$--nF2vmI(c9TkHHz>^oU%Ak z`pCKFhcF9QPdkVGuh62VGL?@LsO&=$mEWUbapz10US z*~S!*4^0Cs?ooPMoLB(A%U#*6mHix{>KktPm7+!2^KCM2rkL|E_q|&}fV{txXCh_O zW^_TfJ!w=^G1*)5zlPHBl$V734)5@UUsZeUw-Ea6EZwyRdHu$XfM?~@;geVSCifW9 zqnYLxtk;^uv5+z;mXOmf6?zY4V2e&_3P#IIpD?ahay+xGGJ!ZWWe*B(j>l9fA#1GG zd=z{|eDJ%Z5hRz9HGj-VUchN}a_5HV^2Zm_T!uHE%P!m8$sTH-$_)oofV|rXvePrz zW^VP_r~jKcXjM;vX|f1boR?5yFX*jnt>SZhnPNtT`p3kGBj$Fq`5lSd)Kp_z6iYh@ z_+&Lp%afewW&cyNW(U^0_g=}){_fTxKv{kA^2%yUF8^5^EL~N(rR}fjx%8^It2Df} z{N3Ug_=_&Y$<|({7rFjI1uhmm(4JJRMFbw#qyK@pLM@2dwU0ay2pc*-`(Hsc#>84{ zqoD1i7ZzmcGUq>K(~P#(c&wpNHu>|;>O60?`JX^hQbA9Dnw)-9-Gs4?jV)Q`p|oAw zm8(|Js^t*Y@;pPY5AvQq-9&);QGPfsdXF8qjp4x=l$TqNk2C?IK5dZ~6p_bv$eruz zY7ccB^CW>;!hVzM+$eu$tjN`sR!Rj4@?cZzDix4BSM45iDW&s2kC*yMRTAC>ztA^` zvVbJh32XVNAw3q%J1J$?a=@aozUc7yk_`e<7FV6(;tu2k&K3dQ+-XvytPMWdXGEbZ z`r$iioA9M=>l{rVe(e$s%V!I15aiMlkiJj#@8!CtQwGm?*~}guA#DS(qr{vT{V>vF zWDvQsN~2TfKYweuU<-bm!`8|y%^sL%Umm z3LqAi*wz?qNa*5qt+Pgj^*+fKS`ogw$D<@1^UG(8jf6g2R~9)7-(P$5Q4_cGrCvy_ zlKBp%Yo4=Vj)igD>`@6&);X|mNLj5({-I(Cr<+L z*ko!4W9dgi@(NBF)17`1d>~%R2gR7Ylk*Yqkrhy#y0coeuu^o#~9!SU5|LArHWPv5J`gyYu)TwOrl_Wavsa6;zy zOuJ~xQdOobabmLa&C{x~oV8c9Fksa@;R4f9_t+u5Lb%^D4ByxQo4&kan$j9j-8}b{-AA2t61d9 zoSK5*E0W-nL?z6IV-06*>XQ*t6L6i^w)^jrGPlJil$XVY4Yi?yZMX|?6?x9}x0txOt*`OpHEhCgCPb!O9`s&E}fea}$n`%hb%!?*Ih4$%_pI-rsaK17Hjll#p{%C)J5cKE(=HS$WeIT z!Rky(Z1Y7%i0FTKbdF0;7y_|fT_ou&+ecH{CU(*5CJ;wLUoLJ<`RqMvfox#YLja+{ zXQ!|-Q}2uy$s*%kNFRGE&*s9AAch`C^>$LnO+9o`ljanZsCXBsY+1bIjnAr#)Mxp)~xW@%|;OkL}%yQTmf|K8=3rD8;-~^_v5) z*jD~Sr|+WhaQ#Ot5yx2B4^P_8zB)Rf8?xK6TBO?H?0Ur!I77X+z-$~J9{fw_e42|C z2jO&GPM=jVnF|UY>C?0{9)4&V7u>eh?3@B**{Fmj$yCPI_%o!i?sn8HE6C%v>(HPbB-6U#hCxCMG3&Q2lC?q)KFRj00v}TUE zOR}m|;~VvM>cMm=^^Mm*TD?<%=J1L93RBj1qa%gK-B9nofDO`*rf)~i2T9lLkzKYv z$uir$r9mgS8Z(!^-nybjH+wfG>DxhPe_eX$Ki}f1*O8;l=F~hEb%cEf)|<(bjy*$z zdH;XxH)P~0U%bBQN0gE4uMca9nU;CxYzfAIw5B(`IXrF>!*1N5b!N`{CK|1M6i=W? zALy?Vvi_2(o4W3k^3y5h)A%I&8Bpt{&5lWL4YI`rePYm{z#gF6@c}meKX*D` zoi{gfNQ(8CFCk(h^O`-!*5F*i_o6|67iaIK*JL@JFy-1C*%+YjU|eF&C}SSV+FdDr zI5c#ZQMxw>$Wo_IjaytDbnb1ENMfity)?GqQOU@Z{`%xNGm{G>C-fzE=+v!WrI|Lj z3vN@EJ&w1$cU=pN5$bmP(fTP6(rIQ_lK5Uc_)w6#{$YFx zosk^KkweG>d8i*FwE5nUG-UtaSJg^;W!W@AhL7DcKc`eMNn@q~hH=(0zIxbhnR!-+RTjXaPdw$35#N3 zzag1l`mAx#GV?|MW}JjxVC<2;%yn0W^RNT@M8*T?;PM;tKT`y2VP(aBaCg6Txfyf& zJJUzU2G`J!5K`u4Ds5NQbVN;iYvFrJws_X!MU zm37Q=r2&)n^jf2U86bw8xZu&q+RN~U6@!HRABOyOS&55_a!%mc{SpHqTc+Q(QlBto z&sp4TZo{a)+Zj2kO7w>>R;$O~<$FhAv-zImMcBnmZOscdF!`Xl@5y4Pmx>rzNjlNo z>mS5RXxp^OzP7^L3e1nTVJ7R_hgH~ z)8xnnd<(n9CqOMljbuOBa`wU97VdonNh4exYe~?>MUkc6ZbRZlm6r5Y77*te?2^uxZ{JSqRKgkGY5>N!{=hgU#We8<@#`t@)-S^=e8HN2MTr<>#%6s<5~Y=lWS zs9}{LLrs2Ez4QX`=1!lfbuC;bcK`CTaV!;I{h`~2wR_OBw%W1KirevM7U&Lcd_tqz z7qG2&o|M}q>-$%(+H7Yupe(xo#+);mO-Bn7=$U3?Iwfy~kYf;ilDZxS{AP_Tzl4ck zz8(rYQq5dX%Z-&DOeUf-ws}b`u6-I1%qt)Bf9>~aP4-{En3=afHhz^XdmyxiIq439 zj%Rl+vOT0B7a_-hPRl|{F-)j77E18`~TEG!q^8g=!2#D2=9r;ytNODKhQx5pRzN~W|U#c~pO$K8RDvGJ3IJv%zOnM6Md0{fK_ zYH8pOyv&>&NJFR&$7fj_MDD0JM89){oOJ(me#W`>rh$DeO>6LQcq*%Qu*ob8IiMr_ zX0d{iCAYEbp_IXK7vsON93FwQXG`w)pfVBgn=Zvpy40dXpG11lc45U(6^6 zPhP!O2NuplyDU`-kTw|hx*8I^U^_Ag16Dt4;lKwPFcI%tty}mH#jKfE-+af^n>m^1 z1Mhm=5XAM528J5Ey|;cFG=MA;{+xRo4&*TpCF3!vX!Quy%ayTG__6?iHIlEhxYsH+ z&wCOOk3AJ}grb4s0VG#iLTTWT0+`b&2et`XnIiu})R%{63NGkQdyla0$(mOutK$Zl z_0w+(0~LgSU808hg`gkmoP)+Pswisu30zEu-4rxFyC>BZUk%Bj82MfER{s?br1Yz0ymr_ISB{5JAJ5NRZG@5+b zkf#?jRhyR_S+tXftjY(}P;5e9b)OaUZQgoFvmWU=SfEC`giS)2s>qf2rT=+<~$vrmQWEt?7DW!m*q5Rl-G z={C!jyfENJJYgOkXZn0Nl<1Rka;QzwPlwWAQ2p0@KAImuT9i?}$?|T8jGW2tfWVm* z){oaN^@LYh_MI@ct+az328ne~ZyLO7+_>su7g6Vbnsgr~%0ai}XXED*Ym(LUN%YwQp0flXaco#mxE1e*V|-7qeVqZ-a5tL&&JfsY1rH^YUuntUMxdtkzecJaAQu{tySD6 z@zY1g#{*%@A&ZGfE1fXyP?VBO=`h+Iup>;7(vJN=OP1%*Gk=1#;~ZlnRT;WPC;1yH z@b?tcd9T9=YuCll!cQA(Qx?-wW!@-hAHD5XhOfR?UC15zJ)XzT4+3`oDX%eV#d7}} zr@r&ngAD)1N1o+nFsOjAyW?X?$D`-8WhO(RY~)_-J8^a-`B%o7B_ND0pa!QOT=g_$0(qZ1j-qXK-c?)-NfXPiP%f$tgJHxF#+AwY}pW0vb-G66Cb#_>}J z67v>UrPOZwP-LI{g^|NZF%%4C@lMDnzyo|{OsGR$HikZ}A<2c7Apj*9-)aZvxWu?x z&ALxii@ac^V8_YM%x|O@BIW^8r&3a~aCh#n9>m54sa%SgOW7BR$JLM3I*hoFmCxIk z2Sb`1BDs5freaO#zEQ$JU;N0Adifc6OWzK*?|n_dT!o6MJBbg9V{Lqr5|z|HV>XqR z6n5&KpvQ7nZ`m$@Tc+4Bg6aSS*G~_B1In3F+wmtYob}}71syWjfuZwu_4+O zx*XzzlL(-?U^@@1Zx>#aq>g*Ns4R%sYBE#*OUwFcI=G~oH;s>e;NxQ%&1bEdNkuEs zY_3X!lc+uB=ef7I>Fy!)(Lpl>8W6~DofBhi&p#-06#$n;iP3$xZmSwXv5u3agN=FG z<&ZgIm9bG!zW%tfOlm`1uWmL#I)UwFVz~?q7NMD_{eihfz|ho*jZW5!k)o{henIr`?=_` zE{@00PB-(FbIh%EvIsA^(GZ?uegQ*OK-e{Ts`JlR<+-?AwQiw*ns0r^fHYZt@LW%I zCw*c1?EPySpN%VS%5=)?m>RA!)6UybtP>VLerl;O6E!rCidqS5A(LtfBRi>PJyML8 z_QkKVr|ITS;Y!lZSZRj~9r)rfu@YV`--Q3|h$2|5ZlF}eih{suu7$v8nyxv7i%q5+ z20m8frA|^f;UG_FI&cnO(f%`p!m=6uR+@VgjsBgsK3n3RsyV1d)x{Q2n|6^THXZPi zKf2qCO82L1Y6C-~rvr?Mw;inK-PP+nRNHTVNXGSrU@Td~#X5U`%6piE<<)AUELuIQbd=jU%AsRuos_hx%hD^6B=5E|_7=x#jY zZ`@5RCRZ}j@YQe&Km%R4QwfV785(0RE)A9%6&hH*lllTK=E6P|Pc7TL^)xmzvPek- z;wjU*L%x0JXV2TJly#5tK4kwh-#n;{+o~U{2C9?Lr{s!ME z>lR$(n&P?;w9ZROmaBicD?$z`R(k<S|v%G5$pOY;oL3Gr{kmi`@C7a@zT_<-aERU{y|vXuds-l)S$?O{yFMCMo-vh>kdC zyGb)CIyK|JFK^ud{oQ*IN~^_rbHicY4(LBqnN4=TskUs;Bjxy12)z6)3SS_tCpx&1 zMJG=CMUYf|1lP-K{gymvzl`9;E2@h(67!P+iBh=zUJY#&U=RXQfJ~mpc3pLaN0dJ> z8*OXJ6WM|SO}w{~`do+NWMG#m{HrIoyyQ^+-bV+-aQ!S(BuAp)HOw2HckMTIxjrq) zqK4Y*DO2`!)J+Oh`}Jz)Apc(L&33b%K(D(;lwjVrNnw_+BlpKjWvNs9!&FVRDS6aR zYxefNWwe2n(#ie2DbMe=&wy+v4>|aJ3CTaT*in}umA+}s|JIG%owZN(ACD@wZ$s6O za%27SLf73g{z<`M%D&V^FwoQmoef8oxkYS34JiJZ%Hcw73XMHF&`>tCr5O9Wnm3vLnNG z1tsTb*ry|TiO#*gi12(A&a(8APm)$DR5@7zY?@N=BEQza^VLAI8C|+(BhN=WshAZgEWxv{ zMjq9qZ0^fKmR35sm%&jMzKx*M zk_Qhw`0Jv^n5V1~Y*&jib@PPVEAVHHZasaKEC=YlMvN{6w&dHc6*LJ1-8E_34UJJq zZjP2#IzE2=kAKBg_K4w*FKO(lx-(*dmiMQTihrJ{ZB3&+otE)#LgB6%)whU&c5ojp z?~rZD8{iq;92H(Ll1Ar6m-wH3HHiT$&o2;m4=uEe$M@0>E9I7loV{dyeFg@-K)oiW z>4xrgWY#&(kmIZELGiP=Wi9pJk8o@I~q0krMpV#*S9)8|Y}Zp>_B+o$LW&dB81 zn~udA7N3L;B&njMF(K8#AF&iU!pnJQb)U}P1dqeLgz#)!8amfZwL5`lM>R5s+nvYuR$H2zPtCk?@IKtB2T zhSvW;-Xu#=7W0Q{VA+3Z)Q}7*pQNabS$*A9_dBGA6e`#Vz!%5cr(SlCNAh^Yj(Kse zu*mr?PjLyFiZxn!YWI*-)l~4f$Ci6M#IajBok}`T_fD*}M z=Kl>n*af-MFFm*A9cW!GTO|{V^#5f}!Jxtv%3ESvr8OyQ1oDNP)M3o4KZkP`I>^DL zw5Yoe7vsP2Bo{CdpqQ9iB~8QGz0oI@>N|oPc~M$HCza3_5xtBN;+MqPvLiF$1z@n1 zzxTMPo3N{SEf3a+nYI>!rOYc4`43y=C)mOF3ItNR{k5Bue*E~5f!q0Mil1$2S< zFd~wTNBrGwVL|P{f!@le56gXxk6IFY{Gr7#cLuZHkP2-r+u+!=F4wJggW&} z(krTYlQ}#YOeeCZ%}#Y5wn;dP51yXo=?KZPKm=5GTs2LoP8%y>(G;f_`kPqm6fReQ zw;@eNKYt>FQa{})U27U<8TFF1iM=|Jb?{9n^G)2cT;TY3h-N=06&!i*2>bNcq$JzS zPe@2tcK7*jYpXGWLf!I;rHx|6lLW+P;&^%DyCWjJ$1E;vGr*vzE@bx(^@D$(P{!@H zz~D;SZHp}DiD?l;h3w>~_Kz;jU+KJ?y6$Q5n27n)-^-n9PmALq z&Mp0_#ikaOC>q;2>?u+r&Ir>6>TNJ!*#LT8O|+{&Z}L-lxG#JyfPn$l0!g{VXl@~x zT3x4%5L5R?>Fyb(76Fgq$qO!(OH=5tlVSwz5wQ$eKZf*Vg>Hi%iNI_iv|SH^|2mtz zPm1lYO*#wjhwmD`{_;Y?UGaKm!v+WhJG;=!B0Hyce15G1(FtzX-Sp(ohQc#J z`(sbdf}aO}7-{aJV9=6!U9-L>P*HXe+bSN%L#<5y1R;G=)7zHLi)M@9e7Y;rCp0xx z>v=uEOuMi#cyJ6*|BzV39&cv2supQs$tG(*wnu}68RQ`A;k(v(5+jZw^tGuLRz+FS z>-OggSo%Ppm&!5*K^PgAyT(`VL%#Jqibl9Wk}zN54KGa@+%jyw5?PxJnM+Ro)rh9X zg9Zmn1l{Vil%LWErV2ngi-RRDEeuz#`oAaw=v0t!CnpDki0F%a3q76>4q#sVu<8!) z@qx3eLzQg)G#Ouf6H?h)}!$SiGva^wwmS(EBWQjYG z(}Y@XjkKllHkkv%rfF7k?{(6J(MU(tZU^o1kTASZ{HUP_|T#h17d?qA> z7EyVWDGb@z$on^6KYFqyTlrH)VQ&Lf00fvuI(g=R?7&aIa?6O>FZ*)_kPEd43jK8+ z;_v`@bYRlzp9pkPLDM<5Bg$DuRUf3;t!zImRiXdDTP+^25#p4bhLm7-2YQUPftQe_ zZcW?Z*ul|O%?(S0<@uPUpFJ{kB;Vq(Xu5ko*Ujy;@hRVg@ET?Oev=|`C~XDnJ63wj zdrO^>fCIDiiZu_{hEPx4yaDp^-yl%p5WDJ`LhE<;R7#O5IY&^(O0%tA53=(J^lOqy)F21rGQ8#TWuumgx^NjbC{-Y*7jsuJs&I%x+#K%UeOjfXP*zNJ+@Z4e_+ zQWxCStvbd5vAG|}+@+xGKR~%j$vCCE+`V0Nzm8mlEZRGvqWtaoFUY}V&tkT#dAxWQ z#aCsE;_E{wp-~x^MFgh-C=iHMo6%T1)5!zGdS{6_8g|h#{@wpwBb3`*QKiQjFfV^X zp6f>4QlrdCrOWC*%)K$fI2{&pfc2TGH6c@@&Cg+L*H3P|t10{~C|AyI3VShW6DEWO zf$)ghy#9FVN4XmAe8b9trLczh8p#{mW1_O(R)>LkH9)jCJ}yF$Pyrs>)V-C(&xM3L zjg9PE3!bQ}blw}S8PRZ^U%u16Wkav^CEkKT5Ebk982Bc<{NgV}cukT{qgd0_=&xMY z7MtKLzS0ns>W|vKGbgqAw=N7lLaPdeT_C!XdZu;3m?!8B_Rz!5$L*$q$y17eI?p>( z^4d2#e?qNFXK`@hO+0pkCPc)Fc`+~Vf`N0Xzhtkw8{rnb+WbhhriZ713`5~@!SYHb8SE)Mj|PAv+?8_~q0L+$ z*d%?17$_aDhn;^ud~V2F{X~M|?{}IV$?RCO~S1@fy`e`iRy22sLQy^E{0+ zwx4VIDy%ye-=W_d=|KmhDkp_(MHI|>a!W@kktbu{jhSDnHy(zRp!`ok1`I_Chg{=! z8!4kA34xUY_R0Vad!a|IX4%6LcxQkBzg7-KVNbgHR1g<)=s^BmR7dnP>f=fsVrIeu}UDU|#>>ZmIrP)zhT_E$GemX@8#kWx9 z%N6;`v{P}zR^Auk9tD>MW=9R$uJkmD;XF)aEh!0Bq^duQUA&QaSi(1>cqdkBJ{Npq zKuzV3Papk~Z;z!Mp-(ULd>Q?k0L|Tnz?800_zjgz6__t_JG;3(ln_Pfdq5{-WpAc_ zSou>E)er>^bsX^ML0b6y6SGnpqV3J}5<%O=wx2NAG7Ui-fEvEJs~<_ZYUEVv5z1P~ zn!^g02^dYzXUBMe^epfz_b{@-OZ~&nz*Zvy9{{`KBln#_6$;`eZ~sO1TTRGj-^wP6 zYP-K)d~k%VqTI)2qCK;x5;|9~AHi+(+`fOgVNa4Sv$B=DDtIYHbSwD!8-CecpXrMq z1q|oqL;Mj1wDar7{Z)yGgiGyIqen0(Je_#07J5~_Ni%=t+;aM`x;h^Kb^0xEspe2f2xfSt?bT3Gwk7LrSWzPtx{wF9io@1ON%ijOWSYXuo}zCHj-yy{;m z3iR6gw2}IPeru^wIQ5qFI07XEvmdt_H)-(!HM;Nl9iCFF+|nm%lC=W6Cd8Hv>7^&|PSRb${dzKRmw zXtMT*Hx@S^)g&3Upx@_iKIK;U*|r%iCkyba2CrbLDdd0J@NG3IE=K(HuAQS4=vyTH zdCc^tr+~C%-I_=|I@E5*<;O*05vR`|lfsS}nBRW%NKvM}SikSg@M*(x)cVbd^a1}$x~dmT8FsTTBPYrD!jUWN{m zH2q*cES$;#3YEslpA}KNWF;HDfV^8n`to(Gzqzyh*SUYGWZv&FC?YVA@><$F7QoHy zDOa*Q7ubJb^i8gMV?f(;4;!!Y=|JOqh2xXW@3IwYCv?1!h;G@xlGEiCHDT)Ut1x7KbO!meP%?A>q(15|fB-&}+8bD6-f(K?RiXV=ogSDSw%GOQnO7 z76xAcpnDEs8}Cx3Fv`bQJF50hzXu=}uYY`zDChc-yc6;~Jv&3fK}-CD!zyS;E99w} zqL8C*pZVr?q6|IL_>L*66gP&0p&*V@4L!7NW0NIREW(MQR`hfA1k;fxaTm*Vk>Dz!dZ1-$!0eE}tEj9B6Q6-xrdVeWN{=3D z#Z0%l$EKL2$1mFxF)mr}Yd0;s3OwGP5kx;6r35Dj-YXV`Vw>)y>BwDvZnlr;RbheW z1sOH##U~574A&4hpdQOaPI>6>3WCa7KZ3}fD>+NOC>|1jw*eS!O(dUies&8B^1d)A zRSQ+?k|rbVxE{Z0%-IVOyST-19mHaX-SE~l71V~Emfz!iz0Ak~_*0 zCePj}U-muZQ+#`4)HJ#CdDlPwW!e&h-?zi5q`Ai~XG+298fjm$Afa+-4yvfrS>?xmLK-U+)2$|^wN=k zc?8)$m$Ug_dpEiWRL6cofc!iL;4(W-eTeXs7|oM>QgBl1e9M z*WC{^8FZRgT3o#9HxiEL{vXJ7Ys_+*TL|YX6G(@<;??*m8#(+ocTljHJm2a{zlSH< zX4Ra=ryctyMSpm?W%<4@)9pMzhLxw1;l+2>36uz~CiO`@^JHL-nmgebiE^uUYycB3 z48o7-Ow&^BZ5+kU|Gj^p>H2;vqTrXH_Vjd;22TE_GNpo0tgj`tQ@{Ya&DI1cg2Vph zhS7jEUZ4={Gm+&(5-=EtUAvbq8ts_;}?x6Fw!&=5n?zFs03hA0JV}Yabz#>-f8Q4hM9eE0s;Vt9m4DFo(qU@(n zIA3(bL3We~@_EB|ksmmYR*SI!IA+cd#>yKQYizsqo`(Yx-_^7d={rDgO0y%Fdo9Pi zP;pOAUT3NE_<8C98j1qJ=f1dDGwK7z6(cJ`H>^SRig|(eOMRS{glqg9Tgy8YKmTlN7m<`2C~SMd%S_`>C;+^8 zOh>Ah=ET+7Hg~}AC&L?H5IJ*SOA^QgoIMe>J9fCiLYRXxo~F9PWe{9nq_wt-cXBhO z2m8Ulga!yKSKNx%$c$-fBnf^@HHH7j(RKJ!{eS-}<07Mku3Rfuwrp-(GrR0f$jo-h zx+1&Mb#bk5t?a#52$j9}x};pLt;~%2{@$P8pYVP>-sg4B^PK1KcT~$_VX+(PxLUJw zE+%Q;ox=p)Rn1%c(ALQ`&K$4=%sI!%z0N*9SQd zXUhD&qU=lI6U9Py3)pB4A#n7m-JR|XSZEs)=_iS> zQ0jIDWv>7vV=4jjmurAsyyXy~31FyS3R^T+qO){-884kbVyEDop8FY(qA-lkpP#=- zc>cn1++7mv+f48ErE+P9bHKsAXx0}Wa5>4wP4Jk)N^#)^Dw57)HBTz2j@JQFVi4P# zD!1%Yb>;?%lExKQMVjtA5%Y185cbJzf?W5yw=ODSC}k#4%CN%{7+){NS1(oGw~99a z>2j{@r~Zy+cuLdt99em@@XU~$!h*bS(NwBlV7Kr)EX1W8aaI;+j?%uK3vzW0;sy5d zMdi%=-CCp}b!4X;kb038KI8=VXI1JMqXhYg(voNN$Wm(!135s)aVT>}0{}<*o5yzx zpm9XWn)_+?fO%g03KG+_zO;3M!bhxIME+;TN7<)loHhim3l}1~cY&-c8}ZqktcD5PEr%}$Hs8F)+@g~{JsCk4g;3bUX3th@ z2e49n%&!v_PpIV5pTS?g>*UCLJS3R`BM80AF(Um^E&wE zkpMtQ+0s}Q9YPEkdU9I!v~OTaftBpXm(y|i%p;~{xw%gm{x2=B{)*`jC5S+iV!Eln zD#lCK)C$Y6k>wE-Y>UN_nfmWogJT9l+XMWe$4_}ZHJZN!*K9hXd7sam7Exk~Y-u#d z^iE2=Jfp7x5!d-u7PE;k2Z>TQ;o&qUmC?*dl{GOd;;R5OIiV`pYYxG9kyf1DNia(! zydjGei=bFe&DVO4Pp=TKUvs`4;Q?{LD-)FKV?SR4}C3~TJKO&(o_ra?U`i`%@ zSVc>gXCe}VJ~HGZt%KI~l@)b;L{r}Hor2o|42=NA=kh*0Fi{4yhJl=1&dRkq3hYIv zvv9hU^#%_cby|p5-o;=cgtq{#o(LfRz;Mlob?4_;A5mTY!MhLrqtkj>o;aC1dJhuw z35H+=hctcPeC3g6LGVH01DN<`qqcU(J9qwTngt-9gSbkEiru1BE zUk$es_j^(`;sAr-Txp#eqwKhyJXrD+>d_{+$sT4f2L55=>#@TZ%%IAfS-9un2`-j% zn5trExrMDudSJx|mJh>aPHkcX≫CDeI|jL|&;7{JE#{>3RlIwUd*Q2CjD=)KoA& z>&Y7wzeSA=du+|55S>{Wl*H=laBrXB66rT;sLh|w4(w=8bKzBsDsNcd`D&C_1hi}i zk>;jGa9$F5bKK+R6J(6K(snk!y%ud53h~iXIKg^5u_ltE_hHaTR9xfiUz*i5rc`Ca zywIPIelHcO;VoKR-~)XiM0ly+xj$_mq@&pRF>NcF;5e%_!DB#$&GzR|t*S(S+Ft*&IS&VNOH&?D8J0_>r1@4; zL7VlsSDRk}ht;R6rU2MHgAl+hLfYX}4u4%a-rdb7CMdbbKMYYVDhY|ls?-I0O(Kt= z=mR!47H%I#hDUT!q)dV)zG;{(hIOwsM^<$(Z}OIa@463b8joi_CKTnI8Mol+e=7yr&!E`j(1&93XQoyu$ zT*`@Ey#o<$>*2prkAajFoaOD)TN@8#iYsI7dLc|JNtteSM+v`<$6og}MCVK?*YI=w z{oxR9;nHzaz>*BQda!)}K9O-C_rBD2Gc@tFi>YTY;(sn zb^g?0d*zbyFLlxYi_vsuiBOCcC@MjBp~QuU-R!SezdQ4OwXe93{vDu}k9obw$eZ=SWG6)*z=p z?_5#Lg)dQug?>!`iFTZrYXO?{QTRoff8aREAogefu2va-Hn*SnrrrBlRLO zz61BOF|J<1T_+c)w8`uY*7vukp+LfY{a9A`*IF|2K1G4+1F_`DP=k|0-=@{)Hl)l@ zJJe<_8Ii?TnHwUhZs{h&I6LWN3rh@0@H&b1)PN-?YQgulq!%+yRlF6ybPJNSIC0^F zxx2z<4J`TI0`RifC*O><6WB@F4S)1VIx+{s*{7$*+fHvUB;th%V?1tw#>7K+l#H=$ zV~EyKzV<=RS$eVSLcV^EF9Jkc+`* zI-CqMWK&a9d(j$GIpEkBrxvg- zD?4hMGe2x?RedMYZ0)b2A34|A}X{pkIRkqzVHNSAuuaYFY z{8``9-Nt<7M5f|(G5z&Z| z&Mftug~pf;>MP3w1t`T6%;69^N}1*I{rQ71hn#-NYi1yaFro^IJF!uh-l07b^@to% zuvw$8aYH%?QV1;cE6nQBr-bYnbw$d>Pm-~4`ogc>`7Ao4B)A<9h65S3y;zq0^u8ImbcxQBs%n>ult_x=g(+A06 zf_fb!FFl+ibOQz|DfYzaN{))zVQ>h9swD1)#p`zwxOF`>_+L7)r zaWR6)kq{!ntET2UZ=!6IV#^&@`s8!GyEv~G&s>lcEeAb@5Q1|1oz+t~Vn`S4$ zZ*9^4b~gsWY~`m#zmu$jxIRJY5Mptw**m@bEJFeYpF|+DS@{BwLJY;R8ow|KUD|HK zmfv{sRlhC@dveoLUser4`Qe*9+q3>dbj2w z-ukY%ds(PUe4%%i;#@IZyDtT6;EyR+vScx=4O4HsUx}Ie6G1tYrC~c} zOxeJ2ds;-j_boCnN9h2;pRC08mNadR`VZBYBaIn;i-mzu#7CEmV6WgY>bN==WYer8 zSa@K)rIXIJ;_>2VCqGl+4o>@p{s*b*v+FO$tPcGE0kB+ZYBZfP%kH6}r-IS*A!f*W zHD7~a1NQ(x;JI-V&SmaY4}SU;LI`UjAGs-BA2ZA`!r}IZd7XRP+r^Kq^4{odbOM(( zkP1dxWz#$xr=3_|A$#ie{-lb3%urWz%RQU5ZlpmZ@E6Gd@Ao2ZX8Il>P`6aYB-DGw zzA!$G6+;hY=ReGlPO}rIl4~24Dih8Hya`g0#c8~|z0(`)*gww-;MJ?UqmFMdG7qw! z{_dDVaVX7pYbO8w!s>4K_r(#Z4Co(I;o8rApZ52#7$!*gqCy5C)NKfwXyv-RyjqU) zcGj5*R(mnz;QAbmp=5fP)o>mXWw(~JyKQ!eAP3CvE(OsWwlH2 zzLnqNPb^aZmT&j^O(cTWFknIGjVhNTNn-kQ9KD{6tDz}RM(nLyKAMCH-p+9VTl!oW zqN~@#smL5MqgzJs*PRvL-1E2G8gFT;)RFQY|IE+XQXMoCdUU%_c|WePCf}1zYyBmH z6h&+)(q-XmIx;Gwhp4m)B#*P7a^4;q)3QF;q7x1Av-CYS&)UweP@r#tW9@6w}?ev zm?k|_8~+V zmQ|SbkoX#@Jj`Cuf--n^OUp_XxKZ9gWw87^c-_%9l(cJD%VW_4oXuYn=TBD z3g`a$yi%C!>0#TI^Pf%od82kEXWC)e*hAlV z{n!7gYd*c2uU(Z7;{&A=*+GsN4L=X8<36?6#LM#8t=`cgeJ%MY0`v1$+QQ?U(5IGI z?hVcGSAWPusrp$;)3Ebp1)f(cz`FL#!E;j}yac&JUuE#(33(QzFD$kD?}p>pADx#v zOusk<+eia{m_@yzgwSTlpOZqMVNH+vm9=~;-mkn}L8DM1MwaSm0?h$&18Vw?*H2KP zFyaWUtMu>u2{O~8>fR%{I=DmQ?S4JC`OtS}o4_1rDaqx%AVz zlgYq^1lL3_69L^H=Uv)W;xs?f6J-@X&-n3$)To=D3wk@HzT=sx2FX&F{HkKLD&V_F z@W9Po|8|vH&_!6diPQZgaXQ3PCwpl^`Pr^Q-JrI@Xy8OUf0D-Oxuj(;c0>f@CmxA{ zUabVxj2kt0=Bn>?$HKy)iAZc{IS)Y31qTw%wS_}ghII0ZX2n;_!1+{ z!S-sV_s4qyvl#i?699Q3(=Ab%H;kptg9sdPVI8rVz}@o1ug9n@f@S=9LKWxN43Zv% z`s&w-c_P1G$ckRErC?~ZDYZQGnd-{F}5}i&(^Kfv;BW$>p=yM==I% zaT3s@Ai-R1uEBmN1DF&yYvIYYV)-o#&Qo~f$q&EpU6u(CSvK2mMOF5Mttl^AEMhjw(c991`8BIs2p%kNZg*ijsO=w4@%g8jU}whPED z{BL?sZ6>kJu#i~fX7%I^UA2}`qK1n5@qrUxaj4VNn|6mwEPBHOW1xY$td$zj-mse9jAb$%g)$M7hcW-NWYY!wvLhT+YEDASw-t<>f4FmB68drGhZiM zlj;ES_?c5RE?sF%FmK!bR4%YWAKL0$w!05^a7RMOP`XXBi zf62Ao-6>nmFAo6Ko;@I3hJtikn`;P|qNi9B2ovsm4_ zvO8hI1YGuJPfe9{p2w1KgNQc@^n3ou-?^Z^KFq?3^iQvtr%t1yk`A=GdyU#!TyHnC zmE`;nl&ZFptQuXZ_2N~Y%=6liW7A|hjo+e>?ZK+-ry_ItaxWnRn&0CKe`^|ZauT8@ zqJWiTVfKI}&?`@!4XI+&QY}YuOVku0?9lKfZ?7K0wFoaE!a@4tQing9-2CU$$*d*7P16XC)@l|l5N1mR}ed8&6v?$oyuOcer2)QvRBAvu$m^vCMVbf-T06{+jdi;y zG?DcOZ0;1EB3cWAncRV%cu??cq+Xl?45s}TRIyW4D-H7XrSOZe&C=bz;IMrt*7FR4 zHAzQabpo8zH%QtRB#d3|XJhvC<~xCr#B>^N3sI{>_E7pCbD1asqWn3F{A+8TYC!ui@(W}w*y}#Zcwc`Bvdh^doXd&|E?Khgys6} zdg3F6CmX;#-8&Ma&)&O}FXwukG8yRkB=5l!liTHd;Gn-d<*E$ISB1pbL$lG~XK~U( zD(^VQsi`k-Espy=p5#)YWKEDosL^F*A`f)_gOK|r)0T)S*c)V@5^$0&S$ zYWi?GUKJKZC}0az=wDag>G}|T#tZL-p>3XZ339Qvh``5nQ>1gU>D*xhFxC&Ceh3k2 zNTl>tRz}m&J=Ks}jd|G`^I5+iizpZ454;&(fYp-Sx7;}g?pf2PY(r~;G_@qPZta#a z0*l>O3;!vy-)W>u|I?TQ5RD(*jdcMq+2ons0Goy??)I3Xyno5z!;r%}lhk7d{U4T1 zl*U3b>z%%!9gyog?myv*NzyTNFOt@+y?{cqT*n1&6XKXjn#Q|9X;Fvq>u1s#FOvgm^AaT9 z&n`DQ4@MYm@Mjgq;2r__XCBh|rw< z1r0qO0G(V;jf}x;+k3t;piKB-R4Z?>2hKj`uewpcS2M)`hyO^F1DO5vaUA59rRlTGvd} zzWWB+?^~RM@=0DL#-nplaT`o&-idZE*$ZFxB327_obZeC-X8|~lz(Q?65c8$GF_q_ z^+!_+0V{z^gxBXOm5MS_vVH3)gCTU$_kdpjEg@VKQ8C!L?l5U7CoYxruCGru0*i{v zenDL$Qc5|5EU~@?;dTxo6lX zn3$t@&rc&F);9p>gCa4_UZe+j=9g-(L#Ao&!Uy}lqvG4}eqZVEn;qZU*|v*SIT>8M zhq}+!r8sY;53J|yJ(X-?zoHU5%FwZ6@R2@&P2cD1(IQ#6eiH=#E%{xUu|^18m?97z z`jZ~&U!Jrw>W-`}7>b_;H8AK^slR{r9#j4wos~?pdM6;Ik0MmVrg72Y%NxAk;BN9< z$E>g?{xI+fO5xAfnta}ADYBz<YAA4ZA>HFex9~8lP!p zZqdGreH1P?W!1~dtna(y6G-D{CM+$spnQ)BLRZ-a=Iu02!()HM%8(4OT=RMLzTySo zA}Q%2RK36}6lJpI5^JZF4DTiY9Jcwi>8`RZomDfgBo~a-b1oVr_AZ);3*3I}rC_+| z^g64Wilyq`AoHg>dEZ;zGDCimpHrQnvOW4;HjUGP;wi6&j+TSl&#s5s2HDhHzVXKX zR9O>9R7a@s3$EN#*9HueC(j{;X4GFPjeR8c=Z}jUt)?^wm5^=e-twn43cS{h;x#W% zGmz+raoxsm*;PIbw?O9=sVC}X$LhTsZRE1LlM~ zA}~sjam%;Ony!rTE3NCl%AXDVSCXe2Nl70hyvTA^%GJ&MHr4Z$Sm3gQ<8FjcouAkO zXHuCy#4qb2uBL5W?R`n`8YNQF9yuR{s|Qw6_{lqE2M>*(#Ns0ZQw1^Vh>Ko5;Zrr4 zLu=&i;deloE_iT!szNiK%FH{3E{J7iNAz{}J?YVhWx$p-CVf#6dci@uUR4_4g##)wUkSc!v43dYr*+&ZZ_me#ce*Gh^-*@euP_YoAZZh z;OueOr+o1tVqv{Gw2TALktuPPa>iXB7_@gJ6kFj<4VCP(w0c-b=iMwHw) zXy|w~e_BiOf1v*+#)$)IyW)eOzCB|z_l?sHt)A}PKW8-~KM9$2r(N!bvR>@cl*(i` zsJ?fzT^w2>b=q{u?SuO0Q9G%HHKBvJho##PPgWedcDXOCv5@xavt1PDtM66LbMMQr zTJ6bfi^~|XoHogXJ5XS8D9h;(#|^7;{;w~dP>2+!4FX0tQl3x+(9QAJfMn>4o6Y&@ zX6O^FB^B4rb2G$Sw()h~@(rRKB+Xga*A3fy$;Cw_7}d?*NlO=lW9zrmhqEYVJJFi# znM^?`j7dk~;ldH&cbDU0YXZ(0fXn}(OM{u+0{g;l&MBGV{rXs+jQx2K5MaJt)say3 zCn=w7;~k$aKr{FpGFudYr&#mVgZDEPAlr{cky<k-NwxDf2~osZ0p7_~pjWmkrkaY-oRVRgGqF26kyDK6Ry-l0cngv)v)Dt(-P%ADQA z*V)_`U>;Yh__|bKVb`#yJpdoSFbHB#l`J~5l1l#6{vTlu&g!N}@{Wz)0YEza8@9Nn z2UyKZ6E?6ojvVU17Rn#bnY7pjhI~?xf@D4RV5`=ngmRrQm{M-YpS~9k`(tHv|XfHSRmwBF89gGz>|Uy zE?!yoFNt4BYZUq9*Sn_DB|0ZVMDdG#aUN_x8m+jxRJe`=C-2VXtJ$dnvfl393o|0)Y@^0(d*{u> zm9-dW(z>$rT%6r;A`-ahOr5_&*0$Hh!a4o=-Ox2TY;|>?eoxi4hn$d*koYXZNW0ln zhTnD!3_LmD1l^6Bn)jHle)GTieP@ZKhV1s(pz)&$16vja)fx7JHmQrI$3$j8+S(w!x zBn_N#JUiTI$d~s&y^(dt?k&MPi#0ZApfZJZqH&%dShT47=y=+J`g}TH-%BdQzelg{ zUd{uVB77}%rxnizR-g6`Gnpnb(YDBG5{%~t3AG{{??{FCA7FJlBPy6@-p8^)kG`T` zUzVXO&2N>ZDq6xlzGtJSn%5zooa`j<^-cv-p}Ps4hq+_b{9Drkz&>*FWNoN=ku9imFF#OAEK>f-HafAg} zwA5?Ya>Jr9V(6D2U5@e@2G7l~$ehBMCN0sQe$;68IR-!_q zT!k9uYyvC6HkE|qUx$ymPKE7LXg%+vf=+ET0?OkMv2QnG-aEMVArsY<0`h3splJfy z?0-Ma@-?UE!&ct_#U|}&C-b}^JdnMK@$9$w@2kq>W0$6|pr0Fu9-;OD0#+BbNylZn zz8c$>t`A8v`u_Ge@d&P1DUS+IT;v_kZ(c;OUES-=(z}x0RHEr1>8ZRB%6j}IfUDuN zgHNJ1TOoJMUkR(kF$mK}LhIo(`c*T`RrHi@KTvh{5S$nbxTNU8APK9o-vXVVZEn+0 zCw=Kt@rPoL3>0*&>+l``RWW98lTH_ll1t)WvMwZ^@L0ss%lj9uS}K|6_^z%9n(zQN z5Ur;?=|0>%DE8O44XjlMZ#F?ry`rx+>kgBuol;)l8=2i7a0ma`|4RIoC za9()1!RO*pTXmb`!VjDmaV4NLwjF=!KZC6AAaKHMW8z5V2+txw9Y3?vv?J+;(U){u zm{R9w!Ez7j^}N4)7GTh|ydMA9bS~I&Tlc_mdH4W^KH!}RthCC~sm4%GI z{?cTcEW+?P=E_q`Z@2^Mhu&;T?Hf-`2`A}OeB>5r`k+wjM~Vl@*_sb%2gn39b1Sp? z8kM;mSe7={55T`4!7xQ`pOFy9$fvei=OpYWgPeDk@n(Zt4OL+Nyf6&PRv`r91%jG{GqfmtzJKd{U4y##*16%Z1vO53snsE(3Az*B zDKOzB5gr2dd7&Yn`bKmN1VK>QG)^c(TzGk>i(XXkY0(aes#)h|G!tPV#JH#{jbXac zs9O3e*z&~vntTBNi3=8`iBQR|`3fj?kj4%w2BD++tAdaStp~9gEVoD+k8|ktQ1+u8 zt;lisxMg}?6|$$m>ERJMHL{&MGxFyoY)Rk0FL>>@3EMpnZeC``fd{dM4zD5rlgV}2 zs+;a-Uk-N@sWBm^`8Jza>tR&@1E1NEC?USF{#xe}G9>nx~eRSzk zq{sA4<^_piG?DX^eKt^gPSWk_j?a^<`U>zrO%9PO0K7T)?b06bu%IGCqAsV`6Ga_4LZBkZ(O_Rs^ONMw$4Mhw+(Mh8K#)vA z2~qo*BP{jef*W%(ZH1lNGw%wpBCowzJ0e$xc>zXGhkx`h97PJ4ollK0p1oL9YMyS|ACk#B14Q-9HNM~@>`b_ep{c`h-(|R zYkb6OJ9F^osIia{W}3|ezTM@#{a~dM_4}!URlHlJtr_(j!5(=RHohx;r}K)2&MS!T zv#s0K59m-ixbq6Gf}ol3CnZxA!RBLTKz`5p`%9-hatXpg@R%JZV&Wog9 z8B?mh%f=wb-zn4&`|_%?6}`4T^UuU`8&b;`$k)H|*huoJ#$yGRbAFPsAP54mZr?aZ zi{~gWX|QZxPV1#v9yrgwiyyF%Za9!7LV*~XKMW5^c_WpX3QRTM!~QeRISVNY`T!>> zfYFeT@w0~1*Gsr0omNX;7_9sj#0qaZ3iy3lBOvuxEHoY^pVuo2q~=pC8=IJKHYD9n zktb;pPnt-YK{?}GXre1(6<4^Mo~3P;xsO<4OgL$>4=BX8LU(x6&p(VwOe>^5D)Li| z0~9lpr-S;^$L%*0#+MnpH)%5h1qw)%f&E~HrGXqHD%~KphjZi84SoyA>qe!~$NsZ- zYPSe0EdjHqV}Lfv>{jp=-4Pxn+c!Z0Dq#^`%x-GSg+7>s!LT4$qTl$s5$vajpeT&S z|7=^upj{?;Y7fALtZy}~`KV>NA~q{{zMu==IJ8ikzq}TVnQs3CnAkie1{VbUqEN6# zCZCktjE@6(65GatON2dQ&uh1;1NT?eb@iq`egMT+%7$*BK~)U&{U8^x@>Y!6jsP=j-tz^6>cj61f(~)A6~kN713X zpZ^CcJBfb@RplQyH1;oaB%@Q7zmxQRDb+SGKoD&|qG|e^|&63 zvE4&qt%JvP7w$gWmy(k;Am71zqd z8eOmlakWbho$|5hyV16#79FXp$AP`CuY_Kh83fSnG;S={x`$GHW)%v^S-@+sjY@_4 z2J(&hf}k`nH)}IfcuKBC(?3MfMqo$GkdOf~_NXpx{l^%(DH-}*%O1cmwIm;yUkf4& z`DMr8kkUA!k5|wDk)rESYFf!u?@K4=cWONj*j6z7x>vhPbet>)XF%~c6SL8*9nO$7 zXw%jYhwthfRg*du^4901Ldzs41rCu;CWg8?C?qbi05oG^;p$;@DyE;>8shd)hRX6=}?Mm~A2k0wQ*K>E~#KkpNu9-P1QBtM>Rs38oT z90YFE{m|^2oCw_fXjPSe963TcsEeB}xf{Z=bme8G#rD~WccbUzK_P3jgUHI?oej#5 zW)8sM>U!UWGArV6u>9*Q3Gw5kzk7hLu}DP2<*!?)`sLmAWIsERGkISWbmC%=(G zN6dISCCfRWjXI56jTB)mNnW;QjhSK>N$;BsJdUtmh+f;c zKSO`ybNam~6jCR3$F{xRW1n;}qQ}4xLv0UY139+US~J`cl=5IWVvL*PRsF z2Hm(rw5JOu3*~1N6V736$&+fj^57}sH2D%s8>y?Q@OhToE_Ax*5aSAeuorgZC< zi{`bQbzEkRB)Zz1$cqJ|7PV{*lOHE)SNe1Uj^CN8BR}M?BYaeS*FccSI(c5x2FMFx>;s#|srQ)~loWCT+ z@;n$2X%`vI+?dVA6+`xaajz$Xe$!yylhP5pJ_V&pExhvXp>0-=pYGOW$ibP+wx`ZmadlXl?mgMTERTZG-gQ7%qG`R#;gz0+RfkX-NS4`KapZ` zie|Y}T+|SLXu_qVv5jL0S%K>sdXD|rHL<1*C^jt9Pa291PUz{b#nj^9CVzq-D1@%* z0#|gf``u{wnykKQ`~g-4Zm7w%cY9hDA5EAC6P*lFmYB6R^{T_TfcTINe;B2aG~u*z=?9l)(*c`i+e zb2V#5_N(`9Zc*54gGE6O(`8GVG!9vsedzDjlk>`}tPEkNWmb`!;s z+Q?+c>b5WILh;c*pZw1z!hLQhJOw?b!u__|lJ95UJ!rJAe?!I&C*J>HKLGpHIxZ!L z%Bc9S_rZ*sTA0{C>lAsN5v$_SP`^tvqIkf08gaI`+U|XO7F^|1N5t}B=-!>Fmi>dJ zA4>Kl@*Jq|+DV%Su7-T6O!g}8j!JkzcBDFO&MR%Yv%tYBctBo1^OHC}C6cl)7;5C0 zz%{1eH*r?_wD<3q20VZnf5zze7_V2my(s4TrNj-cK~x-XX${JqU(=U+m*4JsYbU)y zAc>3a(?RwO>@H!4gh9eaEiPG)yIXJ-1-OosZ`Xf>wy`N9$V z2}k^|{z>oiLJ)H7f||u=VygtSEjW1_^C7QA?J8=)WWSaV_`3N^wgQ@O4;;CZB1WB7 z7N{;jT8;k$g>=4JHakU>YL65ayKCi!@Tv9aFG_{c8cGueZa7q<446L!NMko8r<}1e zBjM5tMwlozq^Ujf7+MAa5;rZm)3m#FukHB!`bp(yZ?^N~M&|dKw6ckYy0ttI83E#y zwd8lT-jb5jN&G|o1XO$Od}5(f;=yhgf7-0iaKULYX`shBR$Md^iBz)v+CEDk`oSC$ z4rQaZOKqu_49ylMNk`#p{6xs^_4e30OjIw`1S= zpbp`pPpR+D-s}Ai_>7-_FsRxHG)s-85=5gz!8WPEM2FV+O2y9U2phzH0RmolDwLBy zW|3e>r_7;^QA=Fo2Z^oE9~Cx&6ClOel*D89vDTvubNT*F_U=Hs)f z7wdzu%D-$#5nw{qnah;R*u`OBI93_WNr38o-k8YfS?CRJ#Xq{5D2>5=`n=|&yLTfr2I+mLOgclw z%H-HoKTiSgQYGUW|7oz{@DAp~b}zk3dWL?fa;F&)hDEk0;Eb8~IfLQPTD!?`neRN7 zrRx{dszzdUSyEYJmq=hJKU1c8iGMJcOM= zNN3DgXSZIq`BpGiKbO;8=}iGLjH@&}eScW6y-!MKPa%9Nl$`V)HZ_H1*F<%%&B8r> z_J*u;qM5qMP{v1%LxvlU+WXX^pj#iR@AOg70B*%I0nMY7x5=IAf&v4QNUfP%dRZf>AO z{%L?YCI+o@%*@<16&iYU4Om7-CC#61R4C151rm}>&iS3rBLuv4?#_w z%w|(r;G65pBb5a4^lnLx)P<22#|N5)MI()Ne>cB5S}0hb^E8C(tsDOj6tIyDLjPU! zWxTov-7gb+>IM+l_HB$P%)#~rdAk?JrDFsfgV;Pbd(*|T_0Z8ysG#m3R;5cpyV);| z3`?uCkr-W$EhyX7)*k$B_|Q3nmGi5kV}fdwet1uzSejf2E(zz{(6zW@88G|q{^7e1 z(p21}C5kcBO57wZE@T`;V?54QC!Pap~Lpn zWZKUUniaF5L5B&y%VOzn@{_HXZeN%^P8ZyeK#8ge6$s@KIZJm!{j?gH38g%KJ;W;K zbg7SB6?AJoR&X!n>m3R9a~sN)?^D=KU-wpC2LL9D7{oR3Eq&$Q+|AUq_$4E|Ui|uU z_5$zX?SILk=PC3)X*iXXLsU^lKH_9w!#g#0FQGFz>3b$);pZdM1ZDO4T^tI~JtalV z^K=T7AcO|H#7N6%acdarb4I`q>aKxWcv-#o4ouO@EwecLF7NqW?oNxFMp4%rR;E$<_O#gMN9J%5&UGz(hM=-0|Prxd6+^zCfC>R=o;7RLk`JKh;cPAo)%Gi$^lM* zS$20QLdpL8&2Jb@lP1%UFDau3;2$X~%@ zx5SQ=n!1yYrFW2}f-(e^!;C|f?)!0`tYU@KX_gY!fwF*mYxk4UV&}zuKk9p1w~&Jr z`8yX^7%Mu!nT8CbEEHU16iGGc#2Kllty9ia4WP4$ll`6i+?pSw3=Ttn=gVxAee^|P zZuo+`GnbwoW*Md`W~s{qFc;X>EWh0gqX11ijV5(=@sdM-kMCKvSxEgw;M3(nw;x7@Q=pdzw+OlPtHNOz9`(7w#POX28%H{dVh!n6hRHFiTPR}B4k{3 zHO?ImjnTxXEd{RKiJG9d^!Q3GO`zAEF`n$C0$SclpPluFITPt-KUm@KPB*W&b)>pt zY0bF(0al@4fF~L!`ZN#g3uas(aJ_Y0!T9JwO~t2IccPm)Hs34{LUzEC*Df1-!K0o} zEiSPqH&ouhIi5J?ektm4GAt16=Z(sQn^Z@i&j3@Wh8oZE<`^9~zmz9Ff>;?;hfj!{eHcl&*$TrI>P#d>BOIUsUpGK<0O<9 zaPO{Dj!N=p+J&WqKS|cfFOinojs_~tdyf3_zt)vJJrA^MOv5XdHKINA_m@1jMc@wi zZ(M{!gLDKCMx+zd5gaBLWs*n2cR+xtqFo*2xkAy5L$nmO&D)7|^Ubz?eV99y){?t; zI#6SI#n@AzzfU5xaM$uf|9OVl!tU#{NQMD z1kVCtPATT){FH{oW103LFeg>`xkf!U%Fkrxd(eC`G*6wTbPf)dM)s!NL&e>+kn|psRu5ssr}$fRbgi@`TFv}}FDA6S zc1vo=rtj#%lEB-=tj@PhN8?b?zK`zinZ2n%%fy?Ae2lV02P4KYn>sC1^`7$$JT+ zO2n8R7Uv_EgpE=9>**$305K6D>gw6+OAef=x9$&$^2LWvQGJ2Fq)Ga$#T#VDiVIL? zSpwYfi_vY+fQ_f@zqq^txXUm}XO6trGbB;?Nr_kov!&{)3`w_IZW#Tjrr1ls&7NHE zPe^63K(7(gzhp4m!)_^3YxU#~AIu9qn7<@`o6Ami6*Be^-3$ameOSP)=6c^w0%5=> zXzFO%We(_WGkEWdQM&diPdlF}@-9B0;O_CojPqAj4iQs7XYq!R;zhWPBvre)bcc4q zx9?d_9;+$1pj5RuQJ*_`guqD!S^Az{lp5S)<1-LW1@kh8ZCdF5PE{Mp9uO@{dzbpX z7O-(2dt+~?=>wePSL7W0S^6VHSmQJ-dE=&IT_yJ329b> zoG^;eYwouH0*9yku$OTOIAl2$dWgS$u%?+B)aI(lEpTXZb1y>P56M>JChi`O$Anh5 zzmk5*{#u5qRdB;rwD}=NhxJ48Co>&LW#4PGJ& zCJix>-D}`frA72a_=nqFZUz^jmFMDEYBdfW`?94ffVJ_$UE7N$Y!FJ%zb8h1k_OlE zizW7s|7=sguc3be1?lFG-CNUZxkhuqlF*q~_a&2$)i{1cnRpv-SQST^E7#eB7Maz> z9#&Mbht@&3Mn)%Y{v4>9G3YB5cp$(#etc1*TO@nu z^B6eAX*y5%y;7(KuUcF=gt^19Tw(^Xu8(tu^+}Og!s- zng53}Y%%I@f$ZbVFaKGR%yAr-^`p6{4BB_sx%5E25bs=7|XpsD!bPOaFk8c=`H|0&D zT*Uh)!hn_9iaW&3ctTF1;ZN_a6o-|h39=u)9{2WFelUkOyJL7_2w3*BnO1kr+a_|w zMrIa+nMRzc85iPYwp^%`)s)n8Ufc7xz-K7W-%-$-*FlvHe*eBAQw(Ha8{7PSi1cN0 zgz2LYhDQ-3g+x=Qrmy36N7-YzCC?dcInrg>e*!?z>0_S3!ESY%1>D%Sj`S|XGP_$7 zKhq|0Ipg!^sazRBy$^Nj>fO60xynFowNT3G8rxJ+GA4}ie%+I^PsxeMT)46rypz=^RB~>G7GN-eDDT$&Kzowykt?Vgu`d8_) zL~8yZ8)QtffNF0{x@O+CB=w8+-83It0N4NLT;F9vwQF%W_@3 z6&gDrnl76zBn#@HetGNgHUD)rwy*4&NuQy+w2y@L>O?-YAdE%gS3Nep{52_JPm6dl zZiIjz9bVP)`6;HXEEKuu@;geyx>K;sKTF$g5IAm9HjUgZ3R@WqYJ?X^f>GRn%6Lae z8Xp^H`pD?jfTwUe-#E1t(O!eixtEg)XCF?9C6*>C+3ma6i)_Wm6ZONlt))IaQ6S`A zcq8S1W~hA~JT*1ikcf%XU!?x6G`_MCxP%j9xk~m71C!ni`~J>i2c5+2bxVu*hFspG z0_cCK6gJFSE6Z}Wl=|&rZw_qd_F+;eveooJyZqbu_=_}cw>F|mZhCwZr;JW)%D?7d zV-(!3uQq1rrPHGz1g;fEe`6@Q5@T>!?G&Kk`kFR%SD&4qlL2>(43gC(&>7>gZR@Y^ z8_*XSABS=_MoEsB3%57u{ti7i776Z0m;;6Jp3+j$W(L~d${%D(hZfRO>!spi zrw}HZf8HK)MyHb;Q*M|y11HTSP1=O*gKTU(enAWAlN?yG;&wLGIQp^I`B1mb$vu@} zZwl}(Mt*4G-kw`>cbzID~|K4c3b4fO9Rv-T?ytc`LB z%^YQCZabnBte|2KORp<}FnnXE0nv=Stah*Q=TU$$oTfNuJs5LdGd9gS8LBCv69{gD_pk7##Spusl(+;^4EI%c7C-?c2 z3g_!*t2YmMl%`IJP2)xa(r1a$mQ$9P{K;#;KXjUA8Za!hcDQNuKhPEA8K6W?4Uzlj z+v6;olrm=sn{*IGeT}|p$#Q~*(#bUz<-!_D3+98`P7H_Ob}hpSF;p3Y2uoUMQqH-$gBYy!Q4}_s;!Jt8nHIJyMKKP|;Up%BrM4t46SJ6Swa7)9_Oyp2v)U~sFh;a|(~_USr>7cx-7E8A7)6{W;GAe^ z7EVT)%x0$O^lh2)CBIkV{5&cn;@p@hTXIOImzuZFWzvCHR@xFtLHW$NH0JOMYv#$J zbBj-?0w=pgL+(*32bcVr7g7x#bQG4~OEL<$?W1+PNP8ovMre4GyY*w(Yg>7`X^ z9-BI>zk~a7%jnPVtmP227`(0q7vH@qO<2!6&R&Z#Vfm`JThniJYY7yCz%5!-gs}fv ziH$)Q9QdZaYrm-Q6^}J)6gAa4E8(TS!3L4ogv%?$0U63qbXUg^)MSx}PdB4e5E*}n zo~8Oc_#bG7Iz`$QAl#DbSD7!keey&Z)Lf@C4KV-|ofmcjH&*S$(tXN77do^}~ zhfS_=T|nv8E7y&^``UW_6TQ;7MYKi68V~qL0`GkGUR!tppkZuYl82ZTo||neG5#Io zB;e#ue4h~=+&L9xk}yDJ?5}ma+Hr1^_%G*m7`Wn*&YdS3X+BBJPC#Z3AYe>HS9_IKiuo47b3|G z1`sKouez(TMxUyGQUk+!#@=?`vuH?DI6B7o?36VVhJ=+YxNZlY&{U)Qcj{f350gt8 z*M7iO1$>J$UXMum;s9xp7DnMsXW4f-{HH2m$S)(k{(ulMOBLOkjN-2Boa;tO#J<$_ z$Iu-z9TA%Dski-O3$l^|9w^M)McAh@oii-#S|MM&6D;(tG>z9vrB+yoWy-7B%0q*H zw@m>Qu<#*9XH_%SO7si+1oH_=By5aUZLMEvcmBT!uv88&FJ^%IN1DcOE4Dc12}lq+ zYVu(|Tx}6Qe)>O7ymC5u8u@1~d=aSGq z&j*kI?g0}6iHdFKWRfpt)o*U1sjFM((m|B((d1=eKQ2=D8mDJz@2=AbIivl*X>5{> zzAr*;Pnk{1)f8!y(ua#nO|qqS?2)bZ0qh5*6$!08=*C9 ze~S;w+so$06mSrxhHIwO+{wh{+;K^bu3Xjv;ohF<3H}qC9HL($otiT~@&`@}|j^PidxLv1Ci*s@K;7 zm_n8krP^3<3rVTTLsPuy6r)hv@yB=nTQT**z9qK`TAP1n`y-=U9n;XrqrT<>FpSkn zDqGf*?Giyc=7d9B+X{E&)DJ^8rr7QseOA?K3%@UH_Ep#?_SUVDi$Pl3qKC#xFIi+q z0C#ZiVDO}eWr1#fe(whXXCtOtrGAbNua?f8Ey5boUvnwjbd@!`MFEL@darD&NTSBt zL`t>`ezG}|!(m?f)BNCfj=an5oYwaFZ6^ki5i<^u>d(K_LpDs5uy)orKTSrq68yN% z9_S`eG_HT}JKsX%>G-QKQSMuv+xX+{tilEfHVBW%%dX$j!l9A8wt zyB702cGuLU`ghDRwK+2HlihKe>r1X3hQBOS$3kNro4mULxw!EOl~2CBj;f^$~*G;Xc4 z0T6FDK=?o9JuerdZ;z(dmj_zP1u82^h^UZW{`Kp@Jei`4R>!U|=^w^VN{|GMB3`LsnRH}`HX{}sBCJBR@-!#DpS9C(I=`}+|6tm03`qP^QK>dJ+c1Pyv9 z!foBw{VWp&a##8nWA}7wNWl_-_J4fx+AraIoAledYLa{|81gc4#y{1R@!SK+EQJC3 z>r=ivQCDRJx&b-}QO;(0*Zsa6c$P3-{-m&6_>!pxSk^HVB1HL9*-cP>sazXhiztXb z8<@Y86K{JiM9uJV_BEI`UHIC_l$GjmZw>I+b9(Vp%UVMbJL_4BHr^BTO?HQbsyHV}yl#1Cb_K&gxV3~hmBi0|MSrO!}mZUw|U+d;-q_awA3FOz>ccg_;pfots?E$;i5vX~wqt zzD#bo!Ql1MOv%K}YX2}v8aU}KB9Q2QpVN0Je(WdrofLFUvy^skaoaTwgE}T5@O*Jw z%c1b_M(?c~C#P1suUU%qE`}WC@G97rp(p~;^WR|UN&zJ+*8qb(0so3FY%?wP-&W}b zldZyG*|fGGCT>s$A~Q%tZY*%VxKmlCc*u>l^oNNCV&BT%Bc^sn%E0Nkbj=}hUhq;U z7oXNIimG=33~nP%R3U;+&8DmGPB)2x70ok;Fo|PN(eM^{Kl5$}XenxICO4Jl1?-Lx zTz!)$5(X|hoq0memf{d!!pGx@axdq}QCa5EBaee$G?3eg4AhkSRyf@kk6Ob8Tt}x@ zpZ$@Uto#RosLwwrG}50NT{mOXx^>QQ$J#kH^)_YfmkpZYG&3{R>~|$B254dXaGwA3 zqeaNO%u)Cn);F!|*yNopPD$TYKUpd5Ik4XCern4ZNWagT}Bxa}RUdHKa=u z(_Py*dL)W^{%m=dagtNDQ5lehlyyJjS`&Z|}&cXNUCSzhEfj(qNAj?qfS7edPHq^cMp=Bk(P8Ub^_-RxJpA@Z5=O(|u^ z7Z=)kD?(O7jB1hRAOLYbUlS;JTm8`wXGZhY5AOHRk^>PK z&R}}QvC1{egVl=Krk&b%JWI_|%go3{24YhwqE7Z)0t7Z{TH~3Q{;c59v#%s^POQpU zr>>!A|DF&Y;|5k$nb?&dau5T!8P4W+Qj0l84c|D2UgjRCZye(szST?U!wEn*Fljpr2UUw0?@T=i(}U5>mEXZEiARpC-rL?O!(*7=CI2Z-zha z=;oXzM<~3ww6pUf^J|wo$0+d5%D2C5so!tjNm)c6Q@0&&JOLKT|8_?nc%b1*;PyP> z;gInV<&+P2Zq|4_FBJu$E$kWn8nt4!xQUPBo~UYhV0g^rFEU3~=l@>z27WAk^-P8O zqYVv9cN-Tqx3@4Yxy$*rH(m=~_c%+6RfnuXO1i(M$3*q(l$$zUR|qf#Zn1HY)X2U$ zuH^3PpM?Z1Y#*z$0}+ngsn6G=G~H`G7L7h>#934HH5}K+0-xTDL{S5WuQ;87QI~6P zWZ(fe`URtr)`Tq;LojE8Ik5z|8+^BDckuUUgeW-56A~V*YMxG9Tw`cYAdki4X}ji> z+W7C|zyhh~T#j(c7**f2F;a1>5@kj+^czQqLy-C#r*OG6Q^A#lI8YaXD!|~J6VQ{@ z%G_v*>;KK4Pjg2FvYXuQS$VQ#?V8ik4Hcz=ypkqluYLX3Jk=any0JDCz~~MX5OWmd zy$S4|bW|HYK?4_8I_tmRUYIAMv>mSSZs~&mft;fQX(ZaaMc+b-D?*pBlEwLv@H45c z)_Y;9#{c>o$$E2=qXb94()iQl>wmvh-w@?~BY8NJ7ZP%kSmVumw*#O*rsNUNdMynG z{5)90$8H!_U`QVO%Bx=gndCHt>vl>MEh6HV-|o-#ataa7L~#D~J3Nt+4ycY&ZI)uZ zxi8L{rg-+!k7r)6ZU{_5Kq<+0%~#H)>kqH`z)|_-9d|*Hn{>=gn#gHrRoeHgRN}0} zoyj;`EmW@ah_KuvXrcr@HEP6EFS^%Q3wXYvM#A7U?eaN_<~O;4L*Iib#L;T-N4#{GMfNrri+df$(>h;wV$!_s}jkC1F;e z3ncr(1f})HRAuHAni|5kZK5z|HmGmvTQaMA4!EisE?Fr7&a>_D*guVC?_50Kqj1QM z+~LcfmQS=#lgJLs4wD|B1`AB85h@+r@%l1mY7v2$6_^h6c391fGif`UaD^TF9RCke zc4?P`6oUDIm>^kM1StFulqy}=<ZFVztI|hjwGq>5BzheMgQ}1RpF!XZ! zMiwIpoItCSlP%R+U{-c3O2x)QQ=O^HGEM29u|XoJk%#T~aMct#V#+ddWa+|2|Gg%W z!G-!BtrV)6eht@puL~hfWp>l#Mq?}T_1i6nASp902niy8Vr{=WbNRuJ;pV`f?aR%^ z`{R5w1AqfyY9NU}ZCLRh!{lae;=PJT*~Pm+dCn@6UUY!mmG|4jr`wl@%$>z9>oaPs z1}%)AS~o=|JWA_5JRS*<-rKrcT+=7sMBub6hb?s4CHP0}hz%Bve3^nfRj4$aB?;GY z!&Eur;5zepSw8aEuv6<=gNjN&)c>Y-j~-|93^j>;$coTqzw{leZ+ait-Q^Ff?iC%~ z)MB$fxN)Xfh`r-*-}173*Wq0|=GA?#&O7Mkn`yt(k_QR(i;J{X?Qi*#4}2-D{;sAO zFIK3V4mXD0n{M*Vv?^b&%Uu3iLXn1sFkZ-=`1erpq$s9m=t5hm#&&&s(Qb(A@)U2I+<9)1%O%#1S{Aj5$BYuR^yLvsg(^Z0(l4VC%I zMB&eNE&yvoKx3-?f<#+07e)e4jKTac+_u7bHX;(ZB~m-C37l)lQ#DovKCLsfjS^%j zd^0WN=gHIyUE0I!6#_A?3k=uxjI!KPib=-WrJ8QLu#W`KBcBFn>(iz-das6 zj&hOuew&k7Y20m7H{h0pp@xd|2;LZydJLfgXitmzlR&#l88i5(W9v+-Y3{*BGL?U6 zXmH^3qi5T(Gifp}J)xO_83b#((vlc3s!nkO9EeHDpSe~Z7HfNwK;#G@4F6MnkE3h0 zGF#)3KZceGm0-ote(gPUfsWZ^z@;aW;6PNIsqgI^n?-WV`HC?UIsN?;2BWwYB*#Sj=H;cmJc|N3p3>&lXl|_atQTQ?6kq_JHeQNoi9Q;hh<<3?X@`6^}mh%K( zKVM5t?bD%3@YhZ@UT?iQ-VCJWnAc82s#v^PKXZ&}x>F~bI*(K`p98}>VQ*KvgU4VH z1?HA#+AL!UwB3hn{PWuQ;OF7poLu3wF{7vHPK}~NX*%88Gd13QrGvJDU&1P64OV>P zzEA=XYwt3Z6+#(~4fp&E+bQ7}s^E50iF9X6j<(f`6+T(c zbZUE!6P*BINd440w8;lFXSA~NLucjCdStNn+$54oxmPJwWPpL9o$=IUD$^riH}S#q z{oqYn<@Dv>$yIH?NdX zdac3)DLo_SqFAsr=y*hSq)RzAR`T4KPz~R#1rT1FJylhIoQJs0s;;S6W_W`jN>Ufv z(6G%3xUO-UGaG`L*oUgG#`oDYbv+!^EGV>Vz-SK{e~l9Fnlg$D*20en{$Z_h6! zJ3`wO8sz&f1>w{g{aRqu<(Rx%n5Fj9$VpK+eFb_hcc8tQ`1z&T9R$(jORWat-h(1R z;H~GFL&V(ONv!-nPXtvpIAOpaMIb&Ml^ce)=N}~JJY@f7jk|G184fA2K3B+P6$GO} zb~mlh7hgboTeVF-OH0IBl*mYW0dxd-D8hXeF$k2}QYDsiL#JPVJ8AOFZ{9K$M1F1S z353y9pBTz&2MHaLrTGgwH(dTJuR7jz!%cC%IACL`KYk+_3yBUOd4Sx{jnxD-m*S?Q zaIc;`*6OHsm234`Xea%-^7}p!d7e@61Vxb9)JqF(DK~AG^wxdU#+cwdLT35%tvDY_ z9b(*tJ^t}pVZJfcMPqLFFal^7BOH+hE5Y1NKHBQy9b{$AE4#9&q_-e7UPv zO#_~4kIGYyjT?icf=q7RG1pr+P;ksXb;CCI4YoMu`+Pxuwr+b_cP0~xilwRA@75n= zFdko*@@PZx{1k3*lMr9at^5fI1zf+(VUUt9SAzAFF2)wi7Fk98nZcZodvcOuB^mOq zqDJ8~w>fMP@S%q-AZx?SM^!BPg;6a#T!jpOwy_ks!CE}Y{7Sh5-Rm_fW1_ROP8TuRQVDefZSrR?9^+4x+<&VlxFIp|vyZitik z=bidajpuM}@dU2vs(_|b2>eEcXc&9AbS!z(`g`m%<#&iy!q0+#omR)KC~N7dq~-kx zB}82G@lecD_PmRA%)+qEfs$3Pz(lMXppxvpkdK*jVUuY6n(KG>51~+eRk#5le|#(! z+dt_J8ZJcB=h0Ma+P#aplmc;&=LK#H2&}sR++3N_Tnl1-MQ8$L+zX zU(4qa^5QHB(iL=iO|)1zT^VgCl#UVXUhY;16Dq&F-=PlcIdy9`rpfqK0X}nD#z_o} zQw39t^x0a$5CR!vG+f_nuA5KR1F=f?zJ?eEiZp>%hC85N?M-o!=}{N|xs+fwZQD_5LjqULUVeFr!d>Ea|_ zXe5g2x&aK{2(@v#V&ZaAeR7D~oh)%AGQ8B< zHQ}Q>R)-~bME9W4?Gvv8gyQcOr!uC#YrXzF=s{3#+qb;eX~x@+dgg6z{cmP3q1`~v z-&KE483wMErCIE`TReLovIP=2*+Td&N10X<+xcF$h{-SAfUZiv8Bx$VNneHHY$lWT zJlpYXNCuXmejVsf-KxLe7M?$SSRbEN&=;m=R4N$sovJz-R8He;?0D6XAdaV1eKgEydxt))fQ$q_{MtqNWF z3A9xib3S8S3_V8~P)+w53Ol}T@lKu`7Ybb?`kDdFPz(`2&|(e~YDxEv0cmiYu6|78 zEJ|5gB#nJF@#?oaU{fDM|2gS{Io8uskc64`^wc&@U+`Y$KAZ(kMqjkv$nEf-VC_6U;};Y#|u z-SpSka$?PYurN`g_UQ$`Va^EaSZmnW`>$}B(&)rwXQx=9OPNgBX-N25Ze1-TRQcK1 z(iy_*vVC3$z&ATV%TGvOTfH?{@#XfxMe)avVh^G2+~_~^CBoR}vwEL%B1#&O?Kv%2 z{+2xe`s5Ma3eji)Ab_W{cO5DoP1#-}sOS&dxzNVWlF@h{53`S6g-37Rb3*Suyq7&mfiD)Yau@mwL+EC0Ts=TnpB{bP$XikPW? ze3dBe8ILTYiqo_{lyWyW3^kwsU5F@fdr0&x=!nt{*t&V9=1>qo4>V4coe*ds zI%V|?!M*g2mhd@A?!$VJTgCpSMZgmNplZb>esc2;`jnUEYJ~i($uJ8(wf1^p810s+ z31B`QmU|t6i~ab%$0P*WWZINpn&*Ae`TmsFGCR>HZeh=C>b9`_Ud#IOch4q+IXPqd zJf+bKN{9HrKU-&8WDc&tz^S(!w(t>z%sTi>tFK%u<&xmZq>+xv9^4wHPJW`XJ*9(w z9YebRJAx$c1Nk3ly4-SqxuxcsUSGSSY^WRHa6h%ZV;&@168N76Ii?~ZlL_k?s9=>! zJhMi?J>*vPaF3E3N8oM?jUv84_*Wnx;bXVAWjCi3Jou&OBHGpN{rQ-tLTuLmMsYVj zU{Y2jiy*8zXM@=e8UW6}3r{iuu&Jf=zny;w$;Z677@2y0+-te@mBZqM58MfWg?r)A z=|Y}kk|AZQt|3=dXzN`%YTu^EiqRan!&Zx+PPEm_OI2q~qMN?pZf3G%2Gt0+=)fcq z8$KJ)T`_C%`Q#LUcfCE6JTIg5qB(Ks%>9JhQYJb;85OJlj8gj=Yo`^qIWHqWF$EAm z)YttR3bGhOw{7m`#k!@P9~XU}5ZQnxuIg3+T~4#&Ps(%VwAWMH`3~Y|njLX1s}}eB zL0}Xwn~he)dhQN{KOKbZ2m;;W^)l*24e<=B`p*xU1^W5&u2TkeK#I7C9f?$I{kUxucu zj9P;GJQ}r4tEzWunR@AR##99c>u0iyLoURQE^|x2H=!NrW_395R%Q$_QNZ}_vgAIy zh6;ALut~(~^_j}`2VTHdD83jQFaA|$cRqbkatO;Hbb^k3;IUgYPj}b7Un?bkUj5{$ zi7%iIv>QTE`|!*h;!!`#|3La?kkOzp3cU)Bww{35y-}w~izSGdJ2cRq-lSe^Ma*7L z^X~>HY6kB+IDG{6!E>oqIRcdX*!VaZ#?7k)g)jH%5&-pgWpNK(g&Si_vyp$MFCnyT zB3T)?`{H+Cg^z|EA~P40c6KErq?eN?IqpmT-R*Voaq6njp3G92@;wi)EVm4B8hk@v zW4e6qXF*2vqbXEDe|Z2Ty~zu(u5u)+IyHfVEQZc*4H&X?I%ni6z4Pt;*#6I=vUX5$Z1y@l0J`d1<-+B5@TD>STSu!ViVK>3cl zGA$)AuRnGzRi20t26x< zbYuq1zKkWk4?s6E#5Z}Z%S|TP>{(UQ*$NMDD19wZe`KzI-?-7+gRP&7D?0t2Gh^xA z0btuXstS*Rc#N@>tE=*-?t^fYeXPoZ$O09l#uEpDXNfFk2LOHAh^_ZZ&mwm;(zNs( z{#7fIIM1tWaM2BOCVa=>*CeAxNG&uu@8x!zO-j4UtB~``a!_b3m-5pKrP@3D51z-M zW?q%P#i zUZ0`*ccsn0-bOp3?KuaIZT4bJFQ~VYEgpY!Ud*6E-J0(&Ee%<8#b16Eqg!z+blcvP zWHxM$WjIR=l94L%12jjv9LHq+-H&Sk-0NF&aXOQSr`DOT?`9bTDm6+)J?H)h(pW$H z$6i_((YnB#a|y!!u1aZT1z>gcH?RBZ1CHR^rLF2x-Tlg}+aqU%@Gv1EI*|3Tt~I7N z$T-=bEs0skD%!SJIGCr@1E%|^oxk%Y()U#Xoobra^jML}hG7wFDlw6e|LtopjD^LQ z7*4Ad8iCu{Zv%#a2Mr*mFl^YSuDqq0INh&j{_o zfL9KlsN`t>rhsGZY->nQba^4|sDjZLBAmxS+EQlebaKl4%Y)+5Uv?Bzn+ zs*_9Q#Qoi_l0~>_Z%1>#w|h;{r;wa5CdVLf+M<|4$b{}LN4q47a;XV#V|rSrb^Vc$ zg7zNUK+l^G884Cx*SIm$Y5?B;MA^G*GQk)1KgBOjn`d2K z$Uo;x{@e{q|Nz9Abbr z=3D9E&F0nv4GI(cYHyHxZTXO26$r~s(hM&zU3fEkpv)k|GMifm;Eb7B2YSA}zlr!< zaDSq0{Ck1WnwUd|7;MavTy*JGxp2Lyb1QVtB-_jl$(|?#4(x@ju`@C^` z@g{%94(Pm7#aR-Z>E+6#y`?J&XlgMPpRz*QHXdHsyHxd<30|R3b%j$mV|8l+2$5#at=qj=-+?;@>a48yHG=<| zmYS?bEhZ?%3{;JahS66y^=eMS`MlM|0T7eOX-Mrmi}jP-gWqMjlx_*kA@E&F(?2BF z)yNMn-nSR;Ki|$t6_JJ3_XO%A)i<3EqHI=xU7%4N4jAM`Y+QoC z`A5sWibG@z^v^z34h6Q8&g64OM|b|agt}_$NxkCgI4aAqr99w=#>H#@8i|gkAE%T! zT)M4X9&#HB<)SlSpg-QL8AwQ|)2bJmI;yo|`JcNlDkXOxpSqT*2kHPF&-zMMrNQUh z+e-COV{b1J&k&NoSUk!R(ea^%E{c8|P}NYEI1H6;%9~&O>5Z=3nlT z&SRnNL-zo6s@CmFMEAf~tiCX8#Pj4WpWzPQo%O^O*s&)2K;Ebg2ag;+r5#81eKUVE zSnbuP*M0S(kKoe{ui7w3t+Q93#jyq~y<6okAW1$iSA7R)`7{lm*>F$SLQXe~sFu&L z=CR1YMXY|1-{H$vp(QQgbTFu3oN=|$m}}z{_BlGWv1+ko155C}SXq^HXSdptwb}hE zVc6_Ge~ z^nJU?;H!xq$@rMIPzwKmDu};0wIs6I3Du{*Bp=~z`6^9yw}fTgqE?B3)nT*{nE#__ z&7vtv`@XLs1Q?4aX(vWR4nd9j$>!U?47w%crjArkXx5+T-s$zflTJhypq3YITwHU0 zRxzsbW%A6cy}3P2QDvR6NLrsHQZ^0%BqV=C6qxu)mYyHHXFHy6AoMgRFP03Nx{tZh zVilXvy|(sbtke;ogaA0NQ?yopw^XFgm=kvOXZg+IA118o51zSop&k+solE|jRyQXh zjRx+4N$B5kviFR2Wpw;`E47`Uxg^=9;|*JD``Su)6C&Nji4kryW%rrpk?q+~{KBRK zMRG3(ujfX3h=8ujvaT1Wo+P{^i$6UNKCI3&57m<{1$0AN=(BHn|D^9jkH>0st^~0c zF(ks?j(%6KGFm8bj&|Lx#uiP_Mg(tFh1`@aoo0*FOxBpO}zEf+^uuyNxYE#gl#feq}i z)ZF7yR_upESEr%{jBK0xB&%V0sp0cW-QUvBkk{2MN4)1}e)AlW9Q+K|Z9GnnFmXv- zdTxuonwH54>;#|;Y1265`m?5b;5>|w*Vii15SsJSXcMZr;%@8Qhl|w|_4_8KJX=BM zOU@rZJpN}Eazs6uIzcpl_>E*%p_Ph=cCtph;Y9My&e)T#nywmt0)o`S)~3=-DPL_Z zm#6Y-OJrGW%>MAGkX7~l{BI2r_{Ly={WLz;_EiAMr%!Zss@lq95$0PLnmIQSkbv&E zo9NlTr8fn}@{~dgo;r&{`iMUCI{Aan8S48IwDHk|#2YQ2!ZG4?cGDvXG$M z==R5GX#KTAX0nT-zEaW&y|NXxkRDiT9|DnyF_eY@t53HEnVmmyKh~=0Wzyj#73hmu z7U>^HBk-$(Y#9wbijEQsg+RTLlB0Q@b2tXH^xowWS^R=zytpEgbuCCy-`6Fx_`x)@^{Y&(adUTH}$kCl7UT zR|BnZ<@-Hu+;Z|i%{5!j`NXZsr5I#Tq3Wt{QJ#{e8RaMS_TA-NNqfd{%TWU}oeFSV zbx6I)&7Zlmmh$HRSyVAGg#-f6&Ar_|kfv9Vr!i?!t8;sBEA+t{96g}6d*)8B;+o=) zzTUl|!O(NU1dy;M5boVm6;V(%YI0fYQW-_UE8lXOfDM&!8R}!IY9Vct5!h!!ka$6< z#NFXVkOqTInrjiv!NyvRf2UYv6HLkIgy_EUxZ(fsxz$~a`YH|Cc;N@-m zQ?@#kiw%|ftgF(U1{~SlmT3&#ATI%9WQ`6$p`1NEJ7w6WNz5S&SnSOSy7CQEsNg?- zV;k719kPBB95cY@rNM%_6Z58*Ax~?JKP$UsI+gMB@7@G6l`*4KFMxCPcAWFL+&zCN z^95$GA(9Y4`Q4iug|c>!yR_5IOHG|y)#|(VYC|d*5S7M{f}fQ<8&K|*{ywTy;6Rik z!$1seKe%T)HuCo-FXK2%?X8QKe?bu`HP(;SGTxpiJf9Q;1~+4Fix+%1 zuW8K^z8TO1w0P~s?!u4U9GvVJ2Sa&AOIE)NT*nnx4A#2_Db1&sl**sER}V~LT?*U9 zATE`}wF^ywy5ftvSmtH8+k=oSpC=kS+E%>GdKzqF!`zQ+ERruw*t!px^^yEnPN#1v zDZJ_QL39dbGF}le9OB!wnT{`VO8-JOuI?&6+}B<1x9ED~{M9i*lBoV>Ug?iUtKbT_ z;KSRjqW|~<6Gt3XKCDuPj6@C2DKk?|iw+a3D#Rr2*E-43I6QxOCrkFan`Fz3zv2nf zKz+n8Wpt)nJaZ6xR!Y#7CfeonhAgelGQ|U{Jm`KNa$Yu^TJWa3WVN<<-L~FleTt{o zcNJU&JDpZ*df6*;Rb2wMCF8hQZ-fTS5|gy{c30Lbw;4GPQ}wiGHYx&k3B+toPS-Z` zjce$g={Sq8cE-p0u%W=?k^AM}-3XDN8N!aMI+u1_rt_aM;DWDZ|B z1McRhJjz*><>}&rYw?G!cbt@tphy(BX)|`boMlXNB-1;(~$aiLf84TdQ(0FZAGl zpct)I{z|$;nihKU!`C2y#BTl1I&h|$exalFf_>drz}o=*xmvQKCZO=I6o&3 zr2S@g-I2ppn8q`3d9p}nWp0<@Wkr5~pMB}Q`YYR}lsBfj^Y4b+v3COcBVsMw1ur6D zHj9dP(Aeh-$)vREvn3y*5rYKs;TK%GmcaP$#aB5vQhZh@eA0hmfy-l1@dH5b~X2K<;Xfn zLyUa6sL6rDhAb+;9W0P9xxM$uL#V*$O$GX+=NW+f!q z%Mp(|wD;`Mib5=lRxQnb<$w6@P5=|S9VcWNeH%jC@}(gm!tA#h-PSj_YoebFmx}t$ zomR+|4O&*%H?)C4?wI5C;(NGtfqAxV+`q7D8Dk?8(PH!Uq-!NZB$&{xQb(~bLr6u| zOo6H!1s%tSWY^>o$qa^v-TWCv(m^ z-eZa0Oe5GHDaD8TlD$+~{zU2PFVe*O3_I5DM#7~2pQu_>4HevFs_T?BaYjl=TSWAj z0&BzFkHht?Qez+d$iJA(yr;d%?MEeLWk^z0^$vEJ)~lUl*GuUI$#zSlU&`4?cDZjt z^KAD|mBdxjvvuvqRR+DOc_G#+l4=}nv_hsx=*!Ao78wila!Gqj-#q1FqZT?WV6Ekm z_ztGJWlZLQc&+p}Km zbgf2<#rzFV*BA7y9Vn!xe=>WKFQ(%g^TV8H^{PY{)98{A&R1M_BX{~Yaj>O+7Un*7 zKK+U}z~RQ}OPXsqfCu^?)Hb^O8)u*oIMgy5Q)a<4zEX3mn_W|PB@~!&H#ev-6~x4D z2OJLDvG2N2F2C_^Drw_up@^wsp=|urOcSl|Qv;6P#y-q98EdR)#(4j^i~q~3!tFzI zoq`q#^#il3R{@8Nr(roFLhk9IC#6a2P0IjK4VboLH1)?Wp9hEWSJX#v5n*cu$C5() zMdXYMhLHvJPrxvPf$S_ofifR}xsa2Nr;NI{hc3&d_CUZ!MVo!T9&p7ZX6Kj;|EaBg z09@Bhp9&gZ^1b$=x%{HktippIYQ$Jb-X-XplbPZ4{5C?GXTBAvyfx#G{ zL%rBunX;=8D!9ONwY~sSKWSW3b1O%+^+t2TorD#ODM;Bd$PlIFp-i>-Izo3w%U_b- zZ5A`wyzWVi4pRZLfM_M?T{34>Ur$BH#JsDp5KHVO0u|GtzBN*S1Crbfsmq`C|%;m+A!uXvWcP^+&1-Nai9#&abC653M28Zl726lT{-4?cqBH>)~9)5jr z;&@Gkc9C68o2T-M858td z$T2~!2tKjbVNH@7xgH!axVzx?Gf2nB=2|O5ZUXJKJ+`%Ml)6S3V^y%kw05hji~to& zvM{~esB3ToE28>|W)AvME16!^D5hLB=6|3z{_fj(@qv?Zi`RQ4Nz;wvN^Z`tf&0dL z@3dJu$#X_!rYwD9k?2t~VD`1Xo-%ieXoN9&Ew2b}iydBA*X(nrE)6PN1#L&k{CNMxjMUX|8^z~vhiPy&yRv9}QCb=ehw!KF;Bwy%>9zq%U93NUZahfid_ z_@;WN@_Wc8UXHKtUB5gfSU5&wX8X5!@otNn6jnjb-6*8T3;#RgrO5Ay6UuTGQ?lxt z6sxqGc|jw-9;UyW|MKoG_-E|>3w6_3)>H51Sb~d&=|()kv@+*J0{GUr!*v?aJrT zs^5uu?jA{qqZG*hK=+%P3d{{2HSS-$n+L6@o|MI2p2644r3>ulSJ`45U?ubkS79m# z3&)={=Kl_5&nI5LvGBpB_Ntqs^SDQ~8B78C=nI(~bO{H(Z^q78Kltw7@x2u%PFq-d zOT0nr-RNKwjKGeyq|d^sU&02qhZ-Mfw32}?v;UhCeHY>C!*$ZdF_mvO^ohYged1zF zXi#tzCPg<=W#Y^_g`Hu;pH~#{i8@dHz$&r|p)Aumusb^L-Yh__8p{YmCIuMPDf1Zs z9{ca-vV7~-glrjIeU2Zwu{B=-`LksS&GVdhXl{5Mx2u-A4cv~9w><;JVTbh~8{Ed- z8D@fuLy}}QNU~ko%hLyq#OB0i4r!qr)oF;(h?vznqi!q2rx;>Qwh-GLUvP8Ld7cvx zqkA3J!N2x{vEd;i`u4G5wY|t`us17Z$LkV^Y;Oi`LBpG3 z@PC4z^O}`mfobV^@f-()e^W`8*HT;OC{N%RT0#{U)N&Ag=!t9DlB}Ri^Hzu$ffFb7 zo<@v`@C*ooI*D6qYYg5`%uM<@*3t=FKUzws&&NAUA##siMfNWW?cU~_`{{OAmZ!RW z#(VQt>;R?w!LSLHb!baXb7C5W4O8IArkf}rL(h8x6LJs3gjp#b;MoV1OyLBJ|E4xw z<2FAhYTi4-Ab*4!&t!XI+6t9iIomWDTUrbvCY<&qZf#xbegsn_w*gTqYme816KypH z)WXpCxCZf*6Sk=a1tF0b;eGQzlXv=fW{y4?U^k_ zBe<(oATj5qSkt#nZE{f~=xn}WjdtxNb{3lZajibIZ?fBWRF{7+*O-S8^Jqv?XpR0w zOl%{28}IxrK>`b@sKPLnn{(;nLotc`xlc!=%V&CX%E!Vh;s(Ga;L8N)VUh&K|3O+= zjfU|8aN2m@gy+?|vTK3*lINB%CZ^cyp!+K+KPbBxJPfcNNBu;%#{DjQfo?HU31RU6 zPa0bBn_A3e=#k}PWoit90-XYG89gZsXo%e2#E3ZyR$_zUt<>2WOoj^YZ^IX$pf61EfI^A-FzO0 zeos;RVaf*lq-|rIFqQhn>#wF<3Cifx@&(=;piDDV5wrvz$I(^v3;9hYb3&+O5G%WUIrp5*($S+LWp&d2U(Wxi&H+mOAIG_f>UpiC*|zn7+2 zw-KG!ZM|iQ`kPG@kuWM0e8(({-&1K@39BK{K9Cq`z!~eT3`=wD*Tvfs6IC^qHQCnLyX^nz_d!kr{*nZrY%lc?PxozHBnBakE?+UzLu0)?}SglgT;#F<7Y z$NS`h!XltiFukfqkfxd~1B@C1}s8dKMCQ2#3X9n5%|@)+gg1}t=49P>}@5nd1$Nh9u+Bsyex zyQoS}Gf&LPo?>~-MgZVwm^kB!k$ip2mORBd76&zCX6~11-$`}STzAb{E^q>*GKCcl zM>_oKQvap^b=1NU`NVvI_;nj2)%lxewfTidEkC7|pkFnT$gIDo^s(2enGY8+xzHNF zebNjPheNiYH}iLED4Vh=9#>@`0N8#M*L0ivE2!CP)_Z`Y}yrto#LoZgS}HShQAQ214P zk?A@eAMe>Bm&FWBxza5F|GZ}q!YS6(R!2UW;MCt?Hyi7YskU2aa=P7jDljZ$^brat zFMQow0vj-R?k}JTh*?cubG0L7l*q8m!v*ce6fOrgEYLeXOnw@~=LUec9DJl=NPn6| zL(&p3W)WTR5g`EJA-Ywp(omQ`Yh5lZCli&4WW2YSODl-jYzS+u6A4l-yIt0HjTU~p zlV>?q!N+5fLlew=UbvQD-t*6ITFCNLFTsOS^Q4HE%$9$-$Vc6fME&&smmiCliq+Fr zWRlf+g#i;u3T=B-Y-ez$T14PrbualazudDJhl%l`*v8o2PrP$7-_noA=$Ua_8dUL_ zA3l5zR)0S)CJX3RuHg{olg^RdM9quZt-jUpaLcU1wcXUHaZ&Kw>4%-4kCcbE77FC~ zEj;YFY}bkG$`v-+bIDm*L+|R3VoZzKh|;$oWq*2=@a^#^4(|G^S&C-nrECCW&I@B% z$4Yl)nblS!EdDQ45hv}}^;6MxTo4$lo<>3_uOqlPB;&spFP4>tP#s7sYfgWGt+(M^ zFO5=?5O=NZ$i+mOkEp7coL)xI&dFc|sR66-bYNkU!EEWdBJ(*<(W}giN5_%K`*A^J zp906DU5cN(A@t20_$5wHnRp2UKG^J#ag6!9R#~8Pq#UgC0ClndayDWXWrL%w_fr#^!H+hA1 zTbt(~y$CXdYyfc3+!x=VVy!183?gMadcU76E(0LrCw)(8Cs_Ik8@jbQIFK9o4w6&E(k$kJ8#TrcOA%i;A-Q89( zmV2_~?~vK6ZCIn7QZG`pm|kSCEh1P2IM1$h$P zbjH%it=TxPvcG;hdh@okO0zwVYEq}p>CSxzti+G}de^Is`*CoHb(V{NWtU~>sP=M& zOu)%==flsU_vE=ojOa*mC@laewez3iOH-AvN3%c))`+dU5kP-ec^9VW8S>+Q>|I1( zof(>l>&ljc)+`wO4jqA!q+idBB&#bnDx>0zS#ljGeG$nU%jhZRos@Tx z7>>scLB1~s*F8B&dM=$^yyg(`ZphcIHB#l2Gt05C#dRb;TE@P;ZHwRS z`@2;i<}$N{*$o9!c+5C*cOzL51SXGwtd?xcas1ty^;ssxZM@rfR~k?cB)H9-F{}?Z zVM3dvC8y#=hP0x}Xl2aU47%lr;Y`NOnBlj zb2|4Ow6nW)HEEcc`bSyz4v-R#N`OD-ESE8?r}kSMYkY5!4)}UV|Ev0q*BlMU@AdWr zbS5LM*m!@Ejp_(Hs}zx`R>5pWVSu~IAe0YbWR)^EkPRY9AL|YbwtELQYiIjUG!}Z- z(sfX(QS5AuK+~HLY^j~(MX&JRdHBqnfiH*gGY^Qdp0}3VI`Ob9VPvRWbyW!@iQJ7h zIeg{veD;*o&}F1rQ2W9@pO)O}I_I+?1jK;}v7%&qen-%#aj(miTZI|SWTIxi%ZzI# z@04Pj>|c0!bHPT&RJeBCu5RMr)iN@T?#}f@8=c(1vYZmqrQYL-2^Ut&Y+lZPu2m94 zE_5(_@h|a&NkR;8j&UP{U1^9FenLshgijOAioE*Q`#OJom|4yNuqt2t49Bb+cCeDh z$qtSBTE6}L+7cbwN-mtR1#^RTzO9XR7n(t(7+1mtcc4@=c^^MRpV=l!7) zuOj7V%vMTt%fw$j4njChT76p&k%^MgS`;^M?Ih1@dpqf}r0J*+sDR z)z_+M^P&9mKAu|PG`ourj=hYe;{#*#;;?-c36opLpnTo02ey+-Jm3S&bmy>V;O6*2 zV-Ph5y86H9Skl6eI()_|Shk7k z;P*O5Evn(ayfHM+G1P)fkt4|099b@rs%MgJRv=f&4l9puRN#1LB`dOdnOBz+&VO#1 z_u2RuV(aNC>7+-Gdl2FW$(0+krF80d7nl!JEnXyTYh@3Xze|u?4WG}8_7iyZSZAC^ zm!QR~x*}9q&;nF%BD9Y&@J2hIPhMeNL#=-tde!tYro;4@5{F;JS_Xp zvrKZHkO*!ACG0B`BVNT>zZjTAJiRKYPVF!tr{`+SQ6N(OU1*+=`Yf=0{1q z9w4gC3uR{?Z|g*$_599{?0))!yxyPq6RPi)Z%d`+x7mCe7xm(MN>MCCAS9o}cEdjaa`J~nE%?w~5cE{vQP*&-7=W&YUeXD#2IE`Q5S`tnkGVw*> z{`G+~_%qyBv93dc~`3hbj4g`sWZ&o?jTN51sk$dKWPUU81yORq086?xd`i#aW zGzkXpJgSGz})dt-Z@B$OEo2LE~CAt*iclANR$n z`nTc%MoeAYH6m#MEk zeNdh3akNewN{7wYw#iYuH~)c4hO)XV2W1=+j@qlC;#x9V#Wz^>h(-Qib?SJ5(wN-M zFcvn1jUcu%^JMu|V~R(0gyMmfVP#+?X;N9cX3;P39@H`>x~(E>_En67z)tkCLF|Vq ziaa}v!%$*rBsu5_L91iw^H^zVX|UF!15-}VQ;V>Lm(sRem6W?cpQ=mr!K`M@ZQ+s> z)opP;COD>l()R#E;O?hoCUq!6bD>o5USWxu&4u9C87G&*awaNYCHQz`2#2CS-ktL@ z4nUqJ1mwIt>#Vg6@g=r6Dv&v_w*y>FGS_Etlf|DQl~_o`7aV1mTbl+A81@W zdeS4TDaqW;^x>;y3L#>Z*wFs+4GsrSGmb+2;bQxdrJ_b@UY#EmeLB*9oYM`ti{``Y zEg5ydXrLY>2cVIG>nMRNkexjb&*gO7@IJNx3s5`^QW{feiVaz~{9p4=03Pbk^!{f| z7rQeyTLSjI>0KDvh_@pX{r>||&0JG&Y#o2 z34iP-NMnJiyJ*3|%S%5#SJf!^t_q1GDl^J{%jZs9_aD8-nHwti-bAZCK4{H3@L^mN z3jx|>_S)MrKI|OjA7WUt#7>XhH?1KCiV@NAjDpY()(2ZpsL#H4~+( zk()oU`%a3IkZ6CtRE(TXsToNiNBvl1pq7-ih+AkE=bK+x9CrGbEg%xfBapOw(Z`s# zVI?QoDB(ly5}j9ff+ohWh+EU0^bcIII=789cTKyaXnX_lv%xn;(GST4;+)J*AKI&%A;|3*g%TgO|EZw___L3 z%JOwNHNI4yLb-FMER|!6CCZd@@JeE~=6Pc!Qu0tvGJ(BCYSnX;r2Nn%u=gK|qeyOm z_L`Ukhvs-j%{d0W6Swx|d3|!mX`*wFUoM%?bs|?FA-)jG^w}!drh%!6&c?JtKmEUl zyE;*d!K%QRFlJmOzxY*)3<_|f>be=@e*H8QLUH#|T=Nf@^5eh9`w;qui6?a<-1ACe zstTiOD7IN!3!D=l1b<%_)E_*P74vXj#G;n>J?OMFUs&Qb2KsaK`TDV3`UWeZX+)0n zqH>$3)Jbq~%jsrOs-auNg+boqn5b2n`1F8D2VXPHia016R5WOZSu;#dOT(6yaM5_L zeUNU(W#8z8TLkEhsM)-{@KXNY*j6xsuOI&kw3=gCpiyYy_Y|Bs%Cgg|0&GsTe8Qt# zINhEPvw|@!(>h8%AhHp8fW@`D&B~W8=(mZ(tsAt*iTlQ+*t95JHx=j~)%~qcH4(-b z)S?rF;2}RL{cVw@!8mWgoR$mY^umt$8>H0tAJ=Bh^^p-Y2kPCP98-UC;sh$Nz`_Z70xPUFasH&~eSliZAo7Bg@| z8l~R&@bORCqk$^37FSlm4fi(FgvShP!Uf(;mqS>ivA6_Hi&NB!mKT3j%EB?*Dmt#E z;(?9{$~`}24ro2gTvD3d7K<%JkkC8d>skopi@@J)R;Q_7bE;uH%MpBY(T5fthaOKD z;N;rpDfBDr_{>y&$lUEfJ<9^R-F>NNZ$ocy&rA`YQrGixB|FT}ANTN`c^1<^4Mq0F z<)mtafjnLiBE)m$V(8nA0IX6ICpEZ`qVUih|3u?1Fj}_>;*h|1-2ODke*I+>_UX`62;@kYVXyv zW|N4aTeF<1k#YdCgNmGG%TU1Gtxp}81bNYr+21A%AYr4q&ykzY;D&&w$n2~t)MBSr zrb4m~x-(QuQ63N?>ji&Y)m3us8iRhv0Svf-)##slAX;et6rw;kgy>OiS@=tA3JG|S z-%|d4aCm5O+mxtd7<;DifiUE_uVPhi7W*5>5>&C^xP~!n7bbo zA2RUm?{e-ug78QqAtx5tny&@A>Q4OecJ?aO8MPN3Eq8-D%%KJ3sRGG>HLU`QbxCX6S^#yfONX;VIst1gT z#wS=U0ycs^raP?AKeK((ln2-i&I$}2-|DaQEg}fSm)TYV^$sknh9izkqs}uZB6-vz zKCGEXCTgX~B!j+m-G&-N6*%Qu-hE>0hHlg{V^CgROWUJ1$$((+3a^QD*MF6cY&#TY{XhfBFg64}Tmskq0)phnn`xf@QM zn>MPhM@M1%SoQAJO9#-UF1p^6%~Tzbs76HYgtOb7Hqi}?t%HP+H`NqpR1=Te?Dn(s zD|d|%p$@kn3#!I{00U$O$-szriwG3F!uq7;{pU#+dgGMduQx`Nj|%iGMG-^q6Biov zQciDln5(y(0F0F%R?N1cEAZmH=H}W*4rX@<)g1Na&+X5|-s=KXpow#eRs@rZ6(Q#G zc)2{k6l}K$hw~F6m^Ou)rvY^b6rkRGXY7kq|JFa8v!n83^f}Dx9H9xN$XN~@I%z*U2`mUrxI2vZ~a}{U* zGs=%BK-nk02R{_(Z%M1v!x6yYiRunsor^_Mha<}F1sV+;Yv3pLFc=k#=kUM!vrPCL zjk8TMc|9pH$8z9pkxLEWq?kIFo^{LHU&Z4l|MD6yEkNn42Np{zSsKf|3nmp~)>9U; zn`Oes@rRW5Tdgr-yX!WSS`Do)7Y!Dm+>52Gyce`7y7u334ga}@$0Vvw^D3gQi5EqNAS&*WraDEXa z22WGDGSNW0XG|;6u8Na;Jnx}Ko-L`5mYg3bfv&>IrG0zMyaEgOq@M!bgEN(U=s-JAjjmMTRo?n*g-c;HkkLN-&ZjgwM zs)PVtpG^S;b)Y<%HwPFHuKuSbKG{A`mMxv6J^W{c5hzc|$4aE&=~1ej;_@x+x>PTo z;$1BA>-+n|bXk8G#X%U~(}(#wbuZ)CV0Qf%=oqx|bGO^iJKpFh-TX$YsT#Xxt!eW@ z$HbF0un`#i>|dkTqc^Jb<7p~@=ieB(K>?&6Ax2*JRgDk>aumkBIlzVrHfOzhbikXU$_kd7~-)jXQnY^2VaF7o|Mr*gFK+iTkj z4R~O3f6<{0xzod_tLNfc*k;tZhizj`)mvF^_dOehQNd;EL+~QxSaHP| zI-*4KrP8HtNyp~ywQ@QWe9hKFe8G7CsjmZA?hB+)Qh=cj8fQK*w+O5)-+Tll7BNh| z3%sLqQ7O+=nmxBpJ9V)ZCEgvxXA=L`HPK8Eyq`6UuaSOjl15&}-qjwUKd3hQl!l1b zBCiz5QQ%!TQij{3{z91+#t^XyLP~V4Hf(EUDyy=K+{q|2S~#)YmdN3f`3(HOnLTfb zTJ|3Noqm?hiWibMg;JrCGbH+yzjP&d{r9h-xNTpVlX5u_tprC_X<^nQugY%G&f3I? z!96$>4sM70Ff^|V_C5(RrEE2*#PB#|&#L`$Eu7iMPU3U~UITf|e^O5jMtK#0i z=zas)P2jX#()+4)+HLP+s<>MQ&zBc7<1LxV&OAZ4dFwOq5Ell-VwY~{uIyj05*Od6 zH=(tlw$&KYjyZn$yV&OkBLHcB;-uOrXMdd-ubK>B^gvJq-46ECC~B4Ybcu6^g6yE^ zvC~{8vm_6d%guV=1Gr!Pu}g==J4v2xOc?PBceD|uZlE1}e8iwYzGlB`x6YK=%Bq_+IW$1m~q>7dx53iH@VdKN*A%npKgI zC+**a%-Sj_$oSx{1T>BZ%cGzUat2|MUigT!=eKU*?OP6PK`Rx(X!fAl9HW5}w(lK# z-AQD`iLLpfHyvk7K;8n+4)SVXc-ySSwo;uDF3?5QEIXaIl(eRfJy(cEZ^p&z+@biM zgwRe1qEl5wdc@tQ0B)31K1`j6{_7YEL3!6Xg)Uz3-RauVhtz3x^?kEi6Qy!g9Se?1v zPs*0frrma_0#)d)D;y*Z@q%eBHxuVedmS2<`d%d_PDB^iXJym|&Wrr3I$H+%^^klA zj;QfWg!RCX5!(hfPMYudvv)`PXnEsDwof+awRvD55_fM{;^mOM@^C{WcGxr-;Z{`q z{Y!~GkN56Wc`?i4-JL$tbmRdm-ABs|{iY|9?Mi}tPXVa_c{U2aDr2ccIGO~O18F(N zwoMCRD!OB6{OM?gqsdCeH$NL{=2bS@>%p&whgowhA?9+n^O&MI znlmIRwa`WT9i%4Vn~MzvZ8P}y>&ug^j|?T+kvtp3T$zvH|}KYw*@DbiNraOaQli41zX31B!Lvl%JHPy z#mlMuH!5MuO^~}`@~#uW(X0H==JQ3Ysx~ezWa$)YVN{KP#IS|vd3{ZiTr2y=TdS2M zC|tcrc-6J*4`5mn0%w%6+P-@1s|kS%{U@&e;!556tdN>Wpi)&wvG;)nVB(Z{ebMY1 z?7!F3`Af&>$VETmg<+>+V*`*IStTkTI6~l@tbAJ`t*AHI@WJQGH;|qN2 z2L*%g@nPEm-dg0KF9D$;LNO1YFCzYuO`9UgpQH)}H_dXQ{)vF@wht+v< z{qXBwIIM@K<3q;YN1$x|xQ_baef=C4MQ*Qok(yT6=?>a`-j#qH^$kz(hMf1lCVV?M zIWckbs%!S~&81Ffr{kwC+XEJgrmc3_kBKb6GFivPpmF{?ipE1IdrE5Yv(Yp|W6bx4 z7Vm@ug?u+?_bLYc7ka3*&yv1TJ4arjC)O&Mg#$>*XY9?=7}JH&-;+-_?l7f!uy<}* z(zkLdx7KJp6OQH8ic#4~;TfBLbC;nMiTLxaYRk0C$zH7fse5cwHFXOdnzz!x6|8x^ zZp;nPdA3A{F+vGz9|XcXPp9s4Rw!e%UO1+S&Wuw!Kv#(Xsx{A*=^MQ-iVo>?I56^) zH8k`$XGLHdA8u3TU29sZj?#D=FFCrCa4OnMy(*)8cn$DjC^}vDj^RJR@I;t<1ltfG z`Zspk%Lt{Vik}`W6yjM@oQ0yxn!C2$-XAf(jjK{}x&KT2ADG56Pf1||%(|@-7r=Q38pQo>O%{wxq4#Bw{ z6U0YV@qbIpv$Z!dVuvHF?pjdxaRnm=1&`)!4c`&|Q-)@QNWGn}H;>fm)#W&WtN({M z*>Yvm|NY_tk9S=r0T%bNrA^85o-YmUaI&qBi|DxsMZy0W6L z0h=9*ejIDjV7BrP?f9igvJZHhvCKo921m-oq`#`4PTnSrc{{kiOyn9lG-@Gy2Y)=B z>Ak37o>X?VFj}`z^#5&d#S;m``h9rJO2kv>Gspt+h~MO3@GJ zEa7NC2=r^@0nOy|ng9&5`;)5Gzx!O}fyN=agpw9sFdfsUdgv5gW8UpSwTJ5F{< zy3}eN<;AtX71=LqGX8Y8S1pD5ks;Xvi6TLvi7#$(%0Ap@IDQG24Id>MLc*rOa@>i5 z3FfBWyK#ouIe+~d^WChwCHGl~P16M^UQeJp&tpqfDDbpx$3S*--8Yq^(wyaMa=>8r zM{=dN;!$ggRY0+N4B}R^)!py|6Z%EoCp|4-aJx=wUb3fp*4t-E1Fgt4bhJXate7`B zF0xnS_R32KcIKA%j(=wjEpDyj!{Vc&7$8ljCYgf!uoLla8r-LL}3yVlH0iN9Uy5T9F@Y z=`U}-q-#Mc2=UDlx1yaB_L)XTE!8I*FgY1^^o7XP#UyDEdDU}KTju0cedeE3{>3Mc z4gO^(pLGs&*dK?+s~^dvu>N4cd_U z9-WfcN^x#=$p7{x3p;?H6XeZmHnaM>cwR8@;Y9TPrZ;yYBA)BMwOUZX6x{0REu{il zjL_s?7qv312-0nL6nI3_D@~py&c2ht_PLvUp}Bzf(NGO3S9nzU?k0P-JZ#qKd`6WR zEi6vnNfj0_J+rCg%&vNDS|2@pZ;FM7%cpeKcM?~hD&5Q;^u)g+Amv{EzL7EEdNdJ4 zr{ipJaS{7gvX&NJ+^VVN2Ato2-w(Cl)*PIP4oI=%^nT8+vW>OO=`d=%6briBe!|Vk z%_$SW-aU>>>{^Nh9S_^Nc|Dk#Rp`73DPfU)*6`d`D2?PKhld| zO-n2Ng*w1y!}V%b))(`{C1q>!13>N`KX_z?6mG*)S3q3CamTbCupP^c5$L5`)pF3o zsT7Nu0K2BuM(i}MUn&=r9bzzo`v6P137~@;?020|NX!l(u}1{E z-B>EiLAcaft>0;myz(tdG9Szi4!#z5uL0vM^)+eIvP~?hTpsFocA#!+Q;)J}zW6h~ zX<(sf{_v3jwV86P;i!1V9<*Rfo{~-x*y7 z%&k86KKh9UJsclSieYF?Nn<8~!T=ji8pS#m_=O9Cl?#CoPRS=ef9JU3BG3!tx!TPo z%q+0Ynhk@``%dN z&VLOSVfr3Jm8~^l5?176(Z3n%n{2l8>&Kd9Y$3Gh#Y2^HVc)JZHUqN~)R6zIf0-L3 zK@PTtkA4{uIStKc!$zkJrA8o}rER%eXq1L%za16#4b<=}`S$W^v@?aedjd-Kbuk7z4a*ZTKdxLX4MOkud>V^k}Ut-kgFA@t?rb{kG$+~~&bdEs%MKTa~ z`~CDr6Q#i_*}`J0i>u3 z4}U2sk|2$cRn6gnN_F9I1Ak^n&NmTx+vT6B?Z{~`-zru1rAvL+9W1)UtbKS$?7+xIfvLv7NNsFH$G_gnQEr~msZ?z;v$``n9-(ltevPVt`hN4&9=bow z(EC6gKu}!+4RxPzWT6p-X~MJ5TUfbp*?Dk~zdmck*(yjuXghj zK>q_h{35PXU-8%I^3pwzr~1qMHty;8T^95pmHB5!Y8yIMJ4`p4Zna z=+>nq2ZH7EC%*{#XEo)KqHcX;i(s+iW>=-A=SNa`aD{hmMC)1+G7sJ9-vdX zG~0XQ;vIR(^Q;SrpAj{Wk(^{1Mv?AS>i;!dU-~>ECm9x>d~f?xQv-4Mo_%WJ>kJ8r z5Ff6+*nH|HiZvtOfdow;kh_RYuRgQQVskti;2w^jST4WZ4Ymf21o6IVLv+r5%Z`$M z{owbLvR=}3^U^(U*B9Ap-lv5kN=3K{;HiDmGc2mK-{ZG;Pd7!o9uuVD(9f89BxC@8 zm1F+phw)cTT-NYpWw}{RhhK=3E{zhLc-ZTrLMMv9DmSb`@;M<&T0Mo5HhliIi0_>; zCgKw=Ig7S3-;VgCVO9ixDucIOeq7wm`X8t)N7JQIrCcP^nvuo<{_&=59~YjseFy$D z)aAC2ZJ+NMd`kl=j37mSI5AhsZc^xd^9mnQE=&o(a(2vWx@S!QGqbnXnDkhhI`S+6 z%9Fx&Ud{FzHVi8-|1d#6oh>{(hR)=7+SBq&o|ZJUy!|T_O}~y$eK!S;89;28 zXqDKyRLc*{RBWM!tV>2FwKfxIR1r&8uZCcEH~Yx%d3o}<3nsI@vO7MLC0=eV@2|7g z-~|kY+uJ%qY`lXHpBuL4VD*405`Y!2`ktrnaBCE~KGnQ3#A0X$c#^BKGaE^*Piod) zZL-DelBi%sjpLN#Mh=@7zN6F0w$npBTdzWA@$@pex{w<`S!>uoi?_RNh9O7#`aah_ zYU{R#Z_QRoLs_bLn!J{;#nB?TtTNMk_m?z2T>u=!nbY*=6jB}2&nCkB{nzSKqHZ7G z9`L0i=Ug|8JZ;$-re^|dp*P_p_Y;3YD~=jf6kZ&TEO$(<_P5WOu-1xQ6y2VHpf3%| z4Qo{UwEI~NeIP`RjIGQDr^RtK#o2VY(7s#5G2XjaY9rpI_-S`|*C^-u)Q-=lRpk;; z!~kjQk)UK?H}qRKeuD)doB8J6bH%sK@KtzPNKe?^(vm!^9&}QFH0%*pKnH)hgwjkJ2avnKl*qvUb$WikQ~+xhHHK zLNw(UnDS_dgO+LGV`s#s9epMThe;^UtL=f(k_48zQP^k!;%>`)r_emr5~t5mnM)ex zLGv7LQ>wJ?h!Q4KzqCU;_B}j_Y+mllFMp=v`2iRJ?o`iOsS<6xyZZjCkCc;}&Bb)4 zz-3d=O5=%R6Ll>VsjI~RF*RF5S8QGBkFYIs*AAgT)mtpw^rpM_Sl_^p=#U$ukhQ9U z-V5={wU)k-8?t5-ng)NO)r!k?#76}pE;?R~NKP!h+@>4C2+cG(OYilxX#$5?JMUr3 zipxEEg8gfC>y5lZV3?%i8yUujq{m*@IK9n^6Xnb}S@68j68sd&dh3H})P^DhP3^{BV zEv=H1DK*IQ1E&~9ei*HZu>3fE(mZ~+zbyy<@p=7tI-@!NQ3&kMBF$un!^dFtBoT10 zoTneH%Fe|DY@@vU4{{irbwo?ngqTD~ z$z;J%(s=ySm#^i_)={I!Rnn3cBv;e9R0`GmkJ2}=6I|m`(AM;8e~?scoT~Zj=iIHr zyy6m}^$e8bnk<~tACqo>5jzqT3ZHn6L_F5B2xa^>)ntQ@lcmmBYHZ!NAQf?{W{7*v z;w^=M?Y~lb6&J}lX_ke+F6jJZY4N(Xc;x?abRGUw|Nr+IxsjDoZmvv=ZaBgr=& zHZt)ie-@iS9~uA97AZl}Gyew?mn`Whi%th#(7l)Xvj&N`=z`KakgA1|*6^2)_AvYr z8y%hXhoJ*I$f<7b4H-xuxpIPy>sP7DRWuzQM;DV>eQNWxCxr7>UlRO*n-78$75FiI z&!S+<&5aNuS8CA=dX^2^Om*nu>UlfLnLg-5{=Pw|&uh0Rs{+Ju+8k^M$na@7daDyb z|4kNt$KlVxdv6Cvr*qi;;Y9-y(>g1qit7=}yM%Y~5s1yd-m_7|SEw9bAFAlm3rN(; zH}ShpwMioJ-D<2+^TrRXXMKG+dZigqj#a&6{?4kG^)nqZLWG|TZ9$u)@!_KXJ{^I_ z^qHacY(hmQVldvs{m1>wJxY}){=w?_+1jtA93bbHF6h7#j)D%LWSLkssk^TC*iM(_$%-S zrfkBZZ3{o3nRAoCozaXCCV@#c6L&%Lev38%`}|@`-{bt+Hs$BjbsB;>p`6cU3X*?C zVdhPZ?h1!h&XcAkkaQh`X#HMAy|Icz3cM!hO=YCMZAuVvEHHu$uQahvsyZ^~?b{u3 za4rIl8lt1u{fk`(**dDiGQhC?z3KO0-K7&7Kg+fbT|Z8ojxM^DvMm%h$|^2b{%j<_boM04olUf%s-l^)3`YW-m|{n!H&wNX=kP=ew62>lzrXK&yj zMb`gsc27!hbQ42&G+*;VIAw%V;Zh<}n0YpLJ9+h1wA*QVX*+{HR(JZz<-9jIP|htw zYyzKYRb7#l+lHZH!J>d7Yxq!kaIH#pJ^Pz2Y?niJtG10ZM7IbQCCcsYkM)@ z@vj+Si>{zP2%u&@vL9F67j5D&pc#z0FSo06FLaDkoPo{k$%B!$<*SlQK2m^Cyu;%J zEI{GnTSN?at<~i^5P=(q2y3n4A)GJl9Bu0w7%pYd>sLs?&Yi8^q+ESP*3W5&cnV zc#_X&799XS&M^GBLQG``67q#<@GOz+cD zs+3mCRpC?~^^8ttR=FeUfXl{8ds;-i2W{7|U)avCNXu)oi!7(Ik>}r&XLOLA-u768 zj+<@qPNBiM<%^+xX;}jyp3>5-2IptN|0835Xq^ZW&eg!rH@I5U3ilbQfjfXW z?I-46sR^NHC;cpQsreyhQh+AS*R9+q+g^Rer_WG8Q~_?(SRZ$=U>9S?+nJT=TE2jp7C7qxD|?(y?8#8Wx;hgxCR>D zZpa9ti}n*ZK>l!d4oG+l(BprLKC=#;Poj)=vKAPPOkQtO8S{NK+gTgs{ax$#rK zC+~s?$UK)x5*-w0it<%yzf6kq^AP#M_r!{}HBc0ljpf&A7mo@uW;+DWZi6Kxmw zVqY>R6uvKPqiw=o9%*iZTdb;$KWyI;(hF87QTGi1NkchQE?bF^jM7*)6c35?Ov6#B z(JZc`O}dO*$y#Mjm4Xave{0+rardOtZSiTk=$-TVY3z%N!0PUU|KUZuQ&+n**+3Jq z?2FS#<}d&~0}%Mnn-|okyV64(4+zy*%|ldlk3*qiidg|!2-GwoGmXp0YeIJk+l4iX z_jRo^{|mX17RJ)Yg@geMk*~8d{eVRw|srzf>oTyqWYq2^chY!9ge} zG+@&mLoIKi5wvj$pZxNmP_%OVFJ*ou^Jz5}s3}MtQ>J{Yo){xCSNM7$xswB*p4mEP z^0f)m1o7OxV8!~kn$XR``O6|Kt(-(YUw!2+4;klv z7!Xn29ch+X@kCCn-Wf<+Jt1vEL*bNeL8pY72v`%#nJqPwTc?n zWaCJ?wHUBTxr8muzf;C**PuM>s4e>7D*;KS$?^C7m&_zXEpeyI12%zxoJ~i zCda-CbP%^@eIRA?2-2Dgy7j1A%zr(fJqt+Q_zx8P%K4n8V?CB_q5I(hNr{18sa;LN zR>Aim$N;sNQm#0mJ0GIP=0w@iK6{KS#?PM5qbd%UWF5k$>irqCtA0#qKFU@BnhmHP zG9cQZ$p)SZ-Zr;QfDV|Z!qT0a!$AqD#Z^t+&TpGGvAwo^6{98UC0+{bk5He(RGE*H zuj!`9va=H*lZF;Sp;vxt5f#}ByG*q@83@)IPF1cr$09?Er)9h$4A0}!E`bc|L3}%h zi^E<#JAE}>#<2b>03Ei8yXy?f;6l%5({UyyTYa0q7d{#upjy6`c@X`jy7cLfr`(e( z!n~RrM_iZ%>K;KH?M|ScBPVjgFAlOSs9lc)vNPfHwuJS*B`~Oa6}WNRl$94B4tK>jZS{wqjl`R1 z0KYP4cW3m^d7ZB)-6|5J$nVhc%VAEz>4qw#msk$*N|vr5Vuy&l92EPMybU|8X|`$N zP2nAVJYYzmBv%AoOlw&ebGPQbzXeE6=8gg+Jss2BRZKPl!In0)0n1!Va_P6%Hpx7v z4TNorPepo??(S|9uLOk+wxY1;`EoPO$(-MT2(G zH{fH@c%laQ%al#M4X2Imbzz&UQgqV1T^>wjVLr{Y1|q88SC*?yv#pqH0OUP?qSu^b zN)Z+0KB;i=Yd($Vh*_Ye`I#M_-Kbnr{qnE0%_&iw)NZgfy)mw)o} zO-(~&WR;1pCZ>vn{xu(b)+(H!s0XKRR2OWdST(#Vc~tpgT5V^`pfzA;;C{-dSE9}+ z(&44Tq>4AbIua6cV*S~G^O0Ir=i#k=*De!QIVtj^T)UEsZc?kqa{1jnStWwMxkWca zHW8G#dQd21oH;Q=khT`AS7J~Qi!OoHDyx*@UvPP>(|z8txY8>Ejt7R$>H*L|!RjP6 z)1{&z#P`sR(albUIemv<-H^Pw2GFQKd&zXLb`w*d$B$06)rAnGpef|^y927qCeY62 z){#=CyFB)eKd~|ZHzDl)Ru{sJq)Z})qrl)OPs~txm1`;!!zK0 zwLLdKRrE~Bl~qF!84BMs@03*$=h$79EeQg2kSf35LTcmyoM?TkSb{E96I*L30wRX&eRe-mr1DN$Gfn~^ykRebW6c3q&V`Ui(&6Mlv9svz?Zja?m`tx=Fa zhF_iL!{4>PJFrr!q~XXI4uSbd7aQ@jsw6GFv1xKm@HS;!Z8fe4K!x66&FQVs%ja_u zbDL=`nG@kFQ^(_h<$210vc9=^dw0G*yM(4W0gk(^7`AdP>DLg%^mt)#w5;$*#V0lbH%#piLnk1*XGA|P_aTu8G#gw8q5!@ih>-L*@qky{EOeui99+@ZK`^m z=0Oew*GC9ijjLpSD*`y1v$WlXP>l6qSPyw8PZ+2(UR&cN`^h6koT6Q;)O5{sz! zK!>6g^ZyP{htWQP`mXUbN1f>Ollm8$U7z1Sy#o}FAH4uoYInyUFXs!YC(*B`>2aUU zmhgL=hp4}J5Yn*(zMpTM$oq=YzGGH30k^356^A&#tS64)jmoqCvD)-S3l#b|E^?D1 zKf@0RcVEaoEQzjoLP*)wM-erOiYvelyH}il zu^7|1lYX>TKX5&SjxLZMCkteC#Ao1}qXdkFQ7(4W^^id^$AaSetw}K7#rp7iTVz#U zS19*$7{m3{wFy=rD)y3>W-Bv#7RWTmWo79|G|^lzpwHSNHUt?8S#+vA@10GG%}ClfH@W%%twPA=hRkb$xckpyP8?&eCfy%;WJ6&YnAwuNMtn z4$y$zMX_+PG#}gS7!SM~vnPtyf#KJm?@Sd9ma2DI#r6LD<9l3pgOpm)qZm)iUL~1A zF6Nq$@8X}rPOPZfE}8x zh*%-N-XEnHxz1d)qm9j?-kb+&8Bbog+c(-_CBF0a&~ZD zo}7(BB5n{Sn8-p3Xm7=_yt(*4RlA{uY=(L|5C6I7KIxi0-dk?QcjwJv&(n>sph6EI z|DZFf&BcUu4|Y9s1Ech=C;iuyUIFi6@FGp9jqA+63)HIXp=L_zn*5!6fR#_BPPxdC z%HS6p_PASvDql$~%Avrh>p`}{o+DDujA+6)p0EKH3H2!x4W7z{pk&9YRUp7eHP6NG z!lsk~oQyYU%^Yk6@*Yp-M7CWnDS;&|+(XwFMPBhI_Nbf4&YnBBxzu4;YcQ-TZiTPB z`i49;7pAbewVM@4FWA5t0c&#Dtvt2=G5(#4J6*TMli1HeFt<>aPDG#3*$nM|HUt_p zqdJW@rvnh-zT!L>P@YFc&N?EH!byltTMGA;y8USRYjU^!m7pQ_(Vr3JMAY}{7JsLc zU1{auadUE>Yq3Mx!cxML9* zi6N_Q5DHpvE(6>PHMV|5SJ$9ID#q_U8|ZkxN7ZMSIISp8%--uX7-SKH`!%Np5#7X# z-X1bKrS!QHXleTBkvDR$@Cp|65kklTqL}&mfg4(5>l~r6sAyuDzBE&su3pfQ8J@%o zgf>#`L)UAdg}iZnedV%pA027l6zZXjWBhH3-sY*llD(j-Qo`I@xhrja_q#_r9tTtv z)o?d^8@x&0hF%`+SQ#O(v!d^LKF$<(eE7w&3@KqiyL98(T=gK(h-HE>F}9>%X|kPA zONta+`5KU)39PjfUi>C&FI95!uPI`u0GW7xM3DSNti5 zGAA#)+ZQWVbgF|OnMIiN>ArbWC}V%q?9>TmJ*21YLz%pI-b0K5JP?xK)ntgMMC$jah%3kT2uAi2QMqwL*zGqrYK zJkkE@qKk`Fz*2!O0$gND!6z8u^Y!8GA9OwC#Z?52A zBwZ5lpUze2(Xf?xx~w_OTC2IQb1w<@rJcr_m%7m8;D=b!7w+tvB;S>K5X9RLJ^2@6 zn=Sd9QIZW9yJM+uK`Ff~{i>WN)wZEE@UsOJhDs;&y$R3fIS~1TOt2$Z;9d* zD-F-yo{ei44-?>m0B`BFej1QW**dz~XKOAlyOj;5gB|PTKopzK^aYO%Y7Wt&t9IdV zh}X^xW`>SKQI62O-bmgv6j%OS3B*=TQMvun!ZlZf1j=A(67f)wz-&z0Hx6uGhNCFPAX`uAbSc#386rJim5!X_)4BOfyQFCe6PHL* zng5>Ic6fOKXb@W&V)+nj030J@e6_@E0-s$BN+WJ<#JRN<(!~DAe1dc{j9=ppuAVYxGTKxKA1uy!w?NQU8e3|g_L}`QkQE9 z&(fEauDBM;Og+InWGz=N$K}xW^P(Nw$9V-atTyf&7+6zy!0@93laY6^IIhM%_nkba zcO!dMlM7nhF&-nSw{a+-u_0}=gQdd^tYGTzKR;*$qq39TPQVrv3-I(o|J%Zwj zwg6G5j$dlPpX#qJ$CB;OmkP4C$$xxy4X5?#E^kxh%Swayy{N*Z=h})JAXQdI_{J;T ztU2Ke@ z8vzjWAvfOi#hHNp8{wZyq$Z1U_;pomaoyvS2LBFrE#vL46JFgD#~+IS2@~vCKJ26j zRhHNu)*1R7ZrWlGFNs%5B;W@(OksK0OG_mfSXWTt%b}m;2Ykwn-U?Nv?V@{WBhtm# z7_a>&1*#wozXLD##qSOS-e?g(+ygkH3QSO8v{6=@Y5j#~bZQb~M%n214je8#f9ADV zFPfP?a1cpUXJou8D-#T+Ca>S-oFukfdTb1}d`C@4TBYv<&o>LN$h zO2!4OlE8bhvarh1PJIX3s&`?30=?Z`dKth%7h(buNEVSkFK4ob0GKE`B(ix7mh4d# z6J&NPd7uN)`7M?+QvGPv_X!i!t{qAToATGgs%#d6G$^b#_Gk8rfP?#0L^K_rD9kX z==(7D#YbYv9oCQ`GW`Ot|6!;I%40?P!t-@XUdl$Wco;ilZy%hl-sk?l?N?%AD!Tsf ziZ|QZ?JTc<+}2;gKBY$`98+4g6>PH@vI4XzJ{E8b7ayvLJLlT||EN;dYH1Pod%|Y+ ztO+bSLHMMy=1q&Dr!?KMh=^lp8N)X0OQa)#=-9xPRl-Ey5W%Qp@Nbd z>gy|gB3Yt^Dh*Tpr{FX(Hmr0NRr=EhV=u6MW;$z5UlJrGy(pAmKXN!&1!z2Hf7)Sf z>4d=Zr5AZ`vBHT9c^mlcsojow-t1Ay^a+NbU$c?{M*^c5?9w2p+Hkz?V;$;^!_8Zc zLQ|2mjV2TIbeRa%+py%IuCB(q@v2+ta$=wZ)u?OUrV^ot36L94j~X-f0oC&yboOSjT42BWNn9zD}Ul{hK{c5^FfITC4bBLaqd5%#smrj;IGt56*dJyal@pVhk zAC(XTd-n<`QEcAS9179D0jp;i`j_6vUwf;*F6d!;QznO ze>(gp^n^0nR5*1^-y(8>!Y#?w+I^~ynx_6 zbeeah5qDI{wN>Mxo?8qhYOFNUz=!%^(cTCJ4+ROI%T1nrx4KdV1NA4n4cYD}8tlp~ z&c9ohKjpmxw8dc(0(N+}>Eb?5m&54c{hXL0!#_th`_Wt_ZX9cl>9JRj4(U;*Gj)Q_ zq{c_)*w()bH}G#~b!=AR$BC-b{OFD=kV&#dUg2~0zl5%D=-tR`4T^yuJLrCCTNx-w zhKVf|0apGIS%c08g(b=Dm;O}Oe;FYcJvh$$by0yoTRoSkwIQ>-1Q(Y^FIvSVxW@g_ zK~%QZdK4^T?6qhx9-9`8HXwe-N=o{Xy~&Sx-i!vxL!+Rh8dM}DVS%Dl+^PU>@Ts7UxgK`}lf!)$-;eenZkXIunXo2V4 zvNWqIL&9Z?heKwPZ}*Ch^CpHC4xW)WRQ~bWs*CLfl!ovnb$rqNdzB?`J2Q3XkD<8V zTYnO7C$N_mYQUggVrG z1+ak%XYvZZt+JlHGiCksL3Y^vepQbJO8RP%$<&g4Q7F6*5HBpwG#YvS#F_Ab7(T5e zXGUA@GIFL_1rH6P)Wo_X<67|(Y>=83(+)M!dw`x(tjU! zFi?44HVkXKwGJ2Lj8{H^SK5aP>Imz(?wFB%E7OB^4LuHRZYg7RlpuJx7ae}bYzEc! zRRJ)*0Cxz3L46nHs*|6kI9bcWTps%4g9ioj%bh1#EfO88JsjxtPfyf+o{bmdc@wxn z-;@RFyf!ln+8$KB<8`>K%csq6RDTiV5w})9s&Yed?v@o@nk9=c?>28D2 z7c`Oy2l{;|MKZnn_JfIfG)iRXc@u;T-#PT&&k2liB}6ORh?_VD+|lfdgK_t&e(&W< zu4S-dU@5Bec}@N= z;`h)NPoj_ece6RaHUAOntN{?Z>|Rl7%XH3ZT<4#wLxx_v*SV?W1c(4t6DThUkpiv? z(Jym`S#TPzBgs{gJvjy{j`S}7fkK-yOGMO`#2p}(a!XLTL{r$85Kw`os zZ1m)~14kg_tUCo7Q2+4Okwok`!Ck;46Y;r8pYN=( z|2Mny;4e)aZ>|!v&D@(;Kc6m}jQ2xBh~x)FCdcX{)lM&(mn`FT;b+}qp;t+D$BIe< zuJ(g0tKHZT*_65J8c(Nn)*P}z5%WY#b!%!Xl%pS$S1sG+ecQ53SfQ%rXJgF0&4gAD z_hh>_y%0-b^rUKPK%`}BMg!@?IP8D97$-kghTwzB!0%3xB8Z-4>EQF;gF@%EJ@-BS zu6WPJFet4iSw6xYb~*Pd{m(xGVh%oQ9#l?285P!<^wUm-tLfQ7;#SZZRI?3zXV^_o zr!N9XK|eJfGJbM8EoKK@XsWp@VeC{pNVWOj38DmUZS5(b2qIV9Kgl^$2cpht8shWk zCmoCIy^t4s4A5YUSy|-VX1l-p z7*u%Wl#ECq&jZWBho}YTSYTS=W)fFC`_NrrOi*%=N;45+2B=&2Y(I9|uiaVD-Z>`) zrcWKA!taCMh#QX<#BSo)Mvok{Ol+F)YhR=ES(O+(=>u71lHJ{OM_KxDff3`DPH%JT z>g8{Kon_}rMo>j#pYo1lI8`^LHrsE4sf18KqCp%o_Z5@_uyYljg z3!xIU@U7-ZFejy(hg^S=KBleV1B)nD3Q`nAo}&5Xmir>C<@^SLSn$&NO#h;=1^Is!*j%d{|kZB(lj8w{gBYRcP>xsRtof;s~6n z?hD`QIN$dNz10JRY6&UNd!dC9z<0$06DzO{0wVN%$KSrMhf4=G=}+7^RH z{qc6R;a^$qCvNJ$%2|H~y|9^Q6Rn&n3Zy(OoN|~I<(^9K+WiM|@aqx1m$r-ILc$gL z8!XGm=$~GH)Ro@r2fY^1D_3Z6W^D9!u`T#eNUtrudE|Z4bV=4$Ns(a9E8g%4J%v)W zpY?ZMqKqP@&3cs?m`%Za;##1a9!wp5B-2+_dzJ4$0a%aQ7JjWf=j&$vg*}Aab2`I*Uy!Sjp|aYbi|Do&u4WLUvP50 zM|tL9zo*>!eo-N1F*ag@Knm(9`pTiY(-r>y zJ*)aU$SEOsg0bFfIA zw;(?QNaTBII?T8(jSKUA_)aF0PiIw@q2qGngp!iwTB!kj`Zpz<>3uL?RktK6?~@{M ztN(e$Otbg;@x)B;IY0H<$F9XM;n2gcrezWM<^99o%G?x8^#!LZw@QFMd4@0U%g}Xv zD;;V6h4{^0!6(vmoX<5x{wYW84|swFV~Gt4CU#+O z%(w-E3gwI&TI1Ah04kN5E}x!eVjou{Lkd1*>w(lu$|H@OKGxoSrXIZrrm;=`HLLD} zL%~I6AT{Q`ZdL{k7d$?Z;^ptFc_+c zaC5no^e7)LgaJ;m77Mds9!iQ3W{5c!y=RqvU(kd^s|*difP4};>Q(~#o8& zoF>Ex`{(aN8{6g8p9H!zU*&XLEq^<$F4J4%Q!WHD7ZKXDJl^+pX+6ry;UIY)B7w3x z#!_LjH4*Ml&HHY?d1>K&g9LO?9ri;nPedXSJQa^<2+!6WA>%QROU9qb?I<+jE?h{i zSEeC?SZ7J?K)hQ65C?!ETi9^ea#E}}DGNJVTe%2Z-99%q9{JgbP*IJ6=Yr{9O~MDH zA9p3ryJ(64w`_BtgPz^>6JS|A;FqRZFDR+ge#FGV1|Oma{8_%ohe)1IDax$#Qnu}- z)lwT+fc(K0PpnJ_O`*4vZ_e@is=d_QmhuT{L{*GNuf+i*4AN;gtXS< zmJzjuE9Q6Fh(@=rYHOH0XVy*MUX@`-0 z8X?~AIGMd)RvjbE9_#LEy9Ia_J)!2T4=Ib_n|ZM=hi(rFE9#7X)dj9tDR>N8UPz+H zX3)FO;?&#B6JHwx4F0E+>+d*Bq?IkxA}vSuBZr-PW3{ED zVBgRh8rPp%!95@n;T$6Q5II=K`)CN*9hFQHrd#X0ST1{!2uQxY`r9?pNopZF{o)9Z zwXhJLBV)*F!f7NKaNbM|5r+Wumr^=d+mJXZRCn_tN{&GH8M8paN*IKwr#JDx@YEDFq>%n|RdY}2l-(j*aSQ2#XVnG>6fHZdErn4uQ zq#Yl^*2z_`B@Gp|Ba47N^r_jID~3`YF)ncj#w{4PP;c5D^LQCKt(d59{#-;bHHNWN z2cads2u&D#a_xDQa4>YNfEJ0tC^>CnqrLwFDYN&w;2Z_r@1%(1!n&?n+_;3stYZ?4 zJ${dHw4pEj(%jR7^ktIIlEJjNa>cKjI976af`w(*g0h4+@v= z1fL`QpWcF*8Mpu}UMcVzn6b@b8B9boi{1|VjCn}5C2F%}gdn&*hBJ5&pyPCF_|kdC zpIGEkC0`IB5FGii|GG;paSG${Prma$Aae)UOpR(r2n<_{vMIeKSI`0dYwGjoP!c6z zxZ>w7b!SQFm8$!4^-yU`N-s^;S+hZv;m%^^@A`d*wT~{tF&2YDv%<7=I~t29KeCsZ~g=e7If(egyqG2 zYi<#uJN6(@)Tw7ja<(?=xpl#?l!V6>N1UfG_KF-X_MvQr#ai3^FZ)Wn_S1Y`%Wry) zPzmqbBT({Q-XH&}^0Eun9888BvM?A|nuPcDs zLq80=%xR)>@?@sYkB{DKu>6FoW!(sPsoh*J>LM5u$l?wwxr#Pg1k6gy15Pp^AYCYB zsZyvt+*Gh?FoMtbI8uR60XWMp9zQ@$n+$+-uSc9E1m7rsHdfZzuVlF*VA%b40}~9YRKf(^8kFnf^DT})@Kj;k zo8ok~RwGzw!uMj5fT?R+40DYYNgs@wxpI|cz0{1-9F$hErQiZG>L=@7z9D}zx-xXD zW8zbs`7_yjVG>Fv2vxU?`sI$a#UJ&hiG7o58bWPGFyuCGlI1CzK!LZ!e&UHl&xkGb z7-t%EFEe6wYMmb;vMVm+Es=dCivk2Zm8E$6-d&NKWj(95_%MGgF}QfvG^6q?CgLix z0li-r%|AHaJ$&7OEL7%j{L)38)JVMFX8x{hbn1hdV=U;nFK9-%(1L;|G3Zg9vAMX)*RDyfiI%;NR~JthTWD)8$B!F(|{bU4&%JWlnaSp-;9+T z6qA0}#G72W(eijYG?I2!iKQ=$R&$8xkowU4hnRT>o`|UMM!jAI*36UU{NQ{hBgBuLswvwfxK2QJ3qF74 zpO9)fG$AggoE^ruHWu8(a4)Vib`bP?E(Pu5a#rG{Vz5b|{HRIUD9SbaUz_RPK?oSe z_y&1czY(FH@U2dNYvhmw2~_txK53Yon`u`65VVyp&HpH;8iP{*L2!wjJ(lxR$#fqc zBv~5n4|MBe#8-47cEN%{Csk(juJ3+;gZdQ8wxaNoX2WT5y@Pria@GNo)Atlf*r!N5 zwqiv@f#6n9_v+z)3wz_WZ`KJdhdU?bOS_gDUmzL~qKV7{rp>ueKmEldgzods<(kKe z{IA=ip3%P9avT1|_wL_Slh9f!|8(Y^C~sm#ove+xth$@+CXt6auGN0C^da1(5%w*J zaPsHQwcwt28XayypUXsei5bSE|B*89kU}?#!!E=M$P67lxWrdGS#d94MGN10D3GZt z$B_0VQ1+q-y?YPEQD;r`88Qk79ioj(-S&0|^U!+)`s9b*8$V<5%UTPX;0hkUxpk{! z{kORnx$-Fbf9~CEPu@+^XSu+VH}D-(tQYK&AI8P&y^h4*;_3eKtZK7`g<@(0+WP(l z!9%(>hisSk7{`cf5I&=3Z0YHMBa&x`C1GfY!slzvqDys0={X@)gHF9YA0Lj=>Rr%l zn8rPd*$&+X-l1t~|5j2eL1rZ3D`--FN#7z&`os5y9*47j2v2BMBC5^JQwm9yNke-wVoEFADyM z_HnTymopNlpASy_ec>|(Y>;A-L!q97Je$lxmT;l;-aR7sm-14o8rJurc^=qpKeu;y zh)=BX!ANaWM*!e9ka4KEit3DLl_v-1#@#w3d$Wgr>jWbMi9jty8e%wtX7|D z@v$^zVDZp(n7=iL)GKitF|^y??IiVnOwTt~QR2>r{x$RaI(HD} zy_-u-09Xyqe^z~ZMTNjYv$_BdyJIp-e%Mv~(iKz5lyfJl( zT$g2|{{v+jVjx`W&2I^c8baUdb$APm)r;#pU>-xfBEaW2@8KwtRdfo}fD(RN)g?7a z(+~2}n9>!(sg>cl26TGwpwF$X zSFdpyrU0aq@9a};;b#S{2U*^#iHl{`wc{+L4pJQoZg)u-aEwfL>e^vKLFm7}irYgi zTbA_9E}X+9UowZ^m+4FKb6@1 zbcxKHV3h14M3aK$myKW5S?2;nI22%^dE6va%*U$U7WifHscCk-(xyOJ#DQX%%D`6} znU)f$3;##7bsqEx_Dz;S_hNNEiPP6Ljgk9>u&pWvgI+FA_G`2lLq)56;`W*r-aWaQ z>{P{EM?&7I)7M;P{hUM7w^2Qo1&A-FfAT)#K>Bj4rWYF9Vg-}O2vrco&ac$Eh(k%C zvItzc6(-FZpvKDrqoWFa?RJw$cEXE6qoTtqFaCun>Jp#4Q(;CHm9aUJlCE}g7TbW^ z1qsk&69EarCgmHssbb{tlZDg#Ltp@Zy4h{DBly_LE|wclJm0mY$uEW?^R{fg{(jaH z(QYf+4uJO_ilrxTgj~WJh}1vCch@sHaOBDjTkparxuH`MhX7Zpw@_qm&0QZ?WoWl7 z;>R4T6trB#*Wbjnp2lD+1hr_F=zNX*Z}vcSL1$fbNPX4Y?C<>K$PR58D ze{atk!W=u|t<<4Q_fW@7*5nS;ivfekWS?FW_#zmh8gj?Ml}D==sA;Atl(?X7@0>+l zjJFt2{!`WzA2e>wIxNI)uab`WzLU3{PYGL+?KA~?*ly%BH;KT=bnxvu-9gJf`Z%oI z@ZS7;rUl!3=T}y#u!nOCK&4l^yD^*x2JC}{Pv@^-{}O?E;HtOxvd^>%O8GmfT(f8B zB+}(b_quyPtnj}2+e>1C$K6)(>fgV{WX$sfm{qD$D!9Ht?vM3o1E;*s#oqWxm%|EC z<|VJy3BZy&!3DK^5_eLXGsvn-im}>)jMAmC(O>)etYKH@ZHl#-{R=M`NSj}o%8jrN zAKLte?mDx%8lNq?63V4$ZANnq%T)2vBF#p}2dcBgb)R#bAOT*Jc1!~TPR-xy z;~H=S=<2M7Ku;$u%O90l`(&;ay#hY;LwLNbhdjC&BJpGr75CX=)Lls zJ{_OldfVb*vXL&aob2J8Z)&TC*s+o-cL@`35S#aD@F$yyQ&i6M1?mbP}pCIG6L%BN}`V{5b)B& zLkYlMd-CH|$;7u_G-1}Yc@fN`NxXV)PHJd^zEo_}=Rg4&Lz2;pD!*JS^j3%XYv zF%uu88Ayb<)CT#Jz(C71T{vIO-LtF&kC#$ggPQAPf_q+Ih+F_1K~zcIO6D&8bjg_w zc-qpsY(p7LD;gfpgw=pXIG*<(=7%D6|To2JnqNV-#ZAGe?VO#=L`e~VXKLjY3Mb4MoINo-*KSb z@Z_P?k~5l6&x$VenWsiC`86RZ(>-c0=P9!a$Y7;V=z7Zfm+sgabJ~5w_|2bnH_kRT zjNF%+J3>}k|Dx2qD>fyMhx$5yzuRc>&DU?H*BNH%&d2^5ME~PjO5EtBNx)XLId-kM zQfC1)C&Veb>qua>idtn|XH<#(3*+FzpU54Pk3uKJX~2Y9V$JnodnFax7scXu6IdWF!4((2mPAI zSk#F67t66ywi_mq{NWX|=bburcXLg%Mht9-P5{r~>blLrof%7qSpRO3pZAc{|MFG| zNX|9K6{Q@uZc)0~RdL=dGfcjjhkyUP96x)B!M_s@f;RpK^f8DzVV?P&@QJ%~^XYK{ z{#t7jCplU$&{}+{?~z7wQEWa%}ql zBz3&U{5P|gwL{L9bD`29CZf>}Y0i(dC=YMx^8kf?>bBo~qJtNH?B7cV3opg_(W*=Z z7JpACcp&o}2Tnv#m*raXA+eVRK}R-_)X7)|Q}Zco>*-tsShAI;rX4aW13M>Uf-J7~ zLiVt$<3{-IsXKDO3|85f`0;Ck+!5uKqy)G)D=6SIfF&g))QR&EO~uHEaLL)r)9EYW zJj@qg{r>%|4ce(;5+>W^+`AcdZ2Fu#z1=haO*oyxlM4qOMQOigB5uW4#$gn}{BvB@ z4VjZ#>edhu5xxtCrmM4t6yUWxlK&~^$#>T$#u5Xi)W;C4^_l0NJ;g!{;3_6u=bR4; z`f~*y{@wKMs~QZm2VgA<^Fmf`e*eNam-sXOt??3S5 z!8bh>Q+J&*oBIM7>gs%6{-*-{&3_0!AI|2cq$=sVR$sSPKBxAY)sCa5PzGkSY|VH)Ufy>xbLa*I%>q;Ac>_9Vnly!s70x`FIOm9$JKG$xkEOBJww$zBV%GO4#@wdpp-e1{EH8HlaE{7UbB{d z!CvHHx=KGQ$^9u?DjVpjpgtv4hs7!$pOnA1icezMA=nO=6YzRH(K_}&nPuSa3-V_| z%$Jb-|Js5~KHPiu&3*819f1uWAGJx{u{Q2s`Nm2vG^oq4c^-PGf7?CKgpBWl9p}Ai z2J5;fsq(GF0vDM=ab&2GQysv?P<#7B^*OjVJ)%O(vu+8Eo?%h4Y*3qd{KC+u z_kB0rJp}{y(f4q+cX|2{6fEe3?tF^utG8yNP4 zt%v(dh+1MT74TKAyVqX?@Gfp9ke>aLJG~Om>rZcg1D+2K+h{H6 z(bJ5VTM$H_Eu<|-bx&Hn_I{|UukVC&I<32_-djxMDROcr5Dw(*6p#v_!7@>9 zI>dEbIs)EOuhTpiN{hNUX?{Q0R^0C>?0uK4-KL03Fw2mifX{v1&p9eMxi|v4w|qY9 zt{S*nac;Pe9z)>7O!3Cpjb_o;t5rSB&cPd#l=!~NO|d34kPZFYvp}5fS%QIbM%k+d zFNT4m0yV<=VAG~oq=FydI-4FnY5TyzcIRWbKxSasNanBulu#ISWImMZ zWx)snm=}w7OW|O3$w~$zm#PQTuZf54mGr6aBHdmq-9tr^GI??af4YTlG8fGkXRVrm zN6?f1$I*E|QvJVg{1`bXBO%_7l|3^u4vtls*()n@$X>@@Wmn?hh>YXddnG#~B*!rh z**kR(C%ZU^)aU!^d;Wp*%el|}yzl$E9+&ZJg_VlmM4VFhP9%dPOWjS4p_PJ6j90KTBOUI~GnMYOhodI0&qt%11=#wnsC~)hIt*wQ z*&X?n`C3&E?P-O>6dHdT7DBL}_zNw2+MNiu&7 z5TK4S{}d$t=f@DGcV^+vgzX#F18F6{WjYbHklhC!a|A)Lr(L8E(}@R3ItYU# zK2Z=npAcnVGhL)3%$5ug^cG579{mp_!OZoAwP&heswt2v;7&quV^XFwaLem7?|?etH8Bm6)3Y!X}N0!STJ?iC;F9TvovBO zBNHFRY(B9=ysNh{#YN`<$lgEtINh#L$VRgFCU2obTVzgIpe>>*qlFoIA_ZrUICwV4#JTA&Lr~pfcD3JHzDI=jR?1_HIHy&9>^6Be7u2hD-)*VIH(yZAmj`G>v^^Ktlo%zR)o-BP>f~CY z(n9zR(7t?1d`;@)lugSwAjZhh25$6g)M_QUlZfL|+<<_>_8}*K#PEi$Jh3@fG2#&W z6p#P@iCfsfv{d3U!!X_XvY4Uv{i-l+8r7{2(D!!Os3Jq&5VnepI%m}Cwd!GD4!hPp z!@&oj&}7#;(rP7|6-q@U^~AMs0&z1HAm*B`gvfYCuzD-npF1+$Ii|!kwzz8>V<7X*f~KLmIDCyEL8f;RYZJTP9LgaP?yI~ z0;|`ZHLtg&zEK$d|Io7@>iv;*O+ras)LC@DGeUO#gGRpTT#>=I=~?r0!Bk>GKl!XW zJ61Xr5_>i9?89*BsG)I!iQ!eXk{cQ_U@n`4FAZk@<;!xo4WgC&FxdtLD4$CE)cT#h z@s(u7>g@-vEb;Z)8MJH2`-oA8ruE$#mA!x0J=!sG$`rbR;lIy+RONk)E`IHk44{=U zs~7eXIUu9eOk|IR6@Akqr~uL%>Xhe@y(O4p4{DdaFy|{6pB&Mlh2d3QYUNw&CvKP^ z9`maz?hC-S_eYdTKdM9yy-W;wf$8tKu$FeC$Yj36xBRmB0wL>!_Sk2{&S1?FGAvVR zNXomvQW^)WjcIY;#a);61)TVI@U}0{v*Kc*6Ay-DtOVKk6Bvo}6JN|BQ8$tuHXgrx z)HmDHsEF#s@{ucvWl?!RlJE?9?ThH)ED;?e)<`^nK8YqF5$c7z8_=xJMGt!VFR>B{ zXd;j7p$Ysfj=(L8)ctDUJ1G7q?m%1lJPxPcT2C|3y1{6y!x&_%6Pmqe5jN$Ye~Vv- zCg>6pbZCB8eN!^D2H;%@raM^Ubn_1{I+a%}flI=PTXv!T2*1gU$TCKY&$%{a~-0Iwl33=Li=|lpicLf z{=%&nH7m48YNu+JZc45p9J}AWq&Hpf9F_oOc2FEMRJgQ@Ir`Gts1#<<@f4d9Ht#&)X~*Be1(FQsZ8Ge_B3m z!~cTB$MBVoZ{sL%TfZkm&jEsDDk+Jo{Uj6ww6F*`--)v&b3hcS;jYSKj@ZC+v<)fx zEDiH~y75Jd@|%O~+ikiqyx2&U)Y1N6j?i22l~c@)^!94R3+QN#(1(}0cjgl}T3Gs1 z85ae5@1KX>yT50KvAC%U5{#RS%nlPXw-g&#r3v@hdjFsCP3gb?wks7+^`U3VRr^=$ zQ6}4fZ>hzo?MaNj<2P$Qxr`u8_*RV(^(%hSPk?3@IvKfXG_Y=07T(O8FH2W#rOJh`mV8#S;q$pi1Vv zB8Xu*Ir;;KYhi!e)JZo)O$sA3Q+Xm?KB!elhu6TCPNvWDV)Vx(61o#6{k@X4S5~VX zWJZ9cpoQ^gpUDyLd$~TB6-b0iR!WWdYd2yG1OtKZCXRN8kH52tY7o|T0 z=FkHIAF1s@(fe})@7F-RfnU_QW>j%PIVSF%OY7krsK^TE<-Pk{J29`h*RXu5#+#D@ zP{NOz6i}Dd)mCTtx%FvuLzvJ3rw74og!*SD$_NJEZT0(myE_$v@HCFD%NLzU*Ls^V zIcXZjqhh{iZphrjvP#H8l0LAOZweFG%J{o`nE)JbqvXA@jPf&%`fYf&VzIK-Fi6nl zgHnZ8=!4(XGu9#r=AwHINpNIfJg9+II`uQ^lL3YX+EC$E`}h3~b2|QO{QCS_(Fc?2 zuP0lt6uM;bVZu2FFQyZ+j79a=a-ustX1n8MJK+MoIwK#0)EIH4cF7)A zQ<})jiHUnn>;;SE2KC#`21gvoygy#1E9JP~LpMg0CmX$88(u27fI3<^Sn z1gJT!;`t~|WP8+HG-VsGt)3^JJ~7GD|AJ;H2I8emmB!9qqY!`+pZVkr^Wq|^F0Ugp z&{Q$Qp$Xh5p4s(VfF&>^myaYFWTlVTnV7GhZksKAlP=pih{hNO)Uw#Qpf$TBkO4qyNS6vmv zR3B!9`H>pEH{q4fr__fYRD1~>S;>&!Hja;=I`B)(Iu6Re9sKAe`y1DOP{3Nmp;cqq zYK5kC2H_VXlH4Fr*CN}ylo#Q;gTu2KL&W|>RAYWEgKh*-93A6hz-=BU?{vo2{|$g# z_CxssZ38ZHw0<(W3ch+rKl^m7gyLY&t4L0;kXqd~DH@4A@q_YMW8gIMUm~%~53C^y z`DuDpM_2ycb4`;1AQQBIGE!#6rl<%4G)HT@baPo*>yK_996sNQNWn@5R3$a=)c(=A z1nz(PMC3z z#&Kx9pji0g9T0#<9;>@9*KBr{>wJCW^jk}LvRr{*_ftbYaq3H6{NX zk4Lf>TkW?pRH!l#42pYg^0i&IoM~MY0|M6~_<~7ol8oH}LnvdLBEPmOx%Om7h3nsr z19V0DycKt7o`gYobOMKUy>2RWWd=?G*4+KZ$`=PuSg4BLtAF2~@L2hxgSYWlWA+}` zZ(y?h)as%0djz^15OGoyJ*$>?m1QL~uD}1J4%rQvpAEi`wCtl&)O?)kg3_%2Aa@Yr zgOt%w;py;rv&87&mMbPgMGfpRvpE{~P!mW^WkQ=(SN8jqNk9#Ay8ZR#OHS2v&M!#W zZDfGA^Z}OTjrLev|MtRsCyVztRd`>yjEUT{`nA;PV$5Kh*%a8&oOA4I}UjImH>7*CEvDf*bOntAQ)4Q~iG5ft1Iy>V*PxV9z&qCSoS)cRd^V z*~&HPmgDb-eSrXtf5UGwo4Yyd#jlrlQ3e}X3nI&v(!gD#^XE)Wc~;l$7+v4>RIV-S z-F$C<(ax1v<8TsNt7BnqIe99nQL#mWx%jIl_U^t3!sJXFrQ7b+ZkO=S32{0n!lnBl z%Y-9*jJHQdxe*G>o7oYsxjF+0V5+zypFIFlRCiG|tzX}?WuaER$QkC4aiMueUw2DD zMlvn~)gLqf6Sc8J6}E{v*g_7>SD6vYH90y*{{w}~$Hh%@)a0P772X4<_S3YIYg4xG^f^aj621B?UpMv`@`Txd)lY1NVW*8n5k z^p05poP{PPM%?StxTG+Rd(8FiC?$tD1-j1Pd;uqJ;U0CK-E9)L!} zQQyB=n9$-U!-SAO|Ic6j2_xsP^T3rh5iY}+do0%uAnx(%esesmAcLQP0uAF%yWIc~ zkLLh$(RO({?n-lK65x6?ZK2?2WYisnHsQC`-L_&3x@V_sK5j7UKA4St8{iO)9I(g4 z9$P4hsS4b5>rAC}UL1L($qBqUXtCoUGU;szRA;y8k}}s%AVW^K>A_4u80Apnus$K7 z$e?}pQR5!s0NAtaeGyOLU>C#r9C?|w^_x-vcd^O&52_);gc|Zohu0wvsVRs!+<7!0 zm?WqL>SLWU3fc!T#vDIPL~5$KHh@66piYuPLs{vb6q##_M^81L5Vvd4^Y!hx1%TRq zXK>`V=`;d#KBE#{!1L%#%6F0+`|~y3zt;)f`o2gf&-(rju#lPzGD?w4jO$Fz93ZTt zUCYYu2ua#N&`pA*nyQyAU9T8AM@EP|Cy{qV!fLr=A9fmzD^n{@$*-nY=*f~brdtg2 z>8e~vH<#H+Nf7iJ(EGOii3xwmSC`^A@I+rObfECXb?X%Ri-3WO}?zBvNI8xRI2Ax$X!!pZlA58G&cDk9%@6o_&FUIwpxujCjaAl1#h1OdsZ(NP* zY1fMSL#uMcqZDDg(vA_fIlzDJG&uqus?8xo{WJa1d39=efc+EMbaT}O(&bOXsf*W* zFUuq=Iw*VGD?LXn<&9%Ktr_FhfilNcEuPSjC0og8D-*NfI!WV1(xJ@=0q4OwDjhhx0O?i5u&BFL~35qiVA4??O* z=F|QRlLmbN>yP_@Yhc7&Nt%KacQJ#sP3IgL{=A^xCKe>pSel*(yfsN_28AD`{!Gi~ zV|!XrYH~WzNq!&??QGkrz%n{tx@y4!WuH_y+fE9SD|1FhK<3MpD4#%LWy0}HY%Mu2 z8-j()!#v);W2IX7-MbUP7}U`?tvP}hn+SpXjR{Cf%2mPKBrT6jD^p=hL=O($#5khVlwdm4%A5Syg24HKi+LFj^u7Aj1m+HZQ_WZ=?jme#${yjG%xI8(mzsCCf0RNh;O6GJ9Yromy1^lj!zL*@V*NuCaBlJh` zpaJk5AE~ph3$nti<-ueAaPI3?VeDVqkIB_8>&iF?^+H#GMk zDxX`D0@pBGD3jNKP@X%Y7O|GM8YTW_b3iS+KeRIUrS|W;?we5Rr?*NamfKJ7vo z&Zi#Fq}~|NFc`BHNp=CO7c}^wEDPhGZzS7Q1fH}3g0h3h-QF*)I9~%fD=;rpwl_qz z|AB6ft$$Ff9 z7pzPjR`brl^=r^y1=F;>rGhDK%UTqDk{}vWisp>_f&^FZS{4?mkcD;{|1DoCLOdxX z$HT#4TI9+*vIl}`pCWJ&8`8Vya>wdbR<)vzo(VjDS0T^r%DBhd-<7Pg=`WU*Ku@aZ ze9GHZEPG2^?)aRrc0Kqx3C5u3O6%m2ciB#fW}+eA-9(SN8CQSSC`*DlPpkjVa^^Xl z!n$Wn%EN@DpDh2Y(oWJb{ofxnd}7@4hel7HWKlegMlC{u@j*_Mr8y|~xschcE&rYX zl3>DOjOSP8gY&nG8w9lr>!8s0R~H+1YU=~SoP)?!!*U3&O@TJ%7LyL`_YBVQu#Ic* znd<7xZFXxvR^4RK(L){;s~YcWV7D+sE|L$pg4P$~ReHq0^YAsw;72$ImhuBM_{;c3 ztDN2qzp(4E5xWy+nEr|Di-tT^U|^7Gb*%T~w6~U{#Y}}bcL5jf%bm?OEShc^v8Ix; z`^tLjZyW2{sT7?G-||CB`z<2Vvr%+yBURdXzpJk@6|%A==YmtoE%cx0bFt5P`uYOL z>JoO!bh}G`XSk0}c)gdY+9-&%D(y8p=V}xxy#D)PKCI-OCG*XI^YmUASs`sR)FYx{0|5l$u-6ES)pz@hY;CugqAZTTNm$)7!V;qhng);}x@L(&bsnJ3&zV^NgqFGMY_^sI9bu#fztoG}$c0F1aFC>`MqGwH@r= zzxJD!$Kg0}6;XFq#4o!!W^DES`TS(@&|P!sDmkM~_0SR$H$$j|;nU=!*13sXKbCt^ zXm$=|Sg&|sD-AcIhYhyeW4s#g1`G_D~!;i59d?mPGCt z8@wll>I-Z>j_>QLEUe#TG{tDC0lNr;uuwf19cwB&V3kq#s@WM=bTt8&IGe#|`w{O>};$>888n{pTh8tBJ zZw{@re^4XBAx}fxAl+B!x-^9fIyUX>Eih(pKPqyL<}fWXb^n@uu}P=e5>HE5PHvKr z6S~>+Pa%~Q z#(^mX4&BER?}p@6(dq}@I;s!tl;*Ar=E*_tx!63_U3)xG$!KKXzhXr-s;+?L`fBwL63TbRm>rnL?i@&_wfL}1rJ?0Ybb^O3#L zzzga=M)gM;|BdGWlG5ueACZQx!GKZF*Eq~=SM|*UcAxA9*7qqJZhhx2h@knzt~M>9 zuwWQv3nl^&6BA4ia%E?M$8$BPw*JloUgWc9l%Eu3RGTrOM~t3IbT_H}q_7S)kn9x| zbS5N$L;Pw$6fi_-X6;!YJHiKsqo_`5x&m8PTeCPp1J1I)T%SqK;`jw5HAPm~B6Tf< zf&3#DD^OwTs=M-5i?kF`pKe6RI2_8R|K0PZuWAv1BUBca;+aAHE!-jMdV~5iaU)d6 zjWh=##=lWA=iGm-Ir>$*AY`nU^N6`g6H%EpYT17mDnYY@~>d}F99Gryn42; z<6g+dzZU3? zwAUExoV20*S0L9v{s{j)SD?@&0P+JTY#S*}Jg&UUM$EjCVUQ4qwVR6Hbafo6#i*VM zKffx6<`m$g;t)VXo>tcFy9LNGDQ^ULmG8ZL3M^1h%+)FcFp*KFs2nCtt$eD@Ejy>K zRYHtDyG}HVO2^&Bx$4agS*XxkV;TAN=gnD;;E0!7=wJ?Fa1E84WdAa zk;3>IXYLOZzQ?sL2YZTu7U=VLGiCq|2tAY>;VS}T_kc;u(-!ojHY%;0i4 z?Yu&y3ce%Oz0^E4{<-AJ3(a2JpC`BmR>a2pD}tGsMRq%(n_D|KY{G>5>277Bj|UD< zDxx9j1qZ35OK%wD95XLxmw7N?DFLWnGqV@?WY+q}co?*QMdTK=R{_q4N`w{y>hCkF z+K$7};z3j#P54_YZ!*cX0E+ZDR%dP8*rLr&ySozy3E7GHX;<-NNiOaA;qq>*qkMeS zx6C6NhiWIk@cPZF-qlD&nepe9n-%R=wHyNUlscgHF^?zXOhetJW z!UkDcs~0mbu64f(=>Q;#gTH5<7m8NPhcH`#&+Z1Vt_Aq_Wx#D?usN z#QnkBpi_l+`Ja2WnF_Q=Z**kX^C3Ki(h>gy)$@19Lb3A&)>)ftfA0;C>O{2+j#DL3 zL*Uih)0HVtvau6gL0Om+&tcD}!gwXX;74YOgKLQ4Hi9l@vel*x-dKyPKf<2YS4s z9{`o>_h)v|u{^XA*j;;t^EAQ2f2z>=pra&eQry0gtIh2kwd)FXF&oAjV z7)`u=cQ%CQr1${i&}0rOG*V^G*7s-;-1++h z{sSz<0as+6Z_ngOeI|i8)f>zz&s3)8yU%keiIgB5R_N`0$(N&wbStTT z(8>7{!|Wv>dhTWRV8+KQ$y(goI+oXJ)R4N5ZL2GUN82U=-L>QuoSQ2H9t5nvuCwUJ zbtO<`=$Z_FP!8+^q^cizO>V+fNXExX$wp(TC=+u1ud@~7fIqeyjWyr*r%0an(4&+*XjgXXz!Vlu3hd9&gZuD0BaS{2 za3FNt`17@uz{gXWm=&-r;NW-o1;`Y*{Pr#T%iV1sg@rQ*xuaEzzv1N`2t7`C91yB( zsQa|6TgVzr#OpcyCfq@Zne~7_x<+oHyJhX|ueTb|QK8CsjZf}3+>joEPKto3%@bE$ zXI48Ef%%Z!cHSX+rGpu?o0%V&PMw#f> zD~|dSp0&_qSLaxH`S+-yVFbUwq#;KVbUoRg&YW@P60q_s5(ptRJR!Gqt|tYG!f&+} zC~v3d0>%LxyV=9bmFEz0Nu#Ud9$*ul-}RHSeVFZ1d=16apxyD*Ja%Pi0JIsv1h7Rc zT24dNr`OD?uIwbahk{O^hgcu0cb_^TWWI~}6gsb z=aaR*{yv%OR5#MNavO?u$6FGJRp8Pz(hp7?Q8UE5>)zxyRZ&TQzSLk-h2|8o#=! zxrNEP!HFaB1*n>?Wc97lx6R?!E{Du1Wr(Cc&*}HE`f9bwD|0U<+st1r0{iPJvU4w@ zB>5{c@?_sU74GfoN)Rb&n(ULxJ26_d6<99GeSg`t%mwCsK3(0LGFl#87BPLKKG@G;L+<~uM@E>8hTCW}-X5`K=w<2RkI zwyRcK-YPL(b}{8CXp#>lkN#;;IyK%DsPS4Io>26L+mpXXce&!U3-q;fIMQm6?vv8& ze}YS+h2xCOjC*H}dgiQ^M;jlX@!Jz+qdMt&m6#h2Fe_s(~sV0#3JS-tIiCp1!i zXTDlDBRx9{f?2HI$`X)_WZF19w+Kyboui!fscxdb#I_H?np%-p$cd@5zSd#e?I7#|Z9bVs^I;lIo>CVve z91Q6CJ{FN2rMkU573SWg4fb(DfKNbp4eN93m`2O2sSx#SDL=QFf49TO<65?wE>I2v zxZT56aNU^p{O$F0e}{#S_wDvB>QEr-TX&r)igL-7EtFkqnCGjX-Nv4lm!C;YFfYs9 z^cW7~k+q%i+}WArG|TFD$Z?69&kVJDUEvlaw_{%*YP|LdhX)@w_}==1k<=e!>VNXy zOZoSmoNxJ2z-yG;u*54%JYG4cuL`0&AK}_m;|GJH{#qT;>#M3)eRg`Ca&`DQ5%7Q$ zZ`km4Beicv2(=8Wz}FkFhNZ;!rM`cl(oze8xx6vEH+(zDPTh9A2q1>#a8(=Q3 zOjKk_ROO(yvKX4gZRGK1MzI1o^ON(06@NW3-RpwHYE5=l*5`3We{#3z@Qavy)OPH5 zbLz~xyw;#4GY+MEa9^KOFe|RJWTOc(RW|a%>wCn2gT%ECYV=RDcWfWwL2M(FKqP&1 zgNI1Wb<1SAec#PU%2ib4$>Fv&^?>1(?e8Xc)-Y?&{eywFIhWbNFd9MPBbR)=ziO7f zX|*;0+?b_NXHddg!+~HZBSxB#M2aTf%eMI&fdW&*kD$F9^ zQ;j6w`@t21>{q%t)Of_&BAiOOt)gz!Rw5J|!zXn7Xr*w@V6Moy70acxf>3v$V4L~V z1E_-!f^2e(#uLJ-CY@ zWSqLG5jB(2d@X6|jjHzB4My6Fe5Bjs;c3?jK-vjA$BTuzy{5r`-knaf!bSa)j&=!3 zp%owUeAGx%8gtjKH$Jq$?mlv{=U!;G-9woMP{uOGXx5*`TjD>W81)2V#bCDeZpClC zZgXA!9vO}h;5C>>em5Su3!;T`AKS0lZ5l8k#*O#%Ld{|WyV1X&T9QSg{8m|!AVLQ# zKnaGU9_a$!rCj$L_j828M>wijqTlTpv?~(AsNMeuYH*OX&Z#o|VmAC$JDzD`n2INo zkpJY9hCG2kma3~t>GbXsnUxlQj@2N*3wr&e_KfEKl|-LDbgC%1rsJNS2lDEvZR~5` z$Jx{!91Y4M3>*krC!avOHs9nKAmDK3jQ_Yx5D5YifBv$d)|Oi0u$T&)2vn_t*Z$p4 zesi6)>1IX3(0)?9rw>=PdKo~gnyfVmJ*jMD-${8_-oD33M@q2jFQOda`fi)K>w`QyV~>kb`A`z&xed}7lFynJs_I-qjz7`u z!XI`Y7`z#8xqd6QEOXl;rfl3?&XCcPYPwNh>ft6UDiaXfgkA|MtPfEAX==R0cSI4L zmAYXAu~DcGtD2*4q#=A52OsQjYmXW$wll!)bRxD9%Of13d?7g4-)uERv9>|%MgaK1 zMZWoacU2=PeXDfpBN>46cn2kt$FnCRQfWf65gA`>T*m?vA}L+yrDFruxG393>NegW z-YT4$P=WX!B5{?gT}RVgM{_Of*Icub_(1FifEE=~RjMw3UyQ@s2DO49$G@yNORm+2 zicJO%GkEp{yNdX}5O`wE#~3u(rY4s*^!5o}@6aH><;eoz%9`5VI;WyaG7XFQoOOt4 zo$Qu)9LE=j`C@nZ_5Rv3dtNj4hdN()_7bp8cDd3U;|>Vj`PR+Qvj@bzR+>dux0Pg2 z2blXOCURzAhq8lVmaLKOT5{lJg!$IEh2y&>`#qesAfEkNtejCd=VwSbuh^cmryY9E!4cRzp zsMg0Vy%Cz|@=|0hm>fq3b#oA49_OPh&{49$#oVM}yIXJvD^(|CAC3n%s9t%Mm5?>V zf&FUh&o=J?wZTRMEqk%0>h#sztt zxM5n3{gWy{b#QVc(&r(Uc3&hR-QbnC3MMJ=lLA*$w7%o2XWDR3YtTV>)zwT?F~If4 z{+hAadUP-nwgsH($=96IGqSpi)lz>JMTQfC6q)=GjNZq)kHmQIwe!%)ivPI5_tWTc zwvRj2QI%kB!939?F$aH_YGhc0p5`Io_&uKVN^Ih>uo8N_NTA+A$uP@8a(q{hCkglG z>n@sA0O=@MZ-rWROq7$vd10BrSh{{zIVWRVdSIeuQHP8U`=%VFz3tkZ&Jgo4Z>v5{^1_bQ@ov2iP-hN2z5*ZiH$!niFgBj!8`atLti-NUQT(r=(@2n zh4Q3^fn}&9-IrMKBNH~3Zj=eI6u-CoQob|i@#yhXSaqH)A_&!YnP8#X;BseAPQ=|d zjG^};Lu=yUmmG(jwZf&fNs^t!_d&pDy+Hm71St{Lo*Q_4WOIRTcQPide}H9@n?Ey3 zYVk+99|n(9+j3+KWrQ)y_lt1u8Y$+Pg-#_udAJynilEU0x9MgIx)$Z`UwJ!J^tbo1 zua9+i>UGL5QT%TJ^rid=wo!apR`MZtEdcypfk_yIy-OQ72N<9y!X`Z`mw--Xz3gi0 z+w(5oj~6yuCwD?)Oe*esnl?*@U2z`{%=#N%`;B;Hc^Kl`QzFpBXFYqc(BmoGP~s^l zWHMUOl0cp?N)T|*rfTA-^<$aJ=?cE-%zu|ze?P(0kdvN`f=~g$)jeeC{)YlJlZy>= zy}km;vfwyl_aQdj?T%-Pyj(ZTjA+?jPne;#D)2Wi11rdJmvME3a;l}wRox-xd?4y= z0W0(?i&Uw{Mgy)~{pO2c2v6UK3eU08l>?EsK}#P98#ObHj>pwj;XRVybkKZD&MQs7 z3qVSGB2}TIQsiXtJirL+w~8=nYIqtI!jN8N*Ih!m!5-p!8K!o__97YMrptFQau8{w zHe+sglkVuia!iv`%M~2A8@Jx-yA`HQm6eHOz^H7sr#4-MeK}_{lUnkFQb0vK@ax8^ zCkg`0({Sq4Qvzk{D^E_rd4N`N0?ZFt8R3LDY=dxw!w;|nGNv#4eCO#w)l8|CCLB6I zM3x<4?dUIy8NqjvZ9$i`9cE>*AaUKt-^JGbKBr#UF}#Uo%X;0^_(?;Sn7oV?fUc!N z@?X9j^CK!k4;SWZhDZMx*e?PD<82W{Rws=q7xGKm70P>pczZM<|MI7I{hJcPK;;pd0$e7zJFGy zJB+Eb@;r{YwwhMC|GRisM9ANEshxiVqlwfLQ${9EHFr>Otqpscj2L~|UKJRe0Q`G; zEN7|r-FAF{ZH@BeF{MtGp%nr1lr{(*d>za%sZsl{bwQ5wnk0 zj#;@H8^$cBT;ZuC!3?B>iNa#MkH6bW+w$7DCYlptjX|gT#-hdl1GNk`)s);RE1kVv zy~O%fRf*Jn+pBTDz_u_jVbaU6@U~2Ehfs&Ae4nO?;Ikw{>1`Pry8THDGbszrGr(SW z$n#NvqC?|Dkgc|G>-(6o4;(&zlXjQintvlhCPTd}eJ|#DJKQTi! z^d=}>)8Zh1YoEJqL3jUCWMcF1Ui}!l>8v+KLiply`<8r1H@uTvZKPA`m_)NeW|=2` zII$aVKH+OxBW+4L=AZl#_5i_e`qW@A2h!kZ7Hs=#qZBuAtu6qm}lz60sCK zrPVlR3c0WEy3P%)p!(9rl^JhjA5OsfwlX?$jOAzoWT#vAr4wsw4^{*Ks7AiUoWEb( zopoTRO4c@P9sog}vL;CX0?9K!P|NvVdZvyTdn*mvbzAM-9cy0?Z`qrFq;^A_SJCu*qE}^dHnwb15 z7#{W`bz^?}lWL1yOvO83y}B>yCwQMH;LrDAUHG9*=Den1Cmz_oj~ESJ_)XT-@qDs> zVfNBZ(=q7m{eKfnfj(i`!ZHyDS`E-QQZG%`T5j9#^1xX&By;~t2dG##p_443_h5!0 zxKH*e@0(wjIHyVzAIDeKP*DT-4w_AC@u0=yVfhP-_mS<+D_ubCz8ZV1(sJ4FsdDGy$|wLjKRkqpdIuas`EzXAYx@zDEA8fh*6}9j6;*(c>6q6l*=) zxl=!ivP-ybLnVTu{XN+77pu^5cn?XJ!Rx?CD6%s{Aqy7|JU)hN`Rw;Y{JzhLZy9TW zVY+7?q5e|^2!JbkP*@SS_Ld81mD7dZ5nBgb?b+NbTO!+!TtZ)U?I~1@{d8yz&}HXL zNo6rnKC3Z@3L5oau{hHtGze$e?2JRhd?H0{Ik?mC>n4;*AQl-W-Zc8jwYHSh=agd^ zSH%<1?oHg=HsC0p9;>#}TcKmbTXCC*N`T{h=Apn$tVqcck63FnqIK|ZMBOWD|($2wqd z<&2o?J6j@&AvO9Us+z+aOwobsS=nnzQ6;0%!vj}h2l_c!Pn~4o;4JL)t38Iig-|-d zq|v$@LgsX_PR=4pwf!d=p8m{k{=#8dN&yZGjH*$4KZfL45GK&N>OpN|gf1V|?82=B zd`FpJhN5lDo6BQ8juI;&$O(?L1^ezRC*}KM{4SRkf5Zru8q@a3-UnIGPYq%a%({b2 z`7_e6RJ?|U8Nepl{gg}1qlFSB2B8Y^73$aOC8*Hx(fp}O`G4d0d8DIapU^~y7^SL)`%53yo05OiBv!%T2jRDT@Ub+uz z+(7VRjfTK4HET~3Z!)7d0=tWAKTGIzigU(cM-ifHwg*?oyIAXRJI`(znQ#fvNMl6~ zE||OoBuW&*5SFjs$4;bz0(3MWf4RjSN#5Km1z?Jo{g>jY%m2_$ry|apg04Kj@JwIL zlr_3nd3iAFoeDU2*I>3KC3zmGhS+vW6#GLwqQo*I%6&ci{jGL`Z*OegBn%7#p5tB9 z89w|?zNnA}wHKaWW}WYIt%OzioNX1$x*gT3!%?#HjY@4}#&{B-g9~GZu;D47ux_3< z8^4VT9a~L4lIrfU_fEcg-kl}+($c+EMR~|^yoPvyxDJ;Hizk?13B%1fQQ5k zW2Qg@A=|q&+M#ck*Py)k+B+ooTRcOxI;R^=0?3Ip<#|M+Wxi6 z{en%drC75hVkEitJEh|1#T%$F*R!n;iaAYrKK}#V{XSo>cqrLlLmk}-WliCxf6D>J z=mgmq8;CXR*@d}g)M)>H#qPpEHC+iRee+QjBV**Xf-=gKc=rrg9rp(q{8-|sd@Vl2 zj=Ko1?`jAySN;MATBOwoSRl+m(T_@!>PT}yfPC774>mN$PDhk#+(uwzVAWRsudnda z7q0#xEY4Jx@hj#Fa~;vD8VW1^ke##(s=HGZv}uKV3c9d<7xgf^@*9n$o@BUy@_~3y zEMuyCk=;b=v|$aPv!32Z>9|`V9K*PWG@ySWR@Gc#>&|&Na5I_h2gC2G&o~JW11`ZF z>QvtM^0BZQ?)bwi@0wz1sa+$@iJrp7-!e7}b2l(xD6gG~q`OEM_sG71wGjfjW?C2D zxvYmb;hitT)3CiMvc7CFnD;#xLp}%E;e*?EN7VaXA1V1<3FXlwt7o6@ngy<2=<`u+ za>Z?B`AzApVcVnr8(Rue8fSj*`pAx$gLNRDmgrd&6$LrNAruSH3S%Q%sg${_GUfVQW6bL4zBcV$E4AaKW=CA)0C2)W&jJ^`ig8bPHB`~CJ8j+!P{vS%FAE4QD> z{@kLG>Ok33Rg*lt|d|`VoFP8dP2S%&5$9Vi3BO69x2nHEJqwP=@dE9u)kxv z#QLEi)s-xgN?}#rA%|eJ@pJg0IOCu787YnZ-`s&qV)gG|F8>34>aSkjER!mFKdLDh zSY!-PBX$mj0F746qqH1CE&$-8paMfhkZpo-dI-*U;nX3VhbGxa`d3}_8U z8Wt*015>W68T~W+WTvl7-IeXwhH%w<;I0=`w=9fo;5PSR1G%FH^@ZSyJ{{Q?*BIQe&19MN|hrh7uw zp&;OEqpDjO1aMGCmD2LaZ~BJJtDjj6$AG76^f{ay7{ejF!!2*#FDn>-vqz=#MDobu z<(FG6SQNu1g0&urf0a1CAz5%&>9?|QX#l{A+8~pfrb{hQ`elL#z{O^YtS^=6b-7*D=EwNzP=V(y)LS=H3+&~1B(I=TNM zOI-y?0lQgo3wMqztA{E7Om!Wm-~qlh*JUENo=CQ}sJ&zjj%;PqIxcvF# z7RPN7^;R5B#9GHx$RuRzgA($cdY+!9Vu$t0wgsruhb)k_Z=($Lo$_}~k+V-5$|O(R z`|jfV2}EBNczA9jRsKa{YMRh9O|e?+{4+k{tWIX4^JpB4H285POmOom%Oo%!GOWxc zNBw*-cmyS$G)-E%HB469FWsQAc9vt5T}TOA2kna+9PlcJW<(JxEYjNU_4dO#2z{PB zG9T$9Rz?IW1iLa07frv&wSdA0_@k!djcLF3u;K!WZHxEmi%uUtKT!GfTRT(b|4;dk` zo%d{17QuIg8$y#OXnx@Z%uPbKQzr6Pd{$n=0Ug1*w0{0z*lJ9fUR6e@Vq{S+pj|m> z&|7!x+*`y6cSL9DpRi85jz_oRw**lWS($oSG|E?Vq$aBf7Q*YVT;09@e-aFPkt38$ zPv+=1o6fzSH!?YfDGzPJd)=AYRBy0lcFgaHgL6k9GbV9P(=Eb$mBtK>D+S0;( zMB{p5;0S(4NqmEtvr}3x@jQ%6HX#+6MxJoppE%gFpUsn$836b}&;*aq9?_W=}HLN?0%E9rx+<#TA;y0h#F(kPEpX=)KoAE*bY>x+R`Kd4ZM}K>O zuHB$)TUV+Npj{*94)@bi&G5`FSW|DrlNgSJ(g7*t8t%g`d?)83B|P)B;zqz~s5+q+ zdTS~5-48kF*YxNVug^K%R}HVLxmkVm#SL(oXc#mI)@{Wa+a?FDqqcmJEITasxtJZ5 zsLpgECgHZtXDtWA?Pcj4l~6@5W=Nzg7*92_(P-UL_m`ZA?C zRSU%=WhRu~rs^VPJ-GgrZMDicg^u*II_l0)&Oe!rEd`&us)^GhaW`$tYiRmkZCrja zcPc89z+7R)lEyQwp_P;1{7&l1J*vM(zU&=xzEz>_ZDm7^TBBVI38|DYG3V}Z;>Y$W z+Aj)5}^vGHDEQf{A%nS*-STbKZF9d(8@Y&`H(Nrgd#*spaNq^}xs>Ceo@#Cu+|Z zUs0y$t3bOMJNk}=i&}y)fz5rN|23Lj1iX&6TACC*&)vJ}(+v7QlCHy_s{j9A^CF{+ zBG(F8kx|@>Yox3~_6W%)-`$f#UBKh?8rC9;hm7M;_33Y7lYE2tiKb(K%m|wS! z|LVf;AB4~MI6u0iN|Bk2Xe#!UXE&1cEL_}G zZ~Ia$MMia&mRjj0e>>dMVWwGhjda=?So z&`zh0m(|OI%#DeatokJH6yXF?`DiV5+|tOc((DOX32C++U9w_6He0=l|BYfD}gYLJFK<+3$PXK zYt!)4GJ4p+-oXUiZ#S!S%7Pd0$C{_WnD%Tp-d#uvj$L2pNLZwSUpycEV?Lo7bhSuy zNW{q(Duf#o3RrE&A-g6-^6G-Ws#V7cRo$Ft!6utrLzO-ZT>wZ2D#!Z9UWUrJqew$Chq^iOJJk;z4>)E|G6LW3S zgi6Is_VJ=xX1VCQf5%W-ek~cKB%$Rk01bZyGQC;TOK;0)uW#rB`zgB5f+=O<>B0jmDhO`Cb=}XDXqeeyj(g9B}pQ_b%~FpU@DS_ z2A))2PGWFK}^^utkPtz*E
$kw}59IsJKj8Y_}OqA(wG#P0%bo5)Xjq07Y6GVK&W2=TZWPN!)(@YDuu!X#F zK_s_f7>N9R#-v!mc8GJLr|rk>nN|u#n%?}e7F)(w1#Em8cF&766EF_n?y1_509X^V zlmaQNLz2esG$%2&9!7HCwN2M+3h21>ul`1w%3E80Hnq~iT-oIe5oYG^xN*Ss%e-`a? zyYGPDl3ytUz7o0&Adnk$;*TZiitTRdnbM)WV8A||rVnXm`U9qq<~St+?irL7xawto zAg>(=;`sWOkB$GL$v87=aBPS-@Ny80OyBmV8DYoNGO{a_l=5aeAMT5^qQU3_`AAur+FI+aQ?f{90Vjiw<&3g(>KBM*LnC2Yr(E}y zY^v{Zj}13^=xbJ01_J|t5W#~s&b^0`-@0_U>FM4P14w`?fppd4P9|CJ?p4XQk;IG? zw2rqOX6U>O1-NFEjKwlRBcqF=m=K%J*ZX$)$@-fdq zDjjK>^ywKb;5$Wj)D6oIk)4<;tu7|-x#G)#ELD|{XC_<&Myl9cic#k7k-(Ecp5%J( z(+|;rlqhbgn&H&QW~`$PA%+7Er_}url80Sc9c}o_KPB=Y^FU`O2r2i+XIM;Wi&|Q3 zag-XXI#a*^2utt9jGgTgklJ}Lz_*uwRRlfDAtZP>#(jV#1=u*>M0rVNP* z{z5NQJlPb*si5`x&92E@XaWwF)8sHB%V6J6H47+y`d2=e&^SA}V4Kui;vxF@3lVmc;oRS9`@Q@K=@EHMs@_~0oU&r1da!{J8R$EN4~ z_v0BHp*$0FaWVvt|7;Lp4i?0pe+CD)&BP*VyB{V`I&Xf4qOe?m7G5sB#2KTT`9Tg` zu#DCrSWY2g=o*dcxIQ;;+B`=zFHbgN5=vTAzIp499i`bS8fy4-pH2P`B+P5K!z$04 zrk<#EsKwSX1XwZL+4_H?8>&Ghru$?QTQfq)ec8(9umZ_FE2F+O{{@3bY?`JRX>CpE-dj#N zI08c{rFXn`6o&Zd_n3xy9_*MLXvfWFQ$-%mzAdqO8&UNI-CJLiiV6MXidjka)jLIN z%c=+jyMEE-%lPCe&|W93Q~@-x8`)9muHK6S!Dvsg))1Zs#Y#CH#hHXnlo>8@xkW^_ z*Xom&6L*cPW7Tg_XbGralp0~F#cS969nTe{)ciVVXjAqr=oyD-QqJaMGL{1cTEV?kAZWvTCjOqc6gMP1EO9^>k1w$4u)>YkqhO9cDE^9r-ZlimTD=bZ;(Rbn!?Fw zLj%iO!D!IouHIH>ykTGDE9s=wKc(`mR6>T=upGI~ORYKhFm@-Ad$PV^NLgJjkO)ll z(}^v){Ef?<|E;kr>KYctBB+&YWkh9?K4Ql8>+8@`P-t{(oKCv^=VU##Xx8ats$tfU<6S*SHSdUH_7h(83P5Kx3%wJ}& zdSR7!f>ep~ceT&fbpDnhynZT2TZ$vCSK8ev^3tSN&Rz+{*wEGfC$Ss%VBgTPj4%@X z*pgS#TYMMUX9_m4KDp+V^I2xv@}6^R_tQTS!c$F^Ga|rmtOLxGcU=>7WfsMtfZuD2 z@Rc{0+R<1tf6M8fe`|K0&Fr^ih}XR+T_V3MpcdHf(L7zqaFCS`G52UM`Q$<-6sr|gk{hCvn6ME3vR#Wv%cmJBxo|r6022ft;+!KR zm$ldxF7}QjEtY;^sAbn_h9+vleP`-MUMuWiT!OrZh8($ccFmVWRWdeR{;_KVuOr|3 zY=S8S^;PJ;1DoUQYhPCrzh*%(VNjmuP6~3i(9L`Br!5WwsJ)w$lbgvRo47Kmcx6CL zIZuA#Yl;{!A3(y&beAoguF^orXFvrQbWqe97NYL+YAz3|r>Ov7rk*2|&{pfYj(r~- zKiCL@ICG3E#~1jy=XA@;*anVdL=ZkA=H3zSW#Cf7g??5fcc183*v`LBbpM^VyOq|HHVlK zc4R$;gFNr=k%jZ*+ua0FEN{?$hr8yNSpNPG^nQEBe0X2#x~3gVkQJtq9^xbx^;yfj zBxBHSL4}RTR$>MxP9NCVED8Y4?B7!D;~0i&UnQ*nmZy6gZ2(&)h$M)0i~r+(t`ufe z zybWVntIRLy-CdaYohCZVc4aS94G*wkGBEk=gM4uJ046;MF;)n=6Kpx~B;BTKq68@B zjjL+DMx)nK5^b(9tT0%p#O?NwD}y~|(x+$HUQ#Q<9JN_>gjXCZMy`9yd{e=;Jqr9E zh^bx8!`fYZb?^S$VUa=kUJ+&ldZEgAg}QRlK4|=TNo!8e5O6q~CX4eWr5q*c2;peW z-?{2t&gG^;ryU0b9>M=2YoFO!f_`;2h*4LpXjE#t^!f(+-$Yx~zIz`yGp@LK^;QBV zm~_{5eB+a|>)PUw*q<;u@3E2$jo>Z!qA1NZxx8%Dw8mN=RVTXpc+Y*ds*&B!2&hXB zUU%k7uIz76;ZxV5-g+u@n4i5U&U|sNq~xo~&T`0A^BzHtV#EKlA}uhr?u z4^vTDr4ijwgeZyPsTtGwt^cAWGPynyNi?9k*PdQgn-JN-o*k)SeL2f8Hlsi!>URWz zd6_==Ct|5df6Qyqv=dT4IL@YT+|oIge^7mv*fJZ6y7lHD6cp_DBp~A^Q6!UzUhAb; z86>==IZv`<=TUx9mDs~KLcUsUo&fY35qrCd1~nD%!oEx;;J-4RNz*5ZCbi5*B))->kH&@?4iQL212r$czqrn;rJ@_ug6;gCs9g^*hz$M4lk6Tav6C%B1Uify7hC2btN(!TzBE z#{Kxf%;b@)c*78LQO9D;LaO?Q;;X~Cy|6L3e#6Shji?P@&|UjCL(BJphWLAy*?i=a zE{Gnk3GgAWRtTy3fVq3w^naw+ZfzSbbFx&w>nJ^WgaRbU*Un@tk|VP zyTb0etUaTdz#%f|&;ny{L?;Rn$a7!+cbBo$dA8-IKh=ky$VDh@f?%EpYFH|g#*bNG zJXZ&S)&1*ll0&hA6BDc7^4c3HRn!4Z7NMnC+195vqOm&i(_e3h1EEIq&Lu&?wh=5~ z!)vl=#}VRgcI5-{UA{@5bH(9uiB;so`UwrzK>oKa*It+!#o4Co))N(-#8}esz$YNH zKj^#q1cQ4l3+5?pwqd;m44!|SnNTI~dY1h9?VrS?((QzW{I#T8d4gM(ez~V_)xdJh z-rfUF3Nb}Q_#*Xo(YX}c3+Ai?Q0S;qI=6c75TQgtglj-cspaMwi^}EZ2YCn;Mn1jn zO1GC!v{fK6TP*G^lFGnpGEHv5LLnF($k|k^ujMn7b-)c_Tzvp%Yj*+{coWPKBjzz7 zf(FmW*H_9L-jjfywpXO34;V;EUFi&W<%03PgK@(uO@tDbY4^n>d3pGOq>>G7JN&IO zAJA$$>l2iDOxQd5MF`fdgi-BTi+=&I-d*GObWYOkx$qQ#MU%v6;z_|d$rcaZWN-F3 zO?;-V&Rb2!1p5EJ@uq`Ax>>5x%Y5svrs$C&{P=Mk=vfO6HmEv@@k%{Si!<+*XnDx& zkg}`WEk}IT>lC>>l_UwDFbv28=GkSd2I}1#IsLI27W?ZPAd<I`Qarqt5Old#YUwzV6vltKq!Z7^^n&51sZab^T=P z_*ncXz|rQg_6tju8;hljRcsLEd##)>$sQcx^Ah0VnQi#L|YdOu4^b0 z55=amlR*L1MIO(OxejV$sr$8v7TB6|)y zRHGjm^whHrgH1vR(_P_yw5L8{GQXsS9m?%}!xZv7ecvS+Atr2=mqZQ>S|Ir@%V6Kf zQ0R}$;UtaoaS0W=qyxK|qd5v6lr=)xp5PnaQW2x#N`U|Ap9UAmr ziBiZj(g#N0hgN4g3j!>HqPq6D!~1aq#vdzq@aj3wxCc%?Z4@>byycy7+eMw3$FxgF zK_c6H=u~i`e0XUcPU*<#Xx+E&fBR^bYOi8uo&dkuG`Td4NDY{h?nq`=!HnCaJ2ps> z08BzM@uosBL0I<0FAnXb`#7meS+5-kxoShWEOUc34Kz?g=I50}$Ux_%;6!crBvVWFO za~*>FsRZn34$@XEu&l{4+XX~iQ0`2+-^RNk{fgSraD#*(vuZ>V@^Ps#RV*SuI$o+B|ex3Do)g~G56NR;+PTVGvL z4jsftSz^tkg6oGX$I=IP7jn~nGUBvXUl0Dh#y=3#^<_*@Wj6|q!{7xJp_-tB=+ zl<1$7E>1jG;fQ+3H7LT0zoX!yNlU+@w{5Unz^Z)8$|Dr=Yd$4!Jh!R^$!a80u}div z-P^z74O~qqCCk_()+aE*Bwuy?SEe@_9y~*5!Up+vj~5m9{kGOn@n);Xd0o@$Q$@*s z-K!mehEV?AF0=G3x&d()W=5zO4GLoFk|bf#cFa8!+bFTGw0 zPZiNBG6?%jM|MNcX7o*B4kycAl_Z&lrpQoO)1^&<}Ff7J+!ki*}a-L=UO{CMf80fgm1<0^iZxb!-I z2Dc0Us&=fQomb_JSbE6Y&?i5VWj>pY6 zeX@wAx)(Lh6mPYdAm;nlT9D9A#9Ay}BcC=Hx^J>loD;RQ1HY8ZMpItC=!DUrjpwbC z)V)wPMfl7u07u0+GJ=VMd~T)Xx-GT`4E@{d&ZYU}#d$lrC*p%u1g zeJy;OKEga+zmkH@#EodbXP4P)9$G(W*B;USJPI@E;BRbFn!v!MBBz%=^xGK6f=iLm zGjr`7+f_!7vixsifmz0uRE@fXI-`xpMjnvCo^EfPD*3L#n#Jc2Gg*K@X-Bkmb#SG! zQ;Zg!xob|Cu~A~u-tfZm|HE4LA#qho6~7t%-0j*rII3jDxQSP*ei&@APJZfk;_?tJ zZc)f;YI`Q&>(hB9^vZ}_##7fpS%A` zKub~Nv0GgU!=NmK!2Df0hp|ZhUa?9{e^>1HRk%N1HJE-ze&GLl41MmqbBND>`x5d4Xei}v?&q=$S@oQM;&zgo;s%5=V<6`X1A%$UyUfkFwK2(X}|C9?@CvF-`0cj z@Lc)^acVAZI3Nhrn{wv^hae2k4HN!ZRpc|#Syrm%b#TH(9I+e@9#^gL^OwR|c%{VR zAN@WvV~%TXpj}7GWx?#bJ8s0xwmNzzTyGFg=lM}3(r7c<>y?}w`|seVwu0r=y|MI) zQjg_t;BJ`Rr`gKJ(<0By{{zV&wiJxg+}mKTxTk(VAW+TJs@{_u| zQeB4R+UA)L^aIY_G>LwHOnbIy*x@19XI0!=FNF*1(}&89BX#jcfQHadkOuJ*lnVy0 zm0nm$+%Z2I4H9mnL3@rWX`3(E^$f_)|I|KX0@g`X&chVj1?MqU<_z0v<2`FeQw(&rY*h)NJrlDW90QNJ#+nk16 zc_86xYt3;{gGw~HpWd&vQ@nP9-3>Zfxjsn12!b4Qg#kAdRa&FJ9xqQ-&WQyE2r+JC zAdcwnwJ=YL%FGL3mxLy&EJJ{xOE>;BS=y-HGJ$^| zEsacJ{?Cq?QLzG#{LD*}N@<|?Y{g+a9(O*nNOkFy4qdMAg(T0g{!1NtCXCokqE}%v zF|Y{`UCshzO@Nt1YF^sglhhhS@f9KRTiIo2&A_M0d|t^(rNK2w}r9~a^=txL0?`9@+}C%%EoS{ zez@6;0}8U~23DA_21ULzL?sa+`ve@IjBXhk#WXCXwK&URFYAhG_Uw5cJwo=^Yb)SA zk)FRL4iU>Ix7Qq~2_OV&*3yDmzkwFzOf?OcsPnfSNgvhS1k?S zdE{zgYH>S# zJ|f6+OOV5_X|=9j=KS#0hgbRj0tQ>1$(Vz9Dj(U+~QjfByfB@(q3Us;wl12LAWl?i2VLmivf z!)5{*n}S3?OYSN^tGf#by5A6o+g=p4<^$WyY=+@J5hmH4UeOPV{_CALS%#R|3{?>Q z8qaz*{Eqp)$>P_aAE&QYhg}a?sMuimkR)p~8a7d4#JpU;<}T!`Sf_gNJXp!|;*!T5 zdq`5C8{jCPg`hi5cOgslQc()}wddpvm{ntFM4N{0Y%CS;f%$(lc#F4wylz(n98&K} zy)_rCKrYbysgw{*`1t*mdK}#EX>J8l+EQyf{oRdI24W2Nx__0s=^raUEJ5}9+=;<5 z()rt6{FMO>udBlOs-{dxnrB_l6>(01?de~ja+QPtMt~`MtZ#cK^l}h{R9-#(&o16> z5unSs`WitFhz=x9>Oj3?S(v1@atL6OHct$bwD^jNVDPTNqOkl})5loI8?o(i<$qj# zQwd8~cyD9#y}Mz8zwchse$2`#;L|%*fj_klQK82A_--T$i$#G;ppRsA?N(vqa$_&c zznv{y2gssiBbAf*v6!Lt+cYWnKlpVBCLxB#<(}rM=YH>%bwWOZGT$O%67aX}i zCfpR{;N!!v9zrR*Q=8NOu>q(x(BN{5Eh;rPk&vGGNm#EIPCCkMxlCTLZZ#ACuOyu|MH{vkRS&n)p*dkCu*dg z{%Kl6N){e7rt&|K#ohM+Ip$zJwL&x!hF6b$u5mSVu$FOfKvq_6=@Vn~-jZ5Q6&tHE zNRNMEOm#m^!wzRlnu#BmSlaj03L7j;eCCbcRO=d(x01tvSVtM2^>C@4mpnCrj$C{( zWDPd&OA2iuoV-oyqwq=TPULLo8;Sw=yD$c_zV6>c;_TkAx*W8-_K8{#9wPAYc|rDY zD{pkv3WNkE|Hyabh2mAQ6fW$BNQy)d*JPTAk7Ka|k-~+%cG$j8su6qcG1=PY+;9C( zD1v2I?~;52Hxxp1XtOoinF+Kx^CVPM2)RJSc)p5S*{!TAls13K!zWBSR*swQ(}6N; z;oEfwmTF~o<>;kCd6HfO%C8MPFU)uGuCy`HHE)fMOaq9aN_%DgI7)pO1JEitI%9a~ zf`BlX66QD8q=jtBtCN=ZZ{~J=@jTf2%t#fn86GSB$UVFV zu=X{FCf4bA2G(`pN`dE+5>-q81I-l!hNKh=Fs-=PZ@leP@dt568Ll>v5zT!3pKHrF za^kbTw+VWOXcc1-;MF8DySyz`HhvE8eHP=FQ_e}XoL@P`_QBh}^W~VBn(Gu-NH*7=c*b=|gr zd4p{q>m?ak`LHVGJx7E7#x;~maQv`QR>?fYak@j>t9<*W8M|DPAC_oVh~Vdn7RgpS z%FEatvz1(3ZjC*%?cZ`??j{5g*HQdH@~;MO(rVg4fR)7=02+$)Vj@_m6ER;izZkbu0&4yolTW0HQ2*w&%1PjE82=5 z^7(@7N_@*ob)3w^-cVEfKW3oNH=1A=?22wYzYobvw@`J;vu`mpmRHHt;njfrJ~KDP zzNjlyCaVMaFg16tI&^f1LwxC_^m%A2aBbe;+~>#mOTl^1po@0w>}KaBy7tiRi5l&_ zb`dI^2Cw#Wk=5C=ObOek*wAW3cMo5sqdaOE{X|Ut!gEf#y*YY}MUnxoxZeYq&=mN) zbV_=gZuuqY*v_mO>-Eju20Siyt~fWcv}l}a@P17EQnTzC($q;pvEwWDq>lfzw}kA3 zWhYRyi#cvpTF27Mhjmq2_WcpB)Fhs8W^FX5ca1-9?~uPyDx8ejlZSUz)E4UIZ3@>=}#gv93Vm6IfUX2-Fjqhw1{ktP>anLc! zjY~4|Lr!lbItNZtL!LS1r5M6pj3>T+fvhiPwC)$ zV4Id4tk`iXr2w=jcZX(NdnBk z(b#;8h_<+xlZz?TZodN5+T>rymb;n2FrhL!mts{@Fzv%{%L2yVfP=ehd&x;@hV zA{LM3aB7dQsT)Q`@wl!S8qymE)qZGA`xsYTw`s*8CBEU8S9c>njmlRElFJB2w;&S! zXNOmL#->2e#F(~dxA!o!Eje%y|}IF-2d zaDQk0j>w5Yz@M*s-;nh{_Xj4`zIa&o!MIslU8#Ezt0m9Sgkjoa+a<;TZu*TCT~e(w z!hk9TA>9$ExqaU`#r^6#popQVvb5Mzc{bZ$`lB_2j@)ey)a7UMZ+Wbn795-OW$(XX zeG>pH=DF4X$>|${z*TJK(q??Kc42%6b}S(~>i zlSx{7)`zaWM>|j4!5-_B3s{LN6$Y@nBXHyO%MLxo<2r??a_lyl&~r}Tw`~F z67nasOzFY~KTClERb=Spb}z)e-t=B?U*XO^qI#Y7@k*Y4!ob!tj5*lPvXux(9wsSq zZNe^bQVUM;iNURtL@pot5T?zn#>u^|ReYZGJ1PLUVf|9(?^SCCNghB%?pl>Rm$iWh zr@Uc)tPolRbqsN9=dw=S7tAwF3=Z_UVKEtF>Pa1xQaTC!UBWy%*74@IYM!UZN^u8( zX;5sz$(iwy9WgZ6D{EDHKGadN)JKMf&vO;+l$41F8iQL25olJOQT4QYVoSqyxDTzI zxq1^dS~f>n+7eqf-v)bqaS(ornt4F%?qpK;?|l=>H&K`c9zH`} z^#uDRdBB;JY!D0YgJ9_OF$`)m4Wn;`H$@u8gDE|`wjL5XgNF~Zjh%V%rqk-TgUdw_ zVmvfrV!|z!u2(YdEwq~+NIGH%D3`q4**`7*?ET4p46m}vOaf6UZWANs|khR+~~u2Z6f@o z239L|1rgE4BPl1>!WmYSx%kc~wH~GjbfIBCjKg#PaSzf85(sy<#K2J+GB7fppIp%| ze$-^?RuO*HvEW|mrb>2xPsJYxqhGAW!4@gr9er~R2bz5_g%3*!)%)e}X-=Pw!DzIzG zqt^jP9j=62TUlmhs^3yZZ{`j!5HITu4H4ttV1j*m-CTj?kgUp)fF}y8g#5elVdV1$ z)MbL}C!5(tL#d5QY-Hyum0;8xYVtiKBjk}@< zaU;$3MK|y~@**vqYii-s?zE5%q&5_CHf@UX5!D<4f&Ct1zMilFe7$*cR6b1yZSE@G zGiEwSrI!v*3$Y~Gu3eNgkP$va{R`#&p}`58P``%9a42aPYw;_~c;4AmZ|zYFFDh*U zj@UPXbE80ELDkO0`3hRY_-m4Hl)$^YSq7a{kht(Cj|WczL~w{#z0VGyyHW^J+!5IC)IJ z*>TUZf;Kw%^RTZh7)m@jsg!>k{|M1c0oU9MZA69{cr>p93W|dtfFa$pZ|K-+sefAy z!o0zGsji>ZUmo)Iow?>j=p{GruXKfJhk4YFYN|_xwu64dcCGXzN?se^ZJ(!Y#2DU7 z(pdMOP;0*Btc!yL>==l?l2+bPAxpc3H~`j9yBJR$9x=7k39iDF&8AaE_x}|3h2Q1% zmgw1}r>C>b!nz6R|M-o#H$THW0vgE9dENJNR=eSnN+z1%==rs5LmO$Pgtr3$5O!bC zio*O-5=UYNuaQY`q$xa*H4Ek9)X@$-SHE5-qB+?%3L%N)dfGm{mzDx-f_r6b0*74T zPWWM%>TK2XQYI>1qvN{BqCmEgkUXi@K z2|9g3XkS-D*I=NqA59ycmj9|%{)r6T)HO=NrbAVM0gT=%#$uw(#%JdOMc(>v`piXg z9;l*o9vJXSHeBkSK%k$m+v!~WtFWEhR|+Tbm?oo}Bg$F2c~st=Y+p!ncm_PV;x=i} zD=Y>j22aVU=nPZ+Gg}eN8P?+Zsor_o1NW%T>tq?&bEYQqsY+uK%9UOpF#?jm||Z1?D_Q@d)~Rm zX&-at;u|WLCo zJr4L+5Q9;sMkC4N3i0QZsu>&^#WkEydjyPQniU_aXxgK3x@x7(-tdt3Aq{oSIc2cN za=EYe#~uheB9(kp!w?H*+NyP$rGpxhwuLA+)p&%%Dy!LV)%bTM&ui;UpV;LA1+_og z=lghF!1aWuM5KO+CvxJX{q89-rwGWm^3h2cwMw3_{R!WZr_Fqk9V_PXw@VInUGx$h zR5{mByV;G0!78s=L?rAe60fwu22b|qaHsI>7a`XxlCWJM2`i@2w!d?o^EyZ7q z#?I|7cC|VX{9nEXVEV%BfX&CO;rZsB!ajm~kCD)=1t329?(KJHW&Z7xJaMP-xy08o zp#2rbl|`Cm9^&ls!>(a&#vbWoCmJ3+=TL;> zl*{Cyv82lW!XKe*)ubSPJguebk0O;I+oc^q0rAaBB*tz!V>|so^5OIUfo_X02qoJ0 zS&|w~vQyN?A8#%!$9{LVhp@sX_$mTJ^AA>v4@6jljm7^iaqr#STW=6?W=dr)r+K03 zkn6W^Dn$kt3M*V5&*Y7df^JE=AgwUhR5ZZc6K$NL!_^B}z;-lE<`J~!(URG~oA~Wp zx3xO?y4-q2g_KKvntL0GpZ{VRARLgsCd>FN8Y|l)ZId2Y@HHBuZd2!8@?y8#P`awo zgHb5J<>v}!73_C^!+3-_dOG>kTwB>{%r@SjBd~(U3vxoA{alI%5|k4JhMA~1HpWc( z^fm#?@)`0AxhzXTHyYJnxgn;RPeyxg1@ZyM!mK|G+H)puv(gNUmmDzp>Fcif<9NrZ z&?+F&3uAD9D);@F3*OSPPl1|K{4h66BJ$FpwX^+s^tVzLbDVhxV~1Ys`Tgb0MFyzE z5E$Z^DPP5$Zej#Mw^S2`_hQ0c0?lr}qy>zk>8J}%HtW4Mj=!jt1K0~SFwewVlh9V@ z?}7WLUpUZRa=9LSRydQ5$dSveY;(1yA5G+vO+sSd#Bz^d&&P5Z4?HY@kULs|uE<6&Z%8{FbPA zWf$v*ayZ7C4~ltcT@%@dFav&$div6JCV?mKZ<6P6 zTVB~zVFq!~5wv~s>0~NTNkQ9#Ilz(W;%m|(O^dAEo%qmB*;}xuKZfvJzE7^X0J4gM zH3bRZr&OAklB3Otu~t3E_?|c_-(aVTI!Oz++ML<=pB>B5ClP#T^$UNbMB8l{<)m(Z zyl2JI{DIl(Lgk{0?jR4qdRE9^OiaT|2B}6#45f&zd4x%RtdvoIWoQJ;X^{ zCFt%{q2XLZibWuBNTpo8Pdmuq!DgD3`2=ug<&Tg%T+Sj`xP`UeqQTXJRw?*hJy~li zOQASAUsi3E8L<&5VVIin{bwJ}dp87=dSSmSPK0P7pV&YFclA#ilv}m?|D0NtzZf-9 zEr<9e;A!9rrZr^A`ANVCQTMn*)p?zNGv}BC6+8U>9grtdD>8fFZ@0){c$s77YByQ< zT%vri3APe=+iv7*7+iU(qmf0YTqu%O4nij6;sk6S6Wh6OX}!ErfzKi!+oxZ29ntS8 z!C<@}XAvAMfOmnObgnjh$Mj>9Sat!h0Onhprjb;cNq3001d_C2d|!a=k}I8$Hx9MHGR%X@4)Xf0ZnqmXU>RqfhTjj`Gw~nHbUV zTzCd~SKV2(4RuCsaMxaxEwAN1?(ZQWz-6B#R`+^#0e=f};7UQG5*=;Ya;{pM!NPZ# zh!Zl;$+mfK7EP|nSGW?@jdJpkPEIUQEx#SRq~uZ$rsY33HMomdHc?fMacV*94wDJH zCjH{Kg1Eb-Q^r{QInyZj`;k@YzgMx5`{p7h%52$cT@_N_?%iksy5>$w!KERZB)CLR zcDO7|^#WdX++lwdBD`%;_t~H(}*;Lytv$`q?sg4A8Y{iHaq$-e`a%VsbThFqkY~d+mIK*Nz58P09 zxC}2!0`@^N+MsjK1*m9LE#r=n>Go5)F&>*kr){PCqur#KWQMKP3LI-65%8GbzmGw9 z92oA!P0Hv~(<6Nq){5MlBtV_m`m5M)Wy>ny;>hb%yB0S@qY*acwp+xF=&~pxh-a zDyPfuP*2@;>R$^(6$)*s)iUqP1DqPo$NE1xf*w{dQ$gZ@C(?==M6i&1PmLqZdDRy{T4YL{AaEd&iVmIUK z%>DGQy`&ARxV}g{@2U!Zk;QnoesAfvFjB=K`WrYtgx^qiZ(;1N6z1fnaR*ctC@T~0 zNR@^*aH7|oyx#a0@N~_SUw^p#%BM5ncL^)IW<>B$9aU1+`R^3upTy#gdCgOYd$OY8 zFS1ps^m(IJ_gbSmp zv?aj$b3&&7#0{9njhLDEMpBYA)Y23-zvBHA|Dqp=X0QNtxk@J)iq;qu&V@@U9!eF6 zWQ&^UiN%Kq3Fh+tiP+C-ACtDeXvshZzZhmk{cyQ@#PMccewx@M7 z)*y-XGBFsWtrPsYLn^t&7q_Isnubj58VNIg|23|?aOmv<`WlaYU~qiE4@49s@J^+B z%Z~I_qCD(&fq*UbK(*0`UA)y(gn?l+^Mv;&q2JAVm_KQG!-R?v}X~l$|SC= zjSi!FFS(Dt_Zs*HJms$eA+^%G{i3C-I#s~rNv3r7UZt&r4rqI@{%FAH4XJVsfQ_H# zjCI@zlj(Iv@hhN}kYSQJeSF}1!EP1j;+-kFQ!-2PjaO-#qRbUC!pH-3R$39%gfb0fv*yLr^cn#GB#bJF98_rpJ`Zs4y;Bfs zodS-IKRbxfG7NA%__3bmy%F4G3ZO2U+%Ej|YVZ-K z)b5x^?@LTA#cz}2__9j;A4bjgEwb7tfYc{l(gW@Q;;|n*j7cKa6R>oLl=7UFwiW!? zWge!a{V33BBR#!ZbNucGiAT^)5Me#^ut3i$-eQH-P+oHuVW69p3UooOLFYV z#?hUwB0#(NbzfA&?(4m%pmAk$9&aUGkjmRyS--=l*&ngV_FjKQ&gAa^Vlh^&Kwh1@ zHJqQt#L72YQ;Ufb4{nFxZm6wdo4h6EeV55n2$)x(o%OZ^o%`>t$d^hxTH)SW)X(E_g;zoF6~8d_FL(71z%RC)J0%T-Y5F) zdVAQhX0qSwm}!s(?y%F>r=8gKnDY4NxRK;EB-G`x-q8B10h?%{Xlzfr5kX|<-Anfexn1|=nyZ;&Fix13o ztE3`OHNgr+a5pX&(r-Vc6A_ZTMk17!Un=WH7}={o>LF_ z-TB(OPS2;Qu87#TkUr?qU^AVBAZ(y^cVg!<#J)R=vaZRR$tYh)>{Y&HWs0IAA|@ba zPhK^I$^fkI!;LSlCR&$2OUCT@otdy;+MV{sYE0r_o+|7k%LwsV*}GM(oILw_F-()WtozBOfcu$2u%8>+y8*&5^jm=6FE_7+l;J*iFSefqlafL_%>M`+Jsr1ylX7jlLE*&yqLIbB#8cIJ3<^1~B+Cx3z; z9$uQgBUZOZk(-Y1cj|X)SF>L?&+&f{r>qT8jloZZ+``_T$OFPIu)BsZWgXZG?mv(& zUVPHRs;}W1+=#ZdFM^@ssYU>!!*1O7OYbpq3Gu;prE$P#-E^EaqAr{2-nKE5;grOK zPU7Z?M;W>YBspCOycjipddfQ~-VE1C|IJ%~()&blSfN}0mA_x9;Sb`+?ZZ8ub+z@c zP00b=w$@ckF^bHOgxZV31%YN6D}f=W0abFObbP_sV@j#;bVXznjN)xHTCg8OCEGjz zj7;fay?Pq#WKwkuq(?wZkV9S@DbZ?)ye}$a=DMe&#yra7jznaSkeQ;B=i-3G=>aPX zmhVE|43X}^C@1IK|0UI^26+id#%v}$rGFuK`E-i4&j2$p2?!=~T!m@jTQ8=Qo4uxu zVVe&!5zlCm<(x^f7nU0B32h2%)2USLw^}F4haShH4O;2%~cyrC!>Mrsc*?N#sJTb#QCaJ$RVX#`06hi`OGo;T0RYcE>Nos3@rQI?9&j3|Ue2xfMQkF;l(3cJ+{n3 z;*rzLMgv24iV4g*cFyZUd#vf@oY;`G-+)bOyJ0ommqrvUnly;c9cp<>UaC|e!njVl z&eyUIdkX8hL<9mbX5K(YCnw0zBS_1%ovdtWt}GJN%%iAdCP`1eu2$(QcWUN|n0D?+ z_SP~4k+|L|thLbp6OXNRL!& zKlXN`pni0$ppUsuP7NgOoi;*JaywoKHP^vw&{pAzub4fH!vAsP^GhF}} z5&7gh{RN#@7D^2hu`rZ!o`!vPhc0z~?@yjNA7AwBQfDTQt=7xn zMFE%?*NA~w$mPwc*-`{Y3CsiyAWz|Wew)7^K8Z~h6QZU@EiP1b+B!%!Xo6r{gl$di z^Q;)*`B-k@^v&snhw3`FGd2Oq>c{idl8X<7w{d(0f&nC(f!0Q$b(1y?7EMgt2VlS0 zdu|{Ebn2tj_qh03(w;Zdu4TbHwU#013T9#AC#-w3m)HlzZNG89OsglwbUcvr83#82iIHQe3vQ^c};}wrs(Pc zOSuxrX}EZ^Z@1BXpii9$l+M^jn~saE&^6juM1-BM<{y6E;eV3kMiB7M9vrO!9Sec2@a;`4*n^AIP4wh)Xn3df}B(3#%TAFL>hu zb6CxBJDt;75u~9t@pffA@W2LE`b5|Gn;-*FUcMRbfrxO(f^?qoWWnpsQ2TYLB);{^ z&>eIkiur}8VAaoG2-3pWEXi7l`lct*ayZILY8Ub^?9H1?jlwdc-U?%Fs)pFm93VT{7qYZ9N%`khUtr*L}u7XuMt z1uuhY4jV0+h$v1O?$18kx-$-JMdrM%Q4(~ZY+#RQDm0lwG z;=XZb0Qvy!gd11q^6ET<58X|yoR6!U242~5|J#&q;eTArHx`07r*3^!NpPzhDgiF^ z0-5PU`7D4?PvXn(#D6|Geyw|deJr_c=d1hV@S!aHa+`Yh!dkp{02WMTc&WSZ(ex{7 zf7x5_(kvN9R0Qg4u9>D%gI1s_Bq`H%PKDPZ?5y|P@O7VF9smI@`oz)f3)G?pd80P@ zX}xEidw?k=nRzKf4OrIvQe4^_|I3;oT|v~QE2f5;ZJaxDpnRc1#diw!LPun4^)wMT z7IGS${{z);>zGU^G$}zSVA3cSS6J@m-#x8>XYby5!K_wvSLq%DPx|XsD__RDa<4oK zr#)u@Gt{mKxeBtyB)o)+(&?+_xVB11^_uA!dhBrko7J;VIr3Du19^VZ;5OjyH@1RdqlI$aohC9j0^`Pux8q!+YCY*Q*Hi z@p<#D^%49$4o+SAul7fBCC>?j}Z*<8Hidrtnu!j@CTRcqHbf6I*! z@ad|?0dGJ@2Sav(lDRMAg(iVXg7wX7fmTRva4eD#laf5aqb3D*h&@^>5w5>z2aK0a zY4Sb$VI7e}0u@#?I^0mAp+Q7w!CTkKp8e>w00v%x@K%#6I_$iHM0tXgPr}ujTCVhR zZ7H!0AtzkSpya(!4O;s4SysOdH}QSRFDq|1L@NG$&yv3=<4dnm!MMuW9<8k@@ApWa z(3``#G7dabQNfaw8J|?Ffq9TzH{|9nU7o%G>RfWkaW=?xqRf;1kyK0;(~kCG8BL5% z8J_vwQxc8#V*_UVm(OX`&nyV=wUgtkj!ggqR{6M?^7<+_h--!5Zlk;T(&8q$yvXM% zTJb~pGLy*Xpwoa-tt~4hlqcaQdV*Lw`{+_Wze~w~#Gjc|CcMGc0+jvw;y@bPMdI zUa+t9mRCLmMlUFb z8MaA?%>E4B_{bO!Af-0r_|hV|n<;^W#OnCj_5LH`Qn;VJ0?$skNJY_G#<`TN*K~n< zC&v3Ia^#yULV|}YM;~%e@Qw0mT0gEmb>cP|vO8z1vMGUQW62(*Zkth6n=*^+oEEE=#^&z*5ZZ9!4^XNv0Q0R>7*d_MSzA`-t$y+a$w~uv z+s^}T&NG1Yv?c02TfG&B)-K_o&~}+o)ECp{ zF`$>XpxsbDdi%|!Rh+rz3XFe-9Cu*) zi7g6r1TvT1*YH*t_EtYS8VGLfGdqUIy5>hLoGH-F4-pQ^9I*PiHK$0Qy4&PIy+))d zql;j)PY-Nww$$Jm>)DfeguC+W}6mkzuz}9ShxaePacW!>!YYUOA;a@ER&`S` zM;0xgBz%CQ$I&nOTJMPG_cxIx&lNyd=f^`Go9w(Q2D|tl2s^EsG`i}qq>j-!7Ll77 z)C6yU!09A=f=$q9cdtR4$xX%klCF*zCYb2Y;*oSlvYtY~Y|~OuY1@)m3s!s(;T^p6 z7g<7Xa(q#O>i4k$LZN;ir)xG)pfz(BpeNkeP@4lwFlPlv@yE z52YdWB|gpfZ~jx+Uqs05k|*P%4)F{hj)#QD5_g|U1~}2COW#_mh-TvTF|)afu7R|R zjys=DhzCeW0cF&R%J@9Tk19mxd%+I#!?|6Ge(b>MJ%9Zm{&Ox0ZX%oNOKH${PtfVZ zS_Uyx-b;A9XyL-;TJmV=z^=(o!LOqoWDd~B(<6Cu;P-xEKE7&x{IR64TbhDp5t7}Z zr-zcWWcpE(TH=FlNIbRB+lY&FYm%fp_CT}d z$wBM}f`km;x|$g)5l9>HQxZR4J6iGJYeG-b74S7N`!jJSP*@pei!-dLxc;!&BB4)sqib{R!>952f5wjIvfU1weN27rrG7meCQR(LR5 zhGMld_no_wY4(pExA|EJrG3IOWa_9~>G}QP-hBG4B0%soy!okXrqTI$y#ldc_agZk zbo_AVXGKAP1kFPPCX>*pQ`$-|xbNe~sg#3mJli6~NsK zg+6=PT@S;=Q@^Z4V|ge~+eL$T(PI#rI{x6D;ELd8_~;m5BHpfTx~0w#t#LZs2^xt) z;|{m~Os!Y;Wz}xv&cBY7DD9sa(m(1_<$mK!P(fq0ZAMwQw*3%Zj>D^veb`EoWDmA~ zM{kM4h*0sMa4U3sP4`A_ST{vVLxsa?A4HYN57O%W8IksZY_ro_KM&Y7b{xGo@~6-N zWs-u--a3LTE4YNSe+3BZop7#tR%Uu47slsbh^bW#3B0Xqq*d@)9ImBz*#yVI7UG~h zEatV@BB4F{>5tcR%l!P|Ep0(;!Hw6jOLM$OCHEtBYcIFJ_$L}~?LR=h{BLZ}x|Z8f z9Y|wS=;G#Kv`b#%rLGIj)knMx2pr1y$l9!4_Kq-yIP4n;J&!zmY_qSmtdqaF4geT@uzT-`y|&D zi_mymKz4fgl#dYxuHxH}XoBIy|XWHMv2ge)pXAE&c-9_a})8$jMo&_b__X%O&X=vWv#)0wYP5C)5 z;q-6`|4&~4LG3m`vN@!|vh|nxU^{;$B>o`F-`j164tg9EuDTfnsD3yl=d0+)`vM*d z+7<9YVZveM1TiEA&3nD{`K)$&TOw~rwwx}A=Zh~eEo7<&(eW`XDJ&!;*VV~SY^dmH z(J!lSCmCiIu|W8Fp6g%1{hiRVD{IOL1qR2%E-{+v@+(k{q;>t&3}NRhdY#Ljvz*1p-=8c1^q!db(Zs%Vr)YXVjIxEU(m2Pkl9+jK zl?pD1bGYkKqqi)GlN`SONU^CTlwyhD&*^v~q_0&PGLM~IKM^cHHkH>x3MY$dn#@~z5YH%a$wKj#bjlvrtt zM&lZV2=Ir9)&@(^@e_keBAd@Wm;)G`g84T-W7Lce}R|jJSvmZ143dByZENxb*yX}AwXp9{&2=6z&v_rBVce#nR$9k zZU|od{CD`9?tG>GET!rgk75$HD426BO@i~9A71f4kc|m)LXM1J6cS7}@-!B<127KT*&f88HBx2NUBeUr6;KK zH>4uCt|l+Dol}PfrdCpV%r05LYW)`QIinZlSMMNe6+|#P&Y}^k?JL6*IUYqcZ&)BJ z+;BDKrY&lsa}}@|89x$p7O}1w!-juJqlGf~2s+??S49VfVW@Wv5 z4Y#gL4xN&f*po|}r8C;+6a2mY&Xb-vr49)cUDma1dLAgYnfo2K4&Y0Owrds=@`m>S z@oCWYT34Wi(c6KmS-g5v#C|C=Mi z7`SJLlbKi&sBoOm%^)V{mIKWET_G7p-aVrHLb}K|sg(Cfh%>cnP7h zpe8##i;1n3uftqJWwi2F6CFHKl<57msR=KG}5#4UI%OLIq7@p6JSg zRgQY{ZT0>G>DYkT`w|y#8;83kLINJmnX&l)E#TXn%RWyQQnY=n=kQ4}1SHEAW-j(L zn+Id0l0G-ZPx$qb5ZIjcCGmfI8o?GR-~T{Ln_V`6S4te%0d;qXWyhUaKi5fw^$9mp zb7SWhN8x1*l#AE0(vWrR#^ByNQ1}H?L)|`q&)j2~hi#Ed8J^?I#*1Q}u|s`HIchfV zcy?Qw(^_B6+}v|yPcB$JI<*MQrXZKa1VNVTWZHQ!gcQ!uyd%`wCz!9UA|!N-8a8?;tR-J;w%ef`f< zCNO^f*b4WXGI~dEXqP?A0J82Es@2YdE6!NjKeLfzFOgP`Gw-fz0LcYQA%NiJS88qnTiIE6HjV z$c4GI90jT<^FJiU2*ztxCh@rh%DW$WE@un1k?aq&Py>M7UaKO}RrA}Y=Jlz4^II@VDmtg2Tj zbh-AqbW||?I9;+O22Zw3D9QNH zgbCqnE#%`PV5_?GhVf$94uc>~UbpEle)XlR^BL=^6YIJ>izVAiA7cYwy*6q5_v?kw z)Z(3n16_!#ul~uu0&7xJWh3-Bi%*+Q;8E1HY*qyWf4M*gFEw=fYv3#op?NT4Ek zSFELW4Ck51$g9t!-cNgwdaVAzAQKMb?qmIzRfd@EDjI(v(OqgJS~(hW?rt*nVbwCF zMIYDxY(-B$&Kg|z@c7>(I3_8y&utbnZdMW| z?0F>)?gNPC!b$lkM~bha;m85+dO~pElUz#7Y^`3++fU=>=}}7|to0Jz*0Ll~SjS^Y zJ(Qm1beSXqaz3bwQGKqPE%|Lf87km?iHsYblD`8CfxiO+{3*#K?sX_ZGO7(@g=^&M z3H+_N+7QWP?og1D0pf4lw0_6!VlTVjul#E?w>iLy>oA|Pb(q()h z+q(+iW}&k1H$u%4@nY8doI%hb_gda%Ef&^E)9Ih$n;r?XBjAc`Ss zDj`M7$#^4nKZxDPNS9(!Ke%2x>?GdfmBq{i3Op_@j1QKeJ&2hCHUaJyGvwGZv0hBE zPcr$}xY<*;6hkLh^%t}1q$-<1@r^9|tCVlyoBRjEUVp#ec`MGX7OX?(Sn}*d8xDl4 z9X|Qi;M*BK*4_h86m#UI<&kcm4Gs55xEPw-7vWO<3dNI&j)~S7qAd_q;-JB!X(-nxGoU3T@t zi@Q&`V-N?ZrL+8#?lUnm{xJcNgM%YAi+fZ8w%q2v>zMoc4JxGC=XI&ROgU_zayybB zV2rlOfPQS|t#$5ysz7X^i_2YVOkm)(pvMS0UwFxq43C6$sNYlq$7=di-Wjdu&dn0Y z_uPDMTHYry#7~j^$>Rl z@ukh#iS~T$_^IUl2CPZ5cLuiVb2R@D)rLp}lxO_ztZmF?X{HvLMX?m`1wVE|# ziS=CKg0god_2UjX$mbh{OP3oiUTzBP66}<ROyc1N0H$i9Tp#iE6CIZU2E{|p zz`4|p?h}o{;1ZrcwP>eC6JXqKhi(^agafsnmj2ri~YP z`v4HB!=gvznC6l~z*;(%FC7Ie)9>FISnKKy} zR)?GnSg*mHViv1@AS9oW%7)bydIo`C4QD(HPhu-&c*-yufGAHK0;>IyIflhH8Z!s3 zbv3)G_0(ge&gp|$=PR9x6jnVSYlT@Fq15AeFn<0|d2m~f*5N`F%O1}McFsC$5jn5@~WrNQ)HG4$HZ7UEo z4qA4%d|H}LjR_D51+3q$&9mP!eeW@IfLnE#6_HARtMmRIqVKFQ*f4j!GyArsX>4O~ej6^os&P5ZsJLfqX9 zqI1=R06|s)| z@C$#+5Mm%#Zk2G!CFF>JiP+3(5=$XRXWkFaigXD`JUqmoAReeN;dxhw1wr)7=6(xe z{9$D0cQWZ~IOS+SPCR}Ru}?V^s=wj;Y8R=_K!fph=Rp11o9Z6fc?p!VGZ*y*EjEig z3Rg+`|AV7{n%U1oT%7~hV*F;9$UOHD_U{s8@Kmwt1j;C2*tcofDwWh5vG*US5=|@L ziDf)1xff#JEt5T52CqE2r8WD4f0ys+kk zfj~2GBNgLrpJ>i?I77&t7SZf^`dy^PTS2L3i!*h}&A>GJnz7s~7V!348|k-+hzhxK_=Z@aoj6$kox@ z`245eP#ZLo$9oI@=d4s{Ks0D{>FAnd9ldZhZ0*DBiI!t4zxuhHVG)7H>Y6F=pu~4O zQLN;BTB?3l zY$)Jf7UcLVhxZNU+agk)r`W3eLXZ2-9B_`U+Hliz@ z=J(a#TqhJu`4-hr^O`ynX(`=;SL!I&^L+>fH32^|sg7ll^d8qSZjZK~d@Im@KRG6u z+)~}S`qr0T0sk^x@A-@2?w(tn zo@ni9_BpCk*`KcjvWfmIwX}$`TUmqA@qNv^t1^nL`)ilkQzoCPzwEE9^HfvoAMm&p zr=o;tRu_!fa=u9F&A7F_&8U&S({(LqKq7S^SIz?V^yGCrgyLfbU6`EvN0XY^s0L_i zR$n_XfP$7*gt#=VRIRPeh<|A`2?Y^%Pj;$!bpA5-+|DvS=|x zt^+!{^=K0rJZBuYU|xG{sIdD0<|6q)=}00C&FNN)qLoMC_E&o!jG zb7m=vps#=5!lAXLRAa|`*j{z1G4Qj(V)5r}cD?2|9Jlp- zPK-xDAC>0uiK#YPld#r|r4HQ~e6Vrza;E$>>W_FjCA;ytpf&h0f3rgYiitrqLfgLI z$I!9=UhHo!%S5W?YQ#1$LsDNYSR;E4UznSBtJ#!leo_i-`MwC2zd;Z3OzSIW`r$~C+>Qf7}Wrd8+T+c=m z|NNGVTf-^m#P8|4q2{<3LiN@MZ$bUuT5@A((}*n#*+o-ep3F+7H<-hasfR;0<-33T zpF>G>uF;Z1HZAzlkpsKty6V^Iv)|WF;_Zu1uP1o8Jj=Z)lF5M>8mNVqU=lhBBkUC| z56p8LQVXJoQheofFEvy?sZs=< z^qzBrfI@KSIQIQN?g7^x-bnd4ubEyuPXI?Kl$opkeBxV6-}CBRB}U*0B89 zl2_6A^qBL*!p8u?W9Qy3CMM!isNVqWetfseDGd&DQd&PM@70jGMp@$P!=IM~Ml$be z(fL~Y#pufPwvX8e0B{Y2xwY(lEU3$s-05f$U{}3PwAedjQ?HUrYX`>0a-8B596q^AX%IaMy=d zs)<`dT^RSve6=1Xf}rs>116L z!ZjpXNwBql^DI?JV9$ZCbG^#OSDZZen#h1st(X21J+1k@_Novrb=js*;3tfS;ShoUEluI^*6$R4(_3 z4qLK4^>2RUt|U2J=`Ghq!#e*ph_W)Z;IanG*|!YY#P_Pxo2_DuJQIAq6bwDe z*eO!4xP%zJLj(2@{CYbMod2^M{s)>e8tVQEOjZ+z7X(!IK>;jZgHmJ0$G84S1fl5o z70x9wvsToQe4(3{yOkA)!)m&oIj`L=a-3|0!%Ig$wTNt3JT?1q%5te-k!;2h)5xqD z)85lwIQcoP;CTR7rXr7^7o>whbW!M6;Q@=MRgIJmv$&y>mrM&CNpWyT~Wy zWQhksqC7L~G8*>wz~v1U^j0;!a3bzyxkjVM9UOnNO%7~5Sq}Ys8Rs$}VgOPQL(Ia?EO#3Ks1bUKjM|#~&&Alk3mpB>3OZX7=~><>}uC&we@X?DW>5cn%Negt30 z=&IKpy%9OEoK#ENX|zI=KBzoX<2V%OkfeV-_I5DYZT?DW7@F;6PV4|o^?_tx9DInj z?l-QtBQOOxcUWuOXoyx{F6aRwFaI3_{v5qe;Yo!d34;jc&dUHT#JH=r3Diy-xKY`7~@L25|DWp*qbCFM@GA3lLfW!iJPC0lPt3R9e?+2kM^E*FOGG@>lR-}2wO*r%j z0#8~u6_u>)dY%sqiw@k6*fM<;@Txx#OXQjNy3JROnyZGI>%&bB;aZhT?|CneFF9q~ z{q!MK=-aM+5`VVS3BCxR#huWuZQ4U=v2orJjyeJuF`K@uB$KY+o5!+foE_Wuq6az4!Q7CaigrHkmO4s8cE(FBi5?&yp@7H2H9{0EQBQv@(YcHArpbWj)iV=4UC zB$@^kHm?A=-qUQ4YDgH$?SA3(j zmA}+|SPlFP$=_`R{%zm0<3UT+pew^HCbxsPhl}%o3b$r}DJ+JotA5N+2&TF5$qGyi zWtBYG^0lkTvqhDUa5!+hPl+1;dBMfrEWdehgZIusPnv$ONoj8j*f}x(lU=SBT^9#1rSm4fo5IZ30wI8@WKLOz|!yr zNKOTP^zjwX8x#EZ29JZDFlhmUPH1z6G7`YqOsu^_oA*QM+CPgzqb3JTMzU!`yp`28Qn$Q`K{md zPqKS`FqS~`-z0Bc@M&05-Ss1++t+r|B+PIDhk9cC>Y5H)I->6 zL9^nKR96UUg^yIBCY+2Q(o4)ucDi>i^n#U-l-=9oxJA$V!|hF*!qrDLmIC?vl}Isrq`X#FHR zXBf)wH(8!I7#ofCNll%DR&ahe3gz6Xcchd4DmCK?PSQUeOXILp5EI8aXj*9N-svi2gELL=D_E+J=I+%Sn6NWE!xLm*$cN4{7 zj|V(qm0o}=Gv*x&thTXT1~=mhN`}{#DA^-lIzu>=2ICfMo!oSiNs(D28YpWA1IBWJPWOSRZxl48=3_jWD{gEE z-gRqyEdfxb?&V2w8-?M6MeibQji*zRy^sw0Q|*4l+xNGZD?G5oK~^nY%o;&PfaeVu za_%lOiJjQe$F2!trxA(e;Ksg=qvhI5b;)nxA!TszX?EB zp1WZmL85VQ6j_oL4t$Ku*D9)uT!3heO-H;QPTxEb-}_P{yGCKjmF73#9l?f7b&Ojs z<{#k)`zuZKTbjFhQA<}z+XN!;GAo?-xB%d zDy5ErH?DmpyQxyI=}UAQ5XCaO@#Z^6 zOE5R@(MPJ|n)WR1(*7KxhX~(ZZuauXGaH>r?#&tJz0%XOB489zpBVJ~levUk>gGae z&Z@gnzmM0mxWWOHcBY2l(mLkoy=&sUw#7ySigCvyaJhckKr#JuYVcp{z^LSqby$I@ z-;-*eA~L-T?EpviKqIw!cg_efA`72v+qDGn`j!uw#-kzun}o`>&fP(0Cigtb zJ9r%mB;gSg){gZxrR`xVgJ4-%~R35_b(-TC@OE-fOxmz{%- zx(f{oF$y=n<-Ve%cAqXyKri0udRVHM} z?=m#Z;-i=K{{U9IL99C8Dq%x+rqJmkzGf>7`BjS2Hl%{54SbM*`%UsKXPrkq|05Vg zmlo2~ygqs7y|?|qwt6nm>vEsWFn2XBmT%vEea11=Yc9%LT{%f~p)6yNqUKL?v3mE> z;&J?q2SUAzBR8%c{QwzrD3tH@pOveRyHa`Ld05{ zIr%TQkx6#c(@={Oj4lfvN}9|~FTdvJehU{A{bNl5BE?m@_Vr7ZyR@3>)Fjs`8%1We~~>9+e&-M z&C!Lf9ujflMAQl&TG7?Ax=M*ueSh6+zs^;%7O1HW@q>b5=G;Vu@kx&dzq*Se)eTiq zgqTe`+cwtjmQUlBv3y}2bv`{%t}xxiM!eNZg<_tD?methf02gic4H%|jxCu3u})A) znCN?e-t&)jRA^y<@P)g*R-&ahLI!VmTs>cE1CmdFdwp$f2ceyR6U8oA2bIVG1oGat z^MOj%<{%mk$hzvkbn_o{HD3KRB%wQ_mTSC^GAG`ozq?R-8|h}%O}!jHeXo> zr4+=sPl@Y+Nf|-Uo-rzxs5txV8u%Y@3!*w>3Y}Csux095fh*A%^NUo5R zoQmf@MLG^aEI})&Mo^KJ1txO_mDLuXF0nR$%_GUrd`pp*k@6%g{6%r@eaSDQq=%h^{UIbPNBR?4NOV2$e4IYCy=a@~K=4L$T`tgj;q{+szfr33uY literal 0 HcmV?d00001 diff --git a/ASM_OLD/DS1820/schema/obvod.png b/ASM_OLD/DS1820/schema/obvod.png new file mode 100755 index 0000000000000000000000000000000000000000..a33ecab7c6ad577fedb802c551a337bafe4f1ad8 GIT binary patch literal 51366 zcmZs@cQ}^qA3rWTNq0teMv|3~GP4z;5VE&INhm8(NFmBzl_)F8C?c{)R+7~a)v`7 zC5sz>o$ zq6vzpl6@$k&DGNViJ$b9D~=tT-|IqFR($Cj1_uW}e*E}IIVfY=?1aT2 z^IyN5gL(U3Sc%4HeZa*TaS54IXBdt%sZvr?Q`68;)U-QFRai1?(P(8EMq~;_kb54W z%``7{m5rXfA|Fk>dq}hAN}QnHlK*lu;Y-gFjosVH~02n0wv$ReH8Dk2$YFVXA8`4*?oF$_VTHrFsUL@jyzmaDbF2R zqNVkcvIdm>muQ_+$NfU;9%G69k7=l<##LrzWtEm5=~_H+`VlkLaeQ=j?;43r54}~8 zwI$VY#l8U3Usv8-`u65n&}Nkwkv`zPhoz&Vqn6g4xVX5tZ~wI=h_Z)CDr<@-$+&$n zB81+#Lpzt}DxJFdZ{3Sa#(hXJMK`S1`@gH1C4V%|9+u(q#a7~jwAHhSgTYRpxfQ%G z4p+bRTO9L$`)J%R(dndS9+2Z)%N<97Mhm%krDf7 zqVJ>A_?$fXvb2=@=tVZVSk?cQxBo`{p}(`k>56`yEz#TxSAJm|++JNAR}aivt>bF> zZ+o?Pa6}18N=k}|xOS!<$>eTk6VU$h>C;^v<)PtW8_(>T3^BGyKeY=k04 z{FJ1mO3KT9zr1pxZ`fGsIj!Y(LdEy|V}a~L-0bY^ooO-yuBH46Cr+GbX=<7ttmvt) zS5v%8lntr7Jl2uYlXKerYgv>XTbNz-+HX*Y!Zg-C9*u6)p1N!-vI6(Zq7=!m}a?R8&-~hpYqU zzHbY&dvZJ~_^04fDuFPxGxzeRq#a>)xc(Zy#dC>HH;)$-6l@O`5)rXyJ1(Bo(%2~N z{DG`xeq&?f`}gnbe`nRNv&9Oa_M(ZGE?qj4t;;TZ`LSW1w0LX#qGF4Oz6+V_uBSOU zGOoRM##bwSX4ROhito0*fB$D`sn=PWz%=pWhXhIp&`;MEMn;SAaW!^n0!07Pikg)I%*%!y7IR5OU;*i?GsfzmfTGtS?`#KgqN=s7bemt`n-t|R$(Up`S*Jk>@kcB(Ib0JZld zGgD1n{mhv&8NTkewvWTjED535(x~ak-IMp1~J%Rh?(tCP6cn`_{-sx>_|^}L|KgX{I{ z*SFPTe{u8iR_(g4z8pE`V`1?XS9t#1xi=LR9$Y>njo~k>D%El;U%q_#?pyO#i$q6# zJ^9kwr%$Hm&!6v35TR8&ckZ08udlWBUP_Y@+0CVip3qRrXyV$RY0sJpRJb`VKB|Cd2{Ngc9HFd?_h;kY1osE)v2nq7P2zxeJU zfrt7nO*^}c$jFo0+O4RsYu{_PRaiy~J^3BCzGc<$OOG#}n)zVJ4Ldf$r1tCAukUAU zMLRS2w-j{S`VWV*5UCd9bEun}no=yx{|7lXDh*;@`2N38NUny;FL@4{{~B>R%gdzr zc$LL{(j2t3={xlwthW&L<4)h@P9BqV<2mSf%jxrQ;JVvrTY|J6QFdl}`ub=a%Z?p8 zBxjjPplAK|{u7ci+?%=lyRVJDiVMqrGS{*=-lfM8H}vM}NyX>h(_hNU%9;}@Tzhl* zvVAy`hFI=lS3UPRd+Nu*a=|MfZv?rPenCS(fk+ngc6ZM=M{yo;?N!-`zA-o3RP$tG zZ_&@?$$^6B&wuykF|o3;5(s3Ur`;9LI!YQy82udA9U*U*k97JB$S^iOp5!01w6vst zn?Y5SzxZp+JX75Pea>%B@9)qwKx>hfju&XvOFKHRc!*Z5(E6?7v11-w<9>kyFRaYW z%(8|)-DN+l;b&Vvg>Ep>o7XcOw8hQH7@L-M;lhPVAdYK)XTk@5_$etUT*Jkr$+#zK z3DjS>bCz%-`$3(|?uO=BH8Ba{z)RMrn>9Qe3OSWsnzEwjJChdO%-#ggOW!c#qa{}* zRkt3xH&k4*qK^dKG0g4D-wLb zuS4#Tz~vYwN8!nJ-f|E1C3OM84})fjSweK1trBNqIPiubJ=~cyl%0o&h}I3g|nDAfUDv*)l)Mw;z{jj$Tx$7R!3Qh=Y>T?__Y^W z;|{dk<6%{%`DRmKa+uz0;$s#be##(4i-&oEN!7Mkfon^@JeGbLL}cE*aIF5N7Z**h zd�u`wc;c%Hlm@hGo9jKb6PJOJ!Y3yW3SjTa$L0n%I5xg@?&A6TU!(V0}U;>dC6o zyYtHzj&2%q{=a`UwY9bLO$rzp898JwRXMdMy7oPDI)8pgu)e<_Rh@4wqj=Fabw^#gbOg36UUOw?l8@oSCc%wm`m7>Ixckdsc zX*k!^_}p=5_=Sajy z#lzs1%uTh7$1Yzs@`2(<$Hx~H)6>$@;^U2~;}062YmhZ^Og~Vf98H{^k}E1HiESVK zz|W;r;XynO;Bfi!T;7?SpPq7=yF#Dc4Z7LMT@?d_M5(&;tGl762h{_RwDRogWdG;| zx7qu~Hi>&r5HyI*QSIGzqN<-p$|ZT;s-Mm*nPSPZ))7hAL?K{$wY`5&eWdK^Q^&z_ zkGI$U9<7M3aBBVfb-loPPE1Z-L!lYwcW@H_On<$3 z^XBE(_=Tvj<#pe%CNK7|)gQNc{!D##aB&H@>_o+hGU;S_;=7woV(!iM`tN(W(SG!j z>`>OpB!DOP>QzB;afX)pqi$b1(qv8x#--dVXg$DlNeH_Y#qP8@NvXQBzFspQUK`+e z(LFETPmQ4Q?afsKzT=@mJ2tuL?pPO&%bv>hDRxZ*G=qOX zn+EHyUL-F%WZ+J&9c!2uFQC10o=W|hi0@f$`76BWS_4m}2$WAN&PJP!;V=5X9qQ{V zeK1}0>la0V9zBC_J5hw=iQXIKnngV+dWuAkJR{esJBO7&t_XKLyv>tt>i38>H z3k=sNs}lPA`vHl9c~$N_l3PD}*`}*xYVHTdaRb037}ol)?tQXU_AXOXQ@p%gwi0uS zv!kO_!_WF$!!_*f?D*tQ9zJ{+kg{%Qr?}aDCVMGn4SDk2A#B%zeo*p-jM55FdMMwt zGu77K&ao53C1LxuYwLz@gM)bm1O@-h4%a+=8Y-ZTjvP`)=KspWI-gc3bK&oB5Vl~2 zS}^asQBPtd6J>Yx^=mvl>3a^E`(C?7lx0XYH(P4)7>wC>;+46ZuCDIaGPkSp55vW7 z^4vOj3!@>C$5xkYr+H3~FmpsW(*d0#>Qe7 zSIfNOFz{Yg6^V#eR8(Z-ZS1x^e?G@B51g;myZ}5Z_7 zZ?BZ4#FMJ0m~i!WwNn^Y`8G9yW~qmY${s%ahS_+w(Aw(M?iXOV)&X5Ogh- zTSo_Z*7qB@Yio0TmT-z9F>1DEYshl%2LAQaWD(ust88FaG_PW@v`3EuHNiKdBE z`LB|a&YJP@ix!QF0b+omK2n%Nj>F~!S z3k-8EW|u29xpz8+S&FAi*pY9{q#n6onrKxM_-|pf{bqIh8;Bv6c}D)xui0-<1$PK=wm?PNtXBcWp_y#pC?@2kese8hNB8 z*YC;?ICtJGkyu`mDMn9CaZ&cWut;omC=oouEif?9!()EkYmeoJuC86vWFk@v&LnGPm{jE^&mcGl2;#|A|-$yFQd-tF~vzakc1?v$2I0 zmy|#h=_{<+TpMYM1ZC=dVP&DHl4o>jxcb=9qlx3ID2%!re=XW(SWbD5Q3iQuG<*rqo4aH&6Ijd*p1%0l7&|-0|fMYL%wgRKUBmkzwBxjH)ExBF~Kvq|0=k%vy2e~(gL4Ro&AU>&j zdzZ<*egP#XGq=BB zGXIrHexGZpwBGpGSj6qD>}-xC)$?rUK=z@DMMp>P8>*$Qk_hO|!DXx-ebA zX9gYTso}(6g;)C_sZ$2=QBit^hOyR#tgZ2O$!0Il6^ki&jA-0an>PtjCxjLjy8HUd zhI*2~)wYLDe1{MB3?99@GWP>qI&-dCW+v|9#fxGG4|;idf!x*DH$_DB#zUa|X`NmF zwZP&vDjkjJC8nkR*nq9XuPgGz)K}CYs{An!ES515sFzbRg%Wg1@f;7Ie=lZJUFAV+ zE-o&%%}@fZ>{8z9kmvyHG8XB>*8IMLb+D}R-5viI2v#(q4NBzN^5n^^kNZwULxKZg zgbwHL?;m#Smfy8&i;6YA^CKXrCk0}QiXi&c%%onq5FaSP2McbaZrW2b>d~^vwT-Z@e!NQzZyxZAQ^4 z(AqwQChHkwBSFu^&h9rqqWSPtQc}|Gw|`c``##ovBDZ4x*wgd1^uqb)uAjer*<2ys z1NJaSjSkoZakH@Or>%#Gufc`U>eb!wa2LonxIZ;DHK07&U{d$lSSilWpRDj2?{&SZxsl>p>fiO~7#|;>SbLkJ zRH9STL5u932>{~#ajnj&M{~};t3lJ9hRSwOOsqpso-IsHR#wq>j;ukVSn8%^MkJ5g zQwMA7N4&IBDPZIv)0hmZ4^9J84HQ`1UU|zeqSz*aC%jqBOUsWbfampr1G*)YUvTcg zf6D{a)zysQj{nw{u_d@9Y-=%d9usr7AFkK5w6dxbj3%ytb&`}kh#SIZvMH&k!Z{>0 z4FFx|=jR`Xs9#f@H%v@Sggj-6P8`7^YMRJG`2%PgT#@vR^w3 zx3SK&nty*R@{Hbij<(^~Jvw%+|I3#zrrL)@M1F&1L zayj@k!os{R!*x)Tw%5Bjd*9Q03>?zG8pD}(@hDMp586sB&3s#*`Br%ic<{A5x=xq9 zgTsMS4`k1Eutj8=COVlV+OY)%1%=s>Ix8B0NJ3j?CO=>XL<`Tl5w}~2Kjyd zetvxg7JuKx>$Oe6zlr$7ge zsOFxYm$;h~_x2t(Rw^w;$MaU6%x4k0P3UQB>+9n`e}bf*TU{7^Hx{j*b%gk= zhALY~M=-9{MqMyYTY$0Hbl*~jjAS_GdTi_h1Sl}asZYg3bnCr)_d=6kS`7K=Spg9{ zARs_X>s^UcyZ@i5yl&?&r56q)=(Oj^$)0Ug$bBP~GKH~&Yac4IYXB*Mmk_TrO4^Y+ zI{trWxLH{TUb&p{?@ z7O$LdrbutieJ9G@bT&PXd;yA zFFQ+PciJ&ixfGv$Vd>JHNhSMBD;6R#Nosbxcrp8QI)fUmMm)4R&Tl?<9x5*cTJv65Uld(Y3gNiqf4ri4h686tbly_770qzl{y(5vZkm*a9T7k5TyP!tnE)oN=tK zR&4LY6@WUH;xpmR?B(@eFLgOdnPK~Or7M%P%{(KWo+VfdQ0RA-1(v7Q**eTbC4zX$i!Fge)lT8Z!7rc1g_W zio6vhj92Cb;N|}QYZw(^!oNMVw8s9Kfz&;{wi5KHbhOD#b>qST zNQSkaKg&r;G1A7{u}Su@13$!0NrBlE5CF)6E0up3otcXbos*T-E&VV#+4+H{F%}$K z`qJkTs-`yq&Thwm{e^_yef+q9?hhj2tV_5*wi$h4dxJ!3c2j*7%P_4v3v2OpMxpj* zl#|It=RC`p$$X<{$;oWF#|Ug}$#HQZ#1(*pUEK0N@fjIeKugAm59YlwIXuT84D9YcztdxAh!Y-|``$ZBW1X?lqyz#JqG~Dj)?gk>qfNmbidGLalHX#~NJ~){C z-7b|U2AZbipIbH)&ZU6yf#}imC#3KqMnw6YSBavDCW0#fD`qC#%l?tF6fZNR!n$WquoFvEg50y2#}f31gElu{5hRG7 zrG|n@Nx6ZsWL%_8-ka`m`7)`vFq8PAgGpusfs^xV>}s!I!#%>!7&&`}VnmhyHxG z8(@!kQB0DtchJ$u^~#g^FOOZ;u&puihtSecf_D#76NVB8!T#<%_{tOA!k0(osjm`1 z7d(dog2uaOm*cYb)xBmXJ-wK)u`rmmT9FWRcPzOTMANGOSI^{}nppOSzbcb@YD&B~JgMZ5saV*8I$N>z7GZd7-@cs$ zKB01WdXC646n|WgGz3^-j#_(UYv#SNGLIcgKFV$D;6MazXY6fmKKJuo%*@OT%!XMM z%JJi29$VC5c4(uw?M%@XJGXDkcowHX$qL6%?e<4()R4LlXjMRg$%o@TR)_CB@ximx zdD1P!908GoTh3D>&q!WQ?q*FAu^hKba(Kmj=d|~9eat$k9s5ARN4z(!qrE*cBI0*{ z!B@_cO#65PLi@g9oly!uWR)D6?}DS(XYP5OV6W(b<&dQR`u4?c|JMJL1-SMX-(+`v zd+=rG)oG!Y)Q9P~Kd50xWHO|D*o<{YQ?lG)ScA z_CHpo=n$$hB!uFJ3-N0|JXXbSqEhu;naj}NCpAHI@^kMWY(J>;d!Ueqg(b@{??dmQ z3xkhWg#Nr4<9I5jnk4?SJby)^{%G;@z6jz9yzL$kFBzHFcnTF<(`f?Q&=_DXfT+SZ z;c9`Zew%^2&0`QANB5p*RaWPW1^>b>1ET^c0MaZB+S&vj|Mxq;a7b$=nD^JRe`ExxJlR*$5l`{fIta2{M@I*?5(+Um2_-R$>wwV$rE|CRhEx@Gd&wM4xvA0t z?`#+Ots`_hc8Hb;-Ia;XKU*kn!=>cE^b1=XGgeGlk=1|Sq9P9~D-Hiqps+)Sy6Wrg z;lkx!Xsa>w$`N{Yefd)1n3g^D(}e!96hh2GTv?(X15MRzdS!d!r{6}Sk@YVfHx)9KS)ppMvRaRL?R zIyriypNO&1hw-V0K}@Wj{4RS5plf7hM=;sdjY69mCHqENEq4r=us6ry!#qfFS~}YgoKv%#SKWgW{J1%5^_h*{{G$U zQ5E=aP3F?z4S#*bF85DGH^=>ym1{&w?iGGKRN_kBLHlNaOs}eU-&J{Tp>8O1w{G2n z%HmmJSzvO_-@iZCpaE=lq9+Ga0rJqxq9R`Hl5?G@m`4!0b+SsJ7oj!aZ{d@Yl7g;- zpV80n1621K>u7Cn7Zu~g*J2)f9#-jO59Y0U`<9ek2xyCBJ%nn3FNl5u`q}^H>g3YW z6%S`UqAe>e{E2Izlmps zZpQtSHWd6%gd!H^=esAD)9ZLuXd6%!yiMkUadjrA#gn$?8g|*Q_{mAI(SuSNi6o%Y z98OOpOLW>=A?_+4oBsM5hBT+N^W4u?CPJoQ4;y_rO7|RFHli8W2u9B=USCSM>Oo01 z&-as(*>m?W(-y~^OqIEFN!_C2#fzP8g0*9r{yXN2^fzy9ZP|(cMjqyK$tZZcy}dmN zD3`fegw*MSBSLkQzW8Yl2qz{c222W_pT$D_gY-A7AW1^rzkk1+98~gVFhT$Pf?Qeh z#}z1%M~^O*95fb52tN*TxcK+44;1vp#RiWJtj&)fKOno%(b5wBQgXGpA$@>q;)ps9 zqeVJ(Yx8f-81;USxu_P^0+ZXfZds8evjkDla-;mdB7MC*FXzLvUi>-K*smMw2#yJ1 z9D9|KX;b^Ia!PD~INywh{f73+Y|~4NRX?8+n?`)0`r6XOx17_n)^F8-m2VPPps{YT zf2y=~6E}b53{J__LPbLZ6I5PW+DJTUa(N99LQT0{CfR>`CK}cE*EguF`^|8%5ZfUa z^t+YeFMP!!|_!JNy%qdkFV&TV^<|$FkO-7Zjj)Vxdaie zl9v`{)S3J~cn9_e3{$h++}!SQD;y_;R#sN(3c@d~xH_50ON)dMAj45feQEb0NP2;Q zfwy<@^iM9M86ewnSSd_ETSi94!@})i3E4MStl-wh;x647aCK;5ckkW>9Z(M3_|(&L z=9K}&2FR%qnX=JOgj~_NUK~)0cGmzK#;63LV@n^L8&Xyd>&!$VhVV8XKTma`)LE21Ak@OSfbr47r580gR zc?Ibtm}&>qnf_NUJs4-3YmB3*`s!)OlauGv2YoR7vA&f zwH5mIYKn#drD#_AZ$xEf*&J`= zn^M(Tnj=BS$-x04+^L+9v0Sz=VP-ni?Zu0}k6_tV2dsL+1`*?oI^N*%Kqo6x2%Z*$ zlBA#yeFIcmOlIgM6ciN7vy?&-^JvT3S+UQ@B|TTu9y0kKv+8`$+7gcE(8b#fUI*Ge z4p=UrEpA=q3!Y!(0$%gN;0cMxF)%3MN#$Ki1As6oFdrQqHM6jQN(q0g_7P{>IJa_O z6~a~{8$bLuF*G6C#k4wt)EWyhpYM7!jjBYl%kTP`ho{b8xUgfxI_K0wc#>#d*F&r2 zwY;{10@V=nadeVOdHeQQsQ9sfmHR|l109O%wF=p!m>-iC;X&YK;s2s+-vBCrE-(-x z1zrqH0@~RUv%8(4KWcu)40lQWGdBnL3FD1A1X86JWP3cPb@YweD-co*kirz72)PCyMypw z9oSHJ)aUj@WZH_7l^yC%q7tWJsumR&KWewpb*87zBbb+0|7XE_%%xRD`}>$@0RdIK zv~;&d&;+0vl9%d=Cmq7Z1mhohRA|H_tR0InjciVaJ}`xg%PNGdT^!Qy07Qp}hfNRP z(0@n0lS4N}N;At)Rf~SkH*eq6uU{)jMM5*93og3+qdsEg>TZ#!K`5)RJi)ieM@ON; zUP3rRBK9)Zf#YIzCmCN`-T$;*k+$q=j2mb#L+U!jsHkx2;N!KMm#Q8lieqm~7|K$Y zQQ3~We0zh`A23;y2bZfThAwE(k^TEeF(R-$_H{mlqd;iE)8o*~|4x1Of;B1%H@WM?!HMGYw(iN|r=t_`Le zVB3X(7vW`BV+AnGaA7&RDdhb*7~wZr@_SDJb$kLI>NXUkzj!aG$iqS$!;JLn# ztrVD~K64)syqBc5fzj6Hza()h4t93o1RZrXHGSFH6Z?H^X<`M?Ff0H^B{xqKLJ_%R zD-88CIdu53xMpHo>1eoQ6j|S3zX5dL|$PKwS34Hu2PHEE3Bfm_*KT0sJ498LH`y zfOdst;MV5##TVR)T?Y;v;G_>LFPFWuj6(bY)FT^xQdf7QD`=C6o4Y_?sJ@{A7Icfp zL5nh=H(;mx@s!yGSOfO78opD~qN44xmAkBO3(eVvpL29n4BAA`1-^vp5|Ih{Px9KI zi)`s7eky-rOns-KQUsf5FTvN?*pouFVg`VOY`CfaIb zWTbX%=uRiOoDlMDA`F@awuzES{N@GTkiihRCFees)3cLD=>bWmCR-$WaNSLh9XbpJc>MiCP7V&b5{>*mx?o5tCk>dj zW2r+jkjj9)-e;u|iKeLF zJ>Ev(JIF-X1ar(FrF5ULWx}+H-wiL+xYL?oO9o^KKwk~42-Of92a1Kr-m-h z&bc~Sie3vh_azas}r&j7+C&%_Xfbt^|e>{vLylE%?&x(!;7_~w*Kj_ovo$Td$lf3U zi1(d&#Lv2L0L`rcA^^59LM3A_7HVnGe+ewaLMYMntsAa0ZD+g3>iYwp}D-W63ru5 z>`2P^DwMuNC#+Brbf!n+u%6Qs6URnJvrO_ymGkSD@5IObOnNZ1!M2j`8QR*~s;X|& zj`e?wO}`8UufV*Nakd6Y>F!KT`UaJRdyrX(C~Lq%2$3Nt zfc`lCo}0-88W8464lk`>#V!mTB)zbeK^EQZ?K5A$miaV{=phE;=+u;Dzb^UsF6~$V z0#I-Mm>7V!Da*q(w_hON)bB7dPPgV}-W;E}9>Q6NehTAI}@t3T9qw{nsUY zZ!cA>z=>!sIdtjE`;6xTAD{aT{k(3`=$YZJ@Y{$-QJe|XjQ4+?LD$iQITiE^A*w9(fu0(8yQ|I^Ixgnl%Nu%D0Q)*pP=XwoP`b2VH4uxR$D zPBE-RvxTwCT;l3lL|>4<{GF`DBZ^aI=(RgfqfLp}j!hiUs2#gQ^o1RT*g<0~y2 zH{4~DBqz_6pnoFNhHcT^?a`ii5Xx>LKLz=pnb!{wj{fBhiSe`G=8{~`;q#fhI*8wN zMz8K~6?umyQuL%y}=blc(v5cu)CY}@>EP4c;w0?M_8$tBFQb8^xy7SRSHLb&|r z4rVp@#3UV(uKVF}9c zrNSRGGkX~sKcbzW*0K24{QPhvX2fk$C$Vi7=T3SZ3Hlp|v*_t*iWZfO6~XrY`rffv zE9X>Bnyd#utr}5=JiI5XTD3^k!p!XR*RL>2Z)|%5xh!2#Ff@CjOK^2h%z6#mXyq5> z`w<|}j{F8Vk};O%?)%8*m9qg{V!-X;^g&V20|5Jn5BR+M%E(E5Jyc;;QTi`N)*|`V zrE#?aT6ALi283)bS$;hL7{c#L_mrTZpm6q9_jf-f+;v1~Enk4t%Z?moeM*e2ZB&Lz z95^VEm!Zn68BU#Y@7!UQ$>uwZh%q6?aN_uJEFe*K2)aFzEcBd5>93wHpgmFZHC(J+ z+@kC`#8hx@`UXS-3oR=cMbeOJ;D-ao%d4`n9n!4_f3=hl`@^_6irM0bU+u;M8stBi z;uJ0lC|6&}XoSm#je>75Bbu+I#K-sIDK$1WV!b#|6M+TQ z6t+nx(;D9ygr4=~70!O7H!l8}4~og2z@So9rzdNy+7Wy|IXTxb4}Y+{+eG-&d7N{= z4MEP}KWZKw?=y`d~&G;wT+H%Jg$?%gItgIJrHn}^-LU1Q`6MQNKcK!^(N6 z1Wg%+A0Ek`J>CfCOVqt~={eUF!NT+Am59C9)YW$GsjEHu`s_`f*NmTOG=ir{o+HL7 zR+N@5w`^HSvX=rtqCJZvHcd;*Cgu1JB5vF1u8ThLPgPqh3a7J)kZI;Z1{NX7Yx@tHff8;~*KmoxlAsR9X z>fYco0(bH{FQ(TMgvbXZRmk9YCWu?0`%L7dgJ#};@Ze(A`FfAR;bCQd{!9QKJU!s? zi#^$$s3pD|YuuDSSd9xN+?r`*_FlHJu}R*7SB@IP029}`z;=wQrO)*UGvUZ4j%=cg zFS@%2fl@=@kiIm?wlM_xj7O=8)Qt!0WZTZhWtqqbLTIdJlVdG@)ogtbYkidAn$D>KQQ8jB3vx4U3v+}rtG@ncqyXjrp{H=jX^~2F@!&}^m zbqK81JUFGh6R`8Uu1UTzKldG~BHu^%A|oBl{$Rl$&!}7v2Fz0m+yLUt!jH?mc(|hm z2Oa3wzQbvKT+R0C&A(8)7)n)mzJC_KzNym{7Zao3x%2JiALI=ZIM4Ux3+l=T_7-BP za7zkpc!Kw#2Q_*O!kUf)^-fDe7^kCT3Z^A;-0(iOAcUfv&vugKvn6qjyjHA+AZ;gZIc#uV7z^B(c-f=5~vlPPB{0ZO0Yd}lVg7L9pjy2 z0~1$ptX0p{6gwIbHk_*)LggbbZDnNz(?`~S2}-kbDgzx|aITJnzg3a#w%#>~@y`(X zkmBmDOU<4KV?a2W!8+| z``O_~z2?{#YH2g+qt791K+M|(Q3NYUcgr5nt~nM5%u=(DaO^Z4*KJMgip>I}=E9)= z_7)Esn%htyZdAJuDK+D?su)s!Z-T)60}$WEm8%{w+hD=9b#FAF&9P zeJc0Z=j@70y=6~9sY=q14-3k^#x@4zYL=SXI?vvgJd+{!8@*q18%|Hvtj|$5e(MRw{~Gw8mKQtaBX z-xZE{XQ`=6!)Y?fM;}D>obOD%3burK_tsk3<>OPF&5nD$S`o8;Aixeu$|4EV#BU`| zk#)At-I+~Lhd?Q~7#T}!>w@t-*VoppYXTpV4)IM&t7_5Wl6s`+(()O-{>bG84xtE)&f5%>Mw{Q*1=>~^$oeP94| zbhzuNGhZwoNdO+K z38`zAh|TKDNr{L&!x|7c4?1K)pimj2*Y znw$IX!S!0D%Bzzml7|GPq+-YYa(D8#NkkJVRVBuw@eOPgMe8Wf5<;8Mh&Ij^W+22oru=kZvTlBVjMn3W zQa|Z-Q_e#hcmG_X$scp)U_rYb;nDcb%Py(bWf#rh_5q5ZUA22WFv&l5`MV0Vc0t`_ zvM-d4-8+K6PfYlMtf3mQ)@_dR=H})QF2$^?*p9}I9X;b~_^vcYOTd0WM)C&hAoZ9i z4|!k>q4QxTDHC`)?da9bDi4;t@l%BI!g~s;-^a4PqUDMt=%95d_;N$IhCm3Vc8_)} zx+$`K=t9Xd?vIK;f3uY!#gwx9uPM>Cm%w1Whmg1DXoLp;b{Ic_|NPMpSm<#4|AzKs zhCgql_P-09KlfOUxxEDQ#a5!0S;V*lVkS5tmLJqPS^6p#-1znkQkP?0=_HHT%?cveS#O6gms9Z_I)qq( z9W;qOlo1@JH5o9M0zeX8inU)Fs$%yaC>EBJj@g-HNXC63TLzFUL1{6dv1FQj{(X)QY|c$8Ngj5r_P8ct*@@OI+lQ- zK!>;|&n#yp z#Z>`{1IA@e^vfn9x_4AqxK$wH1*}5SF=C+cW5yBwBZ`$?R3|y z-n{=b%J738oef?tOO0QcoyvIbl^B(tE8qrbOVM_p0E0CnKd&Qs1CO2rydgC49C0=3 z3s=Z>^n6`h?6>^e7-9JR-kg9>kjT-gakEU6KVVVV%Hy3BU=Z-pF|_Ra%Qg7Ta2gzZ z4&fM~2pluQT;l03RtVgX6)J$8hVH}9U;puAEU|oU?lMj^rDiWKEZ|)o&juzD zrHKcCeLu?>P8nN|Bd8Kj=F%Wa0qLZVBZ$AgkT(58)>>bm6#heq4aWdjS(8vXaIo=8 z4}u0ZMxvM*dE1fR`>|RA@V}Sl=8!D0?S*lUifi_IXDb2xI9TO(#^|_i;_ckWpc)L` zliwvn^mGFQm9A8YB?v#$GAO%87E(7?wmY4^!Jh40b=S+XGHTKE;*SpWi+NP5&JbB= zyBJU@V6n;@a}>0`$O(9PVTYY2mIW2j?Nth(mEt{kaOcQ{I`IRv!P+%A2z;eH-XrL2 za_(iaU&om>>%y7Utd|t2eJuo50S6gWuK4R{(nHsYA>Q_Da~Q z>^DRkhUt#>k4`t$le6n7U0~QxhFh;1hp1+Eh1m(7dQg78Yj2_xh8-Wd>fk0A0?%U~ zm0sQehO!u>-&8)x;}tCg>sKk4xsvYR|2{pvlX?xWXaTN+l@WEEqSO@8ql=qsphxJW zXjc1E3pDqCgI(LzbqJJ5TKd%kKZ@oD|FhE~nXClkx}_0+(2McqZdkKk_K>p)9 z<5GKLTjpD;r{;Jd9YLCwDWev9i#|%lLY2?k+4nePk<~sCOaKZQ71b)s`9Ee`UuzfD zP?sHjwLbdvqMAv-!#Hk_Nz?xR{hn3sh9aa^Q)k1PzWrQ}`aFP&y*SmM` zK!U=9IXKvEc7|rV{AaaQUjCs*-vF(E6csD(hKT>%I6o1wkW;6!g$|?19{&D4AQ+VaM3|bH#Rwqdulu_{xL_>FCh#+e1oDXLu>w zH1MMSLOpaXUBe|I!UX<^7I52a$FXd@qQi6~TQ|k7J5ctoQTMv z*^4q^S-*wbedXPS~%^gqeB->M7je> z8mQwq?%~KbhSd1-(h@x#-7~tjYy0D_0VRo8Il|4w;RMgXc%tl_34*B|u<@*o!E~c~ zDlstPVq7_-(fX>J_{vb;(w>?i!PaiNIt73ocq354(IPSuGvZDr_3>Gf# z&8#NyEl@EaXUD;pW5ut6c@Yv}+A;BU$UAR$Vv$7k{r*q)zak;u!^XIY-U!y6u8J7PO0UTq-ck%ru2))6Gu;bt#`m{6+9 zDkwlsP5fe^E|QR1DLv#>YnDhAbkv$#l;;RQg#4ctUSlBSlUD z;Jm!O#am9TFx>c_1rGorPc8-T=g;-z2(LXx-q0E>^U;ogGJ^gzpL7Qh#sQS@h=_&1 ze-U}}hl!)Abp29lB~FZBo*5b%HhRbKw7e6l=8VbK%k{#GNHC=uIK$VkzZuz1a;GEl zrV}o_;RgCtmNnhNDbWAUf@8=Uf&Ve3=RRlAB!sRel$uYkJI~;a7|3%1EaOB_)pRTC zN0vJRt%z;8e|e?-ohos(P3onJglyN2Vh@+0omQisa_uE>)gUSvH%19Qd+Y8bOzufi zT~1YKM$@g zEEK@BemE5?PQD=4iG{%Fp4EG2ewV;>Vi{OLHeOdOpIMV+v;h5KZ-IHiAb!Sw^o>rm zjLzxP<9^7p)jV^N=E<-+qG^B^{FuGD;(~Ptc=Fra@bl{9T*JG1yjlVnj2lxAAzIh- z?dQ^8OA3NVqlcw&d-`Vbjbu?(0wW{S+2>zQ$7FTv*~V1nKFqM~JADIANcjfmMR$1R zHoW%vaJ9hACaHXfa$essb);6z0_@tgbLT^x-G$|cQ?%D`-T@IXexpX`VV{uI3WDMp z7P{Dt{JO;ru(R#KaI;t8o;U5P+fAcLWkAS`BlJsX8qD^O{#K{(Uw_-TR)E92h+ktD z@64KnERB$cG)E`i48#`pxxy>w-7Jg8p}xE`ClvRN3}=XQ8WC@%YJHj%qDaw2x@&1X zJqSnJaxtX;KbpP+oa(=SU&!j<#33`1tdw0w_K2boLK?@&h*AjITPmvv$t;=KMFSZj zWrRYDC|MQCmj8Xe&;RGTp69x%Z*e}K_xm;O*L~kF6lBxj&_DHBSD+uuz2)#~TT{W| zJueyeutK~*F>wPP*>*{)^{2~6!g{69;?Z3d&13y>^B#mIcfRA6mNl4!B|9LEAst;r z@t>jrP!V4M{_;=P{{!K~@pa0q=6>cgEEScN+O+E#yrtFW-p67bf(I0TYs-CbBX3!HZEN#~~N44OYHytA~uE@LTU zyKT3GIWZzSG?-K%XZZZvYWeA3X&1y|8I&77O`ZHD+jKWoWjtd(J>atM_WQEp;s$$f zKL)$@NyT7^mP8VMcnL|#VwckyZUwpUuaY=aVxc{;L*KsY{3o7!4F-t^JicF#i#v*r z6FSbzmrVH5Fn6cFonMRzLGJluJ7 zfxGK-$OGA*p9H?E4dTq?*ER9;^UF3~au$1t_l)ay^saKtq0q8#SGx&N@$F7Iiqwn) zf%jQF6K#Bh@bv0c#txNtRLrlMo_bDvotb&F_ZPx7+8%hXk)E_0lXfwLme_uuUsi|y z#@c#_-u!4FHN-!Dc7QJ|*db;>Q@F<=(Hr z^9EhVm#Ow1d35!iZ^>HXl^DpQcS8Ti2i@%V*W61DNFl);kkSb;B;LJy66$gkFKKBv zxFV}BeA#Zv>}kPdkcip#3YeLw(?D8_-nx@TKyCW__m}UMyX6CbI$^$ynV*W166WVq zkR8HvVvm#|EnoTc?8CYcl}Ivve^v5nGT5~Cc1!_${e9EH z3Wvi06p<$)U?ygw#&zc^=i}!Gw}!;e z|LNgLm6#j|`O8p#<2{3Ey7c_<3$0CYt-yeeK>XK486i-jJBqo1i|ki*fX*NdLt7P| zltfVgqC>QGbX;W2+aEiMW@~YN{*E*`6bf}*5Y#EW{QRoW!NM*uL7&#a6@+6EgGmffFu@qGX2pKQ9S zqRfA1`ZNyh*nQ_MNf)r96Yv=?T?bN? z5@zT#_HbnQ@mU{$VgJ5flhRMDs;sozQZ#T<(~+ahxS^0>%eBw*hcSdZ0K+TNKMd`6 zwX>_FSoL%ymw!E&>Dm!4g#l%ITN`5a%M11Epv-WfeuC2uJPHX-kdO!9lcAOkn18ch zD_dGdro2LS0jE!hVGa(1JZ*t-{Y$n>*Y}P`z53yQ51<3e#|fORZ}n#PO>w2_FjQ{b z@A5fyp+>yAcx^Al;YigmS=cc=BQ79bs7kybcq`sKrM&#&$&VwR->;e$tN}#@M30gK zOvO6zhDf?Q5{(0u%jJ+qTVB3oif9C#ipWc3panaa!ny2`fdmRj`|#kqWg^5$ZgoCj zd}kY5?jWlQ%Lx{r-g$kYKV?ncs2=dzz<>|X2|R3gRPDJ1)Cn1Cyzbx1xdO8xkQ`nq zf0DRISD-$;m+h)r$AvIgOW)KTS%hBSa+j(?!YQxo!tE%u?axvY1^eE}{S|Swz<43K za?Pz=7^fJe;1E+dJRll_UA9l=`0@8(fl{RQZ{E1QE4CWi58ptFCK)_GlsSMy8fPt5 zAD^(c4qPh(oj^0)q_uSU`jhd@PtCS4%s{L2P%|zOfQ~5NU&xLeUCKAfCDIO7 zpWC+O8 zbn;OjFJX#;cHc5dh2b?W%|o0jq#G6nh94M{L7B(F)B>HHFq?sslar^XCuUn0FUoBB zNoXQ%+x8$Y&y<9A83IcI63I+$etDLC3K8(zW1;+M*Wd(hcaos%$?BiAn}k+T-gu22 zRp7yuyAC!U(Ne3x5RVHv{$5uTN1fceC|<-V3Qw!rt;f%#2UClQcN`7tAEg zaWw}&WI0<)Bwe0*XXMwVLA+N}!=)9dFg3e_S>gLBYwHz2(m}YocxxN~*1tV|e)Q`5 ztoDa?uP)^oi=6_uA2A*{Cfr3UzMGOLnyT$lA1W~6* z^T&nWJmyHUgM$Nxt~g7#QA2^wfOCaeE0Qce6e#w928p!fb0e>$*q4Y+sZ9!}8)0P& zttRAYE;L^{4o8&lESk@;*}E~{r5+XoG~eE<~AXr zWj+AMA3#n)t26uA*>9p~n=FPO8XP7LQVf1K*$2&j-OTp|JRkrajIqH0wtKj8o#FlX z0pLeyZ=}BPWbcEx2M-+KabhW{hL=}gUvDOH-94S1SP+Q_XY)JF3k|#3mcwoAyY+mSUnMgs5tjxv5Rzv8nT;4*@`5N)x%+Ehdq^8jytUiw9{K^{w1OWX5ouy?_7#Aa*sSE z53;&=7x&U#dOCcv@%ty}=Pc4SyI6bb*lf?ipsB39d>J`-fa2(M8?Bp5wK6;lPML|3 z@WvxWV{@FWt$94X;J>A%ngs7D03hfm2W#oyDBx~GAc>~fU#%XQS=hkkutL)5i{Dl~ zJx^^)zI<0TE+-#l>@iY*v6bB2DKxq0gUuxRajsGA0T#YzQ3U%H__=x@wV4-Ltiyq@ zAynFT&i=kBh$k(0uz(8)f4+j41+*PvNrT=$K>08h1x2LzXz5MV=hN;RppADK%Im;w)=w?H4P19B1HIVfGfJf_>=gg@Zv#CKk(yg zmQlxC1L3FR(LJUJEp>JE{LgpgolOxUz(W9_EXd-q^qZ`fi4n4}Wz}!aeQA=nJD?!y z0A)NZZ0nxH6Ka{iCMLMyRFaU8oon@ad>&6w&2^v*%s8m%no{8h;fsb$D1lNf6_0O@ zIIXNchEs|bxIr396&rl4PQGqNRfJ@W7+}tQ=cKgj2qhMS!0FC+6!Qo}!)KUuJxY|g z#cigN@=`D4RhOUOWXGE~CA*JMt!^lL3G&>?YjkETX;H45N7z6Cbs0Dbq8 zxlkU>r7``8;<9fu5Ugn2&+)jRoT0+&b|x-^syRBDxHq%weE~n%%6R&cDh3RpRLftH z_6&p*F?S7NdbV(?AE^~UG=5XljNWCyduGU-OkZtUV0id2pjNwI$vOF`aQXi^<}>-h z#JKNC*>MEQq9E1co5cXMiG|_;FF40QEudkXT<2$3tLi;0J1K z0CI~0IY!g)y0C$hO7P3wH}t?BefazLZ#>)j+y6BEEX;hEQk6XNtV@8ML-*XXcPg9; zSJn?`7<6FKsb-wX7cXDdBML*gg-+)P+4JClJI8NS85s7gtf?eMV3esl0v| zjRHfcS&9h|Rx+7Pnbvttl8kzRdT-sjby&x2Yn+xzUvu*qS^_kwsE$)1#gEDP60`x6 zW;BKHgOhp|fV79|nC`jnzFdevcu-jG>v-VNfR1a3FeJc}yeVLYX`}h4YPGV!pIJ{& zwS%Ia4X19VrNJ4Vd8;(%sf&D|H-#Jt;$Fq%jc}J3WnDtojo2ufH3Ce8Q|eT4<>t`L zO*f6luQnw8nzWJcdQU9|im!9wanT_BXGHOVKL5BpA zP%4t5H3|!qP2eZ)U@+*FFE-jor<*v2_8rHB?wciAeXtEcg+e(36)ZagEk;tHeE>h> zcoxCY1%IweW3lkge%zCViQXu|XrQB6GM44Gx}X~1v|!F-Uj1knuR_T*Pl$#zJU!-E zx48TUsf51GSog8gsvWavu$5z@?0+tL_6`n%0|U`IIS8fjG=t5>@|*)nSKxq;F(B{5 zCoxH-r3TOjLdZc~-A%o`Vz=37Wq_=rEk}Ar`qI(WMMa69MwZa~#Os{c6>?J+oXwF$ z&4**rw*oRi@{TfVZ+Ixzb?ES6bp80GAOoK}e?Ac8F0j0R^M&jf2VG7q283u2Tm$6Z zfEAd>z3vuZb)}sXKpuB=pnBS`FMUS1wf0Vc92CeK5=h=u_t2M_eY zn@0>k-{ZXE+l-Hlgb3=Rh_x=ExS4=2nc1~CI}3}cOz2p{HHsE^Ay1{-x+d|kz}Bx@ zZf5Q3>ev)(&CXwkE<(sq2G)S(npn({JNuZ=j-h)-+PssPNS)D#J_Z1V9T#{)i2QG^6E9p9=Z!fh^b^PCmk}`WfWP_u8R-RQ1Sh_cB_TC+ z5F$6QswDnA&EAo(HQn9nQ94NN9!Ult*|V;1U|`_m#{-IrOThf`mywyW()=)hL>zaC zD$!JNha?&0uWQmKT@#E?>HpJXx>Q>W5;Y9U^>USvfBgN|FqA;=8VC_QF5cuv*5BVS zVjjR!06`)MPlxWm#h<>F$G{xgKpeE4B&H|=cTQL~_GaL~KokX1dx-_b>_2Y;v*6&} zG~%?XUSFgM7`x{GCiP6zSar^EjkhK*Qzep#ZGm$LFV; zhvEy=2)~8vE#4NXA)oe06KJH~zJ6US>njKTk&ynKr!b#8{DC!MPgH;QAY1vk${uK3w2rmo<+a;dIq@cF_^z7?^pv@+?_W+&%9CU{?==GdzbA?Nz~ z|9220=~9T3$n_wBCT;&Z{1 z5hG+ymua5^+nw_6q^6oD!~`3gLj)5s_XVu|cq;ov%&k4#x^DfARhN%88B9Wli^zeF zG~v*Fu1J&|0kbXJydqBI$;!#dT)lAvHa`1fa&SDiU26f840_Z?9GK%EF%5T08)EEO zd$Sxc*nw0az{A$D&8KyOFVhJPk`kN*9)7)s17`=>agZgc&^r%8;^Do62x{7Y^OUsU4}JKRhE zvATe&DAvAFle6cLcctwY6t{BW>vf&MDn`A=r~iHqr!%XL3J(X9Zw}D`AJUHNJ8ER& zR$lc$e;pm#RcTP&trnSEXAwKJ=BXpe9Iq<+5;ryC3SS0Q4Rsbm!zFk3lmou9v|?!0 z%p}%FAf*Vd8RBFf@&D|A!<}> zXM$yfnXo`489iNwymR6s8n^&~ZPoC2Veg60#~xl0CVv7}UDKFQAnj`UK`mTI2(T=< z{yB@+CFgDbCQ~dW{{d7R%#Ab_qo{%@43yU?7vZauPqS&qj(h^$7(W~!(X(o38VVBO z=DRrcUTXjTZF%hW7H7y69j|(NWW>b_Dvq(_%fg$35^9CnFg(Y50FFj6F#wMU7;rQA zR!~F11qMQAP1(<&x4eFRJtsdtJ|1ZbC>>N#LVNbS>F@9V`0*LfppSIYq0JrXd-6c| zfKKjFWo0iX`(B6$3=l*JM>vc&_e7no7v z!(mQcJw2D(FTg~eh9HV~+y1T-=yKL-f`Sb&>eKDP6)R6a_}fG=7I;7}$eCyw0qEdH zKR_>acgSzKBTrOc9hcl!|oZ3y8@%G zRh$7>BcDg>g`^6;5n@=_AhrD>=JZ}(Ua(_0?LWJ@Ng6RS+<*|u$SJb(Ukq4{pUR`D2XfH25mkXM1}ki|YjK6)74|HCMe$>*9y zN8mA?aX|FI_EJ1lfZWqJcQM!k{0Dy%2LVr(RujQvEA7bmI6bLGC_zk6a2OS`aVa-H zKlA2Xutp@089B7E927#`3ZsPteNS*0q@*a;V3d%9Cy3${eGw-EE!d#Z@Dsx#kM{PE zC-%|Wv0O5?h-dhHsyEC~n}M+G;@TixMDdTib*l=+7pAZw8d(-axY4Jpt(gx9N$M5# zPhvs~A&b1*&>uttTyv;zZkQJ6nDikg!c-X_mKAPpkac0N0w;7xu=?c+E#7N#Si^>w zoQi5Hsq|a-Bb1{U?4YXAekqu346B6JIc+m5cY#o8z{jr9S;6GLUv5b_@3$Oc zd-JSTb{T5gVvoWeC)oGvnqU}qX0w)6QE_RUT$4P*$BERlsLhbHdYvzDGDQF$N>lZ_ z41fYE)LA4~kbdwowN=$Z1@Rw+J>0!Lj^fmTo)}|Yc-H>7nI*e#pW4N*w9mZ3c*29b zR11B-K;RM?0tM7&gr?v+j&ROFYKv(4^B4dNB>*BgCd~G!E`ZmkyKvJ_5aH7i^Zqlj z3;iApA_|6>(mS7{I@v<47my(j#1*7rYP@DSUJ0IBI z)zShlre$=DL*;K)Xnt}<_OqQ-C5@OT8*fe*GPs2Q5JZl!Yz}GvvF{JY2icb@)c2PkjEJLhvLFYG<=GtkJohBYGStwIG2jf_w=3~Jw7a2VP^=>PyBHkNA8 z&ry8!GoJ0Yu`&5`?+Aai2O?|+dA2e|pr1raL@`vHu~&)VC5TGpRRS8tk1)?b%?EA2 zR&+a}W{Y?Jmfv%8rbYb_RRT3cHbX1~hgRMQwB;E@BGUrWl^Xa7L#xksPED?}?`71x zNUj6F#T%`PC!J|c)Q8)Ttq0Kp89=SF0nGp@|Z z&H0u4oo?U$;V(FKwQsxPWcP-J%5M(1S{$>aYxxHmGS6`Z$D~w4k==Xte4F)AzQkQ^ zFKhr)&NSh6SUib}KA@OhSe8*e$pnI-7Lw-jx@gi!H!Tk!peLdrCUdA7tI*9*dWAIu zNF9@2;hsN)o>SN4Zuee;L|`SDhad1;DAvn`gU+-8kJCr2=)rVXQ#FYUV)$pSM#FqE zbHDXnL-QcT*il@LFaP7)p(I3O&SEr%!gk2;X#5I&Bk`^{BsLhB54%&n$V3Nnm7D_n zQ&d=(;$(yIHB&@r-ULORuSQxKf;e4cDPbl@=W{z3+t~g z>`#fU$sQUC1Ff#xC7H>~el(ogEQ3?wn@+djy1=`dzIu1i^^hW#k8NN$ZDJ*u-ijRM zX}m(&cLaxEA0HoF;R~OiweKs?96Ez0Q7i@+l(pkgI8@bnPP_%j2oum_Ha4!%Ae>~Q z8M`7mv3Ym0>6ZpoW#tvQ~5Na<6mBLsGM zu?q;mg~G;$?-`uCG~Yo@;vGdNASSiLfNkNjVo% zSwlI=;^Gs4+!;c#1`=5ZZc=)v4v@wXCEw3VW9--bDpSkuk%k~DG^z~8EC%ey_=@iJ z)u3{N2797!|!}!@i>zo>>QMM^lY+;dNou>j~f< z6&dVU=yuT^%{V|Ap2JqIj})yV^uN_(;QkNw_nY50#a%51-&RmCSdf7@E*Iaf(Kp>( zc)tw-O+ZQ;-D%7-olA>qlzCnwiK5?$@Y*?jP*d3fJ-_+UFQ8I9dFEaG=GEa|PaGb_ zB?tvj%3rGWhhPDz6m)@np1kbn&B83fEXX&|o;@2HKBdc=P*hZu@y9Too1yN*;NT7H z))UzmpZ4NjK4wVf=$n`DtB^Al`{?MS>1tPUo>2cB>Mi9_D4v!KWv5uS3_fg_yZP+M zVzp+o9$*aQV3C{9)vm*F7|A*KDsU3aLX3^O5k%l<0PY0a)~&NRguo^OAlC!x0_zlP z`|Ruc1IUp061_)3W`;_rplf&5OVvfFL%^>bA3y#;^cV+=?3Cx!L_pJ%&>z`g)wZe_ z37$6}dE6`ihHc-)ckYs?E^~W85O~*dko6jvy(~2&T#?I|ok3ZOLJMV-F$uHjk7Z<< z^hf($2e2$Pu9X+>GAW6&djMuvhZ64@i+x1rNgL>8wrP^L=KWjYEU`%-DjLMLJ+%_C z`~r+9_Pxi2ex;XRqkx?9M(>Z0e1zb=8n6R0Ep^BlFojU&A?L%|Wax?4ZuwVwk)R*93(fM{T@itE38%c!2u@=YRp?SqV7CmTEjGuKS9k@iN zmpFzO_Ubs{Ju3}!F}gl2$6_6!!AEYqeX<%R*w2VzJkI@-eJYYCstt)c=thg;X{oqV zyHq^Avc}`dDM(GBUumPJt7(6N1@KjCGJRdWK28uip|0_HB%rB-9u=Jv?0tMz`kM;f z-7;ADS|hY}jKx^hiMWaD+{@7@(h>UK_%d_7?8N>IZnFXp3%>_Buj~^Q&$VUv0c5Z) ziM@Wkc#2m&T^+(1^A8W@V=0?YFcUiJMr8T8L9c-uxpv+4`QHI|KFX#|jt4msg~Lik ztrqHRdmtWv$wDn&t!f(|v@blnhVtcDHY$-`%JDnIpjwx*T~HaJjE9lJ*37tALs4sCy*=)ri5bFB>?oeYANa@FOgMe5)L@*89lBo;#`b}ubHJoY)@C%cu>Kzr(yQ=@7*a2z4RqBX-&soH|%}CEJ4A zNj(?_q8e79Qj9EdBsPuD%_qmRW%?wKegA&>NB1WC#NdvyMi@>QGt5esTN)4RI(DvN?2*U$Uk7W$E<{ck>G`YYdCpSprk^*wx$L|4)@$XsvCmQNLuec{lm%y ze^3Fat~5AAl0m^l6@vW&k*orzwg-8#tdn$Mf`|70h#=7^thzIi9L3NiO`|l`HzwC4 z{ThZ*`e!7<7~%blK?)df(dL?OYo7_5J3EU9SDQ&VnDE}48^~goE($iju>6}vxEKyc z6!FbO)APj_cn>Yj&1R2wc{Iy1_mZO&%-{P7zS{C#vyL=y^~;uKl#0Ub=pQ^0(PV|O zI})n#?idyqwvSe}pCuk{WuV0;rf+G%!$MkGfUQ4{295uFO`_Xn}~mI2ALs4{nlUEpiQ5c>`F-(Dt|KO=7fLGb55`A%VB zou?98*m{Y-zfwyH)Fu|Wzs8gu*ZfVA);{^0?e>3{YUu8XFh%4{dNAGtj|$V%hsvH4 z7~(i>4ejDSv|FIf(DiXQ$gsnygRK2qm<2+pu-2gg21OUDoYDf}Ubdg- zmjVKcG=-@}5DmWC|1y0zblB_SE?!>Vrdj<}PlH}hRK(ldFQjbWUF-n<$$6!EdOaC} zvI(*Eo1nm^wUdQ#hO~LlH2w2skicP-s#2*r@})fpdT=Wxl@FPMI43> z$U`rRhv%1v47R1P(rPxSPX@f53TsTH<7g`8So^lH+!Z<$ZQ)O}$X%EMmWZ@QXo4+_ zj-FlxzbEQFgh33I;A=wCbpVDo*}p~WH!2(4W|D4#aC^d@_s3s{ju%y4qp8`+VC&*? z1r!9ggL`)Ex(^Eslv{|tjTil)oe~id>3(AMSPx#Wb=wMHhAJlJBW16hD-bG(Dj{-| zkgZVDpWiq-b_}tpug`2D^uL{D9}t){K4^l+reajB z3M&4{rjTaBk`pmGByhr-@&d@JS&X1N=NhD}b6UDpJ|&@Zr!0*4wn z7<`$BJ{BPuM&)#F<BfXevgag=7;7IXy)8hT5qoq**EnMJ*%3{S)yzZpDOQZa27q5pa(fzf zH^{uXLX@79Jk4JE}+V`^PBc#G-}wiUu@?E2^u%F^1< z`&WprO5lHj&(-Hrt(P0p4qq?*M68&#T`piKV+sF0eJ_H0^Fi&UR@CMocTRr1@}52S zjHSdem2Sr4eH$02sH-Mgpo#}sFp6R}ZI^u|{?vB5}G^mZnB*b}=XUxHlTY3lBuDYui$fs`BL`k{Mb!m zp!K?VR(JpiJ{p6 z)BniPqmH+kBniOq?luUNNl{H)G&UycnxJxw8r|V}TOP}*z494D!CWdxXr~?FcQc{> z$@osL=oIsbh-c$o@6SX|E$22&^gJ-&^{>WQk4N7J}@8k*kQI_ivH z&p$Ue(=w$^@m2JX+3J~8VVcaR@qjuw2o}kLTVl3k z`!l)!0&79upGTwu1jX2WzGK z$1`-6s((J3kkHxeInHGD8NU%riq{({m-GZ7#o~DtCwgGKwt9)VR!K>Zb#;3%h^R9JyU|5 zJcm{B*`QPXw_2IsQFX&w78233#!BXCc_6PGiXc)P1{Nh|0&V5Q=v;7o+-R=9WsmslZ9b*68)X9D4xb1*ub*^Wms|gf-h6c) z?=%dN)B@LeT4yots`nlWJ9{(R*DjO!V{Oberq*VtR`GUPN8P^Eeah;KbxK2(wQt+y z@!}9JgGA!ah?mb7w^xIu9Tli+WtD0sQ4)oN<*>wJ>I*^$dOclSCP7k!OYYMeu1G*@rwV2`T0>SJ4C%^XB8L&yL6Mu>Lj_oN3Mknl z!Mk%Zq(}Z_Wfr4<{fbTd=qzCE!Hs)j6m1to>GhryY)c;9L<)Tb@+IS6qYcm11pUsO z5zKN#yUHvox9Pw^4XGwokxl|rE@sTja(jL1l!K@>9ynXyH$~}+w9hol&vUHETW+P; z3fll&T?ckEhQc_YiQ;8AGql;t3iXHVpj7=@j_9ZkOaRi5LOd#CDk=4RzG6Whd~I%zyr4HLcb8wLXs{( zVT`;7ey;;2+RHWcP11K>>G=n6n`Y6`+#dWq2k)CwlsnDM_xAe! z?ElO)_1uJn>U$N4l?-h!2#q8kI9x3Uj}I*WiEw_iZr8 z0wn}nQ~;an^k_BvT>esa!#wx%PBnzR9}zP;R0IGA_F=xXCQ2g*`;hXovV2uTM{<1L z1ZuRTLtfZD?fw~5UvbWB@ZhE~76al*mxob)-8Nkll&ooa0Ln{xoM1i)p0T5w z8?(?uk}k}4UF3OY?t~|1fcB0Rj@S)f#8aJ8z4<3VqLCwu=6<{A6x9Cf{V;-q)J#>e zlRyr!dE&`f$e}A_qNCG{dJ5$TAT_YkI-k6ZFA9IMjiFv+3=%Nd{$RujB|dxtK>cz$ zUg$seaQ^c-hS0J{kMydV-@Ee($l5bRk^!;<>Vu3(*Z{sd;jN>PE~7gFmGUeGMbk!F z!JZh?!7<=D+D6dbfW4WxZ9I=${-_k3?{U`E6(54sV zZ48ZAolX2M+O3SG_o|8|j~{Karb*Z>abaNq`gA=PjJ%8`X8@fc;WfTJP> z#3A?C(o0WXrU(M&We86%ePgnT8wCqJmoYR;Oah`48JQmJc?KH@gD+2a_a6X1PMyNw zvj~ZIvp6kOZ1o^%`Yph~7j!SP+@6%wRPLB@7Gl@(ul|7r_4hYW?`dC;L&E273=b#N zTS}gS%~^+0{e-Wk1xO%Th#1JKsy;_1{V4BXRAOPr1$x}( zjOdYJl@ojO9*l80rU!%$Mw3%&YnO55DKwXJ6i zZX75?x6o2YtH=;Akx7SPGb~IKOn;=Wq?lVZk-0JAy<(WoYxzR&5D|+lr5j?jV{%|K zWad5iOR;Ew^4+^Pa-6_XVS%v#CM{G>ATwjF?js&q@IHj*Xf-j0od@Lzy6>N#cpJBo zbZ6i>2ml@wzs8+crM$klQ;1!7=&ik(JjpYkz7TJl%R?4r~}p@L{i?>j#MI7S^p z6+6ds1KZSpB3=@j%09)qGF1*)TH`DLAsWb4++U_exT|zAr3ooY8W zIr?C#5<7|t8F>BG=;$T5FcN7EP?#S+WK44FFN9*QALAL#eQ|CAAdn#oK;{E|YX%IO zZr)^9$)qUWGtXc`@j(6&`aoD$pVt%uXb9D1aftV#uHA4i5^H5*Y7+q;}ZI$YIKdD{}VlUy49c``Q5Z4D<3%O-+4(z!@JYrsZqb zC0-z@H~?=tJ25}A#cKgG9?VeOzd*S9K>vGH=j-?H$+vIQ|9vDDgH4A;W)grPKs?o| zy0L}FeSz`%e=rYx=y|een#yqMG5>8MV2%ymELuRoDc@&(5Ib>Otl+4cl*D0kG*LK` ztk4*SV$j0k-BI=RD%%z&WbW;84;>tc9DPmkD zkI1|UxK~Ts)Rdw1q7KR8iQwv|_ik%k$K8+bjNAs9m@t7cba>XMY_$A>jI8Xd*RNfj zO#S7JTAQ1fmY30|{lje0)s6l7f$%NrFI0gyVVNZsV#rr3tIh{Z!q2 zF8>DfcShP!;Nx6rb5f3f1KZ<;3yezJ{_##K;J#&*FxtEx(w_^7eS=rdXmAn*6UL8} z-kq)}HCy=fc<(@#SkA7w`2zMrLK7@uZ|K4ECRF;j`u4bni#B4U-^e@#6<@=mcSitl+YXDiXf}KWA0>V*D<(v$t?# zL9K1MHi93uK}(F}`ne`ctIV=|`VIw62P>pQPOV8AWje*pOUyM}tdRMg#xQ<8h>6F7 zSA$X3j&<6h!r)OL(z)W+Vx)%o!WDEGtI)|oZ8Zo|$&m+FDFBbpO9D|K<0efumoDYAm`961W8g&gayTy-cd*aytV~v=6Ufbg^p zG=_$!@9){PNfj0YaYt{bPyc9q%(!`(v7qbM^Y+-EpHz&6+5Z0M8SRZumnMu(OcacJ zXNwIztCiEa>GGS-DGdSn>a}Z#r8Y+;9V49)Ji*j}_};ap6Vj{*QBDBt0{4CKCLIjnTNk~_&EeYCC8yR0rOVJP43egvK zdfWU;U%1N69_a=~N9tz{1<8jK?faif>Y5;dVTD~}#vPfsgT6yl#l)rUoHUSvGcN!TL-eL#+x1nL!NvE6B!TU+G_b|~rJzS_^w zb#f!0IwreV&!Bo;?U7?lz*bFSb#lPxSYN(YfU`1$UKVo$VZES#`BI(ZT@eY-|+5>R>Fdz%Z>}GpJKhF1(CjkciZo z+f!^=-iW=;U0tOZ{bK_MOr8YpeKkA&0hle+|ICGc4H|!0nLB*s0Lx=sZ%oDruQf!) zk4Y?Fwzp+0H5(XlX2=6aTZ|_B{O{S{D>Kpt+=mYi$34O)<5lsP5E2L2NEeVl) zFHvsvzqI}F=0t@(BVQy8l37_Z;oAyz8dg3*XESzb84?dLBR)YxfqAEP={#CF7@lGw zz}d6k@taxWIgL^1J|lDTtNWwMDO;%KB<3h2{J?eP^c?-fk1+pmadE*$5OmxSc);Uc zR#sL&@z3ACEf){KG!t1FS}7bRc_XNh(1?SO0!1u@(0DJr|C+uQk8qI=4h#+=q~SAB z1phcb>-fWEf5bOj0p?wj@M+c4j$FH0kv?oE30gTsu-0Zf_GLkJ)17#mB9%a0Msd!h)x>g6HrlQH{OGvApp^p; z1O#<7p8%WTIuzYS(MhvEvBzjJj%*1dF5{o>WsRvLK0l!@AYE(0^SO(-gjNNcWjEb3 z1nT4%rk}4RE+3Qg)^yO%qmWkfb%rXhWxnTEE__C)1lO?f7X2xe8a#%?V_ZHzt3@r2 zdR{A=vQGKXeYUGQl!jo-7H#4fx@M9tH!m-Ek*QtdsBEy22ad;ELcIzA78#Vgc~!fj}4>vobyf<43a9Y+YP zVhIU~?v5dpz%5JLIt!0i)NJ_qTtO{qj^2vFDT)e>OUyG7SnQFSieWQDL?dfr%gFW0 zqi5hLicN$VEhKb&gAI^BbbuIc!blniXG_%gD=aW}`0>j_^KtAE{_XNxigEE_x(e=Y z4T8Eo1EnYr6~uNUytd%UKp4w`JPPCop8xRufIJJ6H7s4ub><^y-kPCVx8tPdm|cmu6C7dVD_ zM>9J|XQ39J8XcuEdJSm^))M@3Yk4VM435DS3++&kl68Oa7|Qlk;F2${wL`I-0A4y~ zRrtYCMFN)-i-AC(@ztwClAK#;L-DCFK?aY&ZAdXK4>b;U;^1AP2Z|C&=eBmwqpT;m zdX;ig!KuU6n@gC@gRl+RHbBc2)}LZAfe@rzS+V&~UWtu1XI!eU00`7@Bs}E%k4A>Q ztB4(R@rPo!tMaUQ0+d6zM%7ECMS#Nm#Y`eXn#EXV4VN6@7nVxUHLTjVjDA}z!*QLO zpU#dU{e<91%CZd0Hxb@um;G5;SxLH}+d*83d-p!~AS+G@su^?*lwg7NpU6atd4nf) zvW+2GypxhrR>k{Gfb&ezKQ&qXMHf~(Ea*b{fL@vaBtl%LUq2BRLk*Np2kz16_>vAG z?qhto`4=!e*h_=3?^<#Tg%Eh^pdRYwa?3k)JNlZYU$juD!QxA2X9{KnSq$zhoQ4oZ z)AA;T9`Qv^cqt=3T` zW#zAmYEIpP_|8zOV95vW=r+xGIFt=5cE*fi=t`$ageMnPw^my}r>M+tid4e@URl{% zD8WoWvzgm5k~)El&c0qTc6D_%S8N5Bpvy9D@oEEqAZYU|>BoThLqM?r!7lo9tS7n* z9b)hhl`5K9N+aP*(;9?v0;E>G-P%~k`YYJ3d|5BZ*_cYu$Lk-h#bvW zLk|YtamAQTW{U?Z-UBg0a(T?c3~SF-HVqGfhKFefEd3x=g#p+8U|r0+0k&ddYFYrQ z5->!#VV*rJ5-NyzM0rK8cxW~C6K}QKgWiKB&;>aR`*-iYmXiM5si51jfTN7p`h(Ndd1$njWHA^Mw^s-F&VDk_{Ymu53Lpo z>!fdtileWy{Cz=-PZ?j?PxFrK?x<=U5Gc`19MCf1YX#9!8Y`)ch3M}g=RgnwD3;3ZxKdzV@h*$q*3b*6Q+!Xdju#CyU3>{`j(A^07F=nSAKS7?!d?i|9Z5c51 zva#N_L}8qpVFBQ^hzaQFp&x-J=sa6Y5G8Hqv-z#BC>SInlHM*Yl{&nIhnIIZ=e5Cs zEpe?k^@RoFIk#@bf|KV+s)(IVp2Nl&a$%dj0itIcJK5XQ?)6`%_r@sec^zXb=xHV- zBYl0iS0UpA2)3sRV90_*5k&-MJ{TCC+j)nMF2?@o7STncB-wS{rv$yj|hIQ*2$$bifKSH>^H{{sNFys34@FXIxzg z=JL47^xOVr2K{?+aSe;vu=Ir?t`!{nkEjg6c34i0%E^aNIaF}jclpXc6mRejVO8XU zD>oh|S|06kBe+8T&yEm9D8eO30jMuE676w2%_TT=O#{1mPQR+@{2MRG!d2_DuXp1Jie;kG3oPsk8F z5XUSR;w#RWn^}4X0>nq`1%V1SYS^WXxCIKr@WYCyq1GipjfRGCR)U&SXO^M71`jiz&|87f9{(;>)MYM{74#DI(_%|rZL3&RJj z=0r7RLgL4gMv$RQNUA=+hf%-4f~s*c5J!_Ad|nIy5yjDhO`$-=G_RBOph;h2BkWQ1 z9^Lq}p#+nyFJCfq^5J*E#m&7-L(|o&;{mQqBo#u=kEAFqO*{`t0SYM;2H5xz0JS?BaFmbUUS1KCSr8ru9*Q*t=wMs} z)5b#fwo`J$|2BE`ifNgUw){-W-46E{GPb%bEiK_Kn2;2(bpiw%3Z~?J6mTNY%?OHx z3xB8}Ko2bwd12u(iv5bz>B}A-JO9(efFwgTx3TfF;7iA-BTOxx>RVVB z_*d?eeVS5hu=1wy-P%Ojxo;Lj-yhxidH(6ADr@h`D#N!r2D(PNo0)YriKWrfuLC;2 zdDI2@&#j%Mm&y{NsmqM>U1~satTdmR`hGm%bLQga-8K37(RmY#pZ&0Xz@bjOTHfg9 znCydIgD0~aCA2g3#H7!?yC+l8O-8_3HOp*UZQiNT5l|F25< zdY+T>^^^CPUElZ%i;BKnnJNJ??ZJ1DZKrb@cAKMILm!FP){Yqgv!IcZ!l((JsPY|=i z-kR2n&~F9dV__oi%=8f@aO-wIg56rH`oCEWrvg*&BW#ME_2Lz|zyskiaq>(325z*% zx9Sfwz%@nK3A!f8z<0p97Gyw8-ZMd2^@23=OxOVJ(EyUig}`~3Y`#DW0n-a(}y%I>ZELif(13F!&8->yp@v`R+y7B(>T^;NQxGc#9^lIoiJ z3n#nP^N&}t_3I=aK)bzl*_juWU23cCqM3gnRh5#8)2GnYe0&tI&hKOk>QY?ix*s3fNxtT8QlY8ea#YjhHrIX6aYCD)=8VkxpoLS6^;`aSTXlOE-eBqb zDN0fLcj_SRNpx<>$fB zAenE@&d)q%U3?N}b5@L0@cqHvslQj$_U=9M)W?+V?da@M-In@OABIlU^(nMfP}WjO z)h#t%h;PryZ?~5a^D+2ic5=4KG|9T>n1bC)jC`KqEj2&Yb$|K^MGuP7d+sS6-(Z7y z_ruJ)LWF1o&g1L*3aO~7)Tg~)&aG$ZD-uA+qZA7-9?nx5sv*U1-%o1 zPflH#*j4cZW-{xz7U=B{CH{d0u+wZPNw@m-$86`c@ns-^Xy;jY_ZcRC2< z+);7q6H6R`L!lfTIM>$vGsXh~nss!BtnHb0^x`np;{YmcG z&Q~yQ+*5LCX_iVu(1TxV$5yQz;cx02D;y{G3Wg`L-Q4TaS0Q;$HnmEKR*xBLkJmCFX}C+ zbV4#zq|9T6O7*R$@8@@2pC4UC=Qz*v-1oirUVH7eY(HJPCwIS~faK9~fvc{L;!L5C zz4=HaPf_osS*7C-4pr0Xys^@CXTo={+u_zJlmDChS8(%TyM$R}c4ZDTWE-a2>q#WF z;@TRa5BUQ&Y~0@&2L)4|dpuNsep#qmx__B1N;R8ZgjP5TRiN9?H;~k>)?NvZl8q9D z+gQ&F#;6}d8F1AyhuckWM`k}1y(Mw!CX@y6<*Iup)m$?LmsWq3npi#XPbGmvfg`}EdKKk@T7;c-S;%{OErt*LLqHHD{n|{5thHs1PHuJR7+=64y%b-JR-pKlGviBp zi~6v-5m&V2jkAiRD01nBf`e>3%m&o#55TbbM499~)R)+?lC5Sm^bFI}l5~DVJGrCp zgcGS>KJ2UY#?moO%YL6WS-8kvcyxeN%ipREtGkFlzp2VTeCx^_`SO(ei7lM9?Ji>94`e33?e0d81z*$e?(H)>JEkXe zWJ@(OS(mSf;a1a&AuVE{otl2*?A$XI@rhPA}b1ZxgnWQ4kgwCp( zii*EoL9#_!!cZ;vbI4@HAQJ3S3AH^vlT?i1Cl7RO3Cj~^oiSq~aV>3#b?E^n>EC&u z(G{hDY+6Nc9(PhkdJq8 zbbK7df{X3iI(_Wx`bay~tktLY8p>=sdzeHT98fj7p~L>KuHv3JHv!kRiVto@3T(#( zdaY*7!&GGdXF4X)K>t#Bf@I`ez-c<&!qU==r?V*{0_g_nVyJZ@$D**leFA?BKZXW# zWsf-|fMS!Ru-2I+vh+Z`xWc)ePb~M6H4`6Q|0>+Zh7a7EJAuj5s|vm3Qi-@`hKIpv zG5@@B(cP=`{!^>DV$X-;k$2yi-i3<2s#@f)rm)o5CfFDeBr270Z!0US+hSf{a_=f0o=>&ziW~Ge7LP@c;tjajB zsdso~+7vgsM{_;(ZfVsWlnLt>1YS|qI|;TBfhh~KqEieaUkl$+m=hy33R0S0xKy(9 zE4FynK=NG)07~w+{-N3E=xAe}&bM!2`t|84iDdV{_OWrAcwC`dR=Xe!CYIvU$;pK;e~KjxX?nTq@g)($D z-B>(Mof2K+535gPYRBca%uY(tD&SYSZj%Js&H?`|)A9}17p^9e{5-u+QUfpp3W^z# z|H6K|2dQdc3HgFBE6{+4jZ4R>Pl^f!)ABI{022_a^f2s_sxWg3B)Kc5U*dI{F(Fzx zXuwF*U=moj{ZP7L3KG`XP<@zt*RYXD+3#fcaTu;h|M{c*r^LP6=F7^!8t?blao^E# zk-IvM^mF3&MVR{iu=Z+Hf%Q*8K}$`qi7?5NpEUCC@rXvTPmsCj(8>K%m~BMYWhghx zhcxPFX&o(YGB)(YVTi?9-nfFXPn4+mKXXE!11E}b>SfyWr zj8>eZlcwLpHIZ_Ibt7}nArXXm*7~hQSZq)mmzI?k1W+uNq-APpsfMdVGy-d777bAJ zQr?XEVUIq{;XiT|s-AGf0)HMK7)fN6N2L4HVV|DKfaqk^vSeLI{-zJ3bYOG5o}De* zR)JG8q%ov%V0?iv33TTtSOY9g(Su$#B;Qv#RB6242VF-thgwLUR)fPkN^{^SBRJTCcqg_+Sp`^lQ+l1 z|Hl=iMc}4vRVnL8q{v~T%V&NrCZ_AMVl)7x3jv6@i-qdt`yyv;9yz!&_l|Xn&Z(A*6Q5z=dL9sXDIX4b%lF(U)o)>FiwUvD?V&m znhL5eDVKFvIp;3)PI`KHi1!>mmZvaiwkYe@Trr`tbpg}CXuybw=sJk^hOe~_-(4XX zER|-uBOYqHPDS{e+nhkYR9Xd8C$_6(r?L__kF^ZEGAFprncGPeB z;m;|+<>>AkHnK!>!He%_)1RMR)?OX?wcRco9=`oW^;KHwDY%In@@1?_YJ!mi~&&HxB=l^^E;g(z?7j{ z38iTSn#;}7v^n&uo;-bOdx3V@ms(;$%TF*E0`LJvxRe<2ZPHph`H$5{x4m;alz%YZ z6@(5z9wjw>gmX4j$0!s6rAFP*-PM)Z{smRcDerN)tMJk^>8^mdfqH)WB&rM$zB z?Z_Q1+hfNvlaon0I3VucJ{O%Y1;RtfSaA_%Ix+KH2XnHkZNm#8aRD{Vj(x9R&!A%g z841+J(_njqphE%<;Bw2RqnLtKh4$|YF0EG>l^9VvGhU z5uceyfV*E!=a!e=sj${u-SUBF%j9`7pduH8bhzpaslXt=1yyL*O&b}SWN9OgMW^$EA&Y!*M#CdS>^)n%f&bC6D zc?Dhjn)z_Yjt?V2kDU}jb=-Ksc;{@87DhC@9)ABK7}&QFlEs59>!|5*%9`0PAu^RT z{BEM7>CNs^y9P#1dFq6Dx-+VS>Di$7;!`Q&?HNk3<|mc5UIIk3f(rGB1Os|`D{Jwv zK+76+tD{FmoOKJkYPEPNLy4UzZ;v@Timk|#NG-oKhI}3Xw*iw}oaPV~)+o%1Jix=% zwe~jWNwfwFT3L=TRx>I_3S2(l{CG9^HM#xMW$*LCGRLZJFZ^anxYssJEY<`AM?=H^ zro)%&fBXpf&@D|fH8HVQ%up9xTCS4hQyBF5F~yO`p3vhVhju(;BA-r|qM@L8`+RM= z%sr~z33giu0>laJj%#)`>YG-wUQe#~=PO1*4z4z1wE%qB6KKQvUP zaXi+Us>|g>4baFwFI=U?afE?nDG+V9MN9MAUH5`KZ;o0wH(&Vp5ols@qXuQ)Bv5(;F{EpQbr)txxI} zNK8sXhy29&KdyEOz^Uho_WT0L+XMKtOJzpkC@^1?41iAn&nj9gvMB)v)|{$cT`%9+ zdyu-Tw5TCA;_N_FnBxz41=#xEWJ`A@&}nEZFu2|S&OP=Qr2sV^la z-qMqAa4oxVdZKeYwfEQ6r4O+2juLeskvbH+x0&!DFFZV_#lAM`nbTnKiL!2-xsBD; z7QUx{J~#-UZYbEdI($-}$~d`UrEff(Gu4%S{S-DgY-TdL>K`aPQBhGrE({pl;!aw_ z>rZDe%x!Ssz`WK6z?2BbS0?#}P(VS|QeU*2a}FKt8AVN%Cy0?=MIvH$2?C8 z-^uNmB2VQe=b)Pn1nl&j%ubrAm6a6hD(Z^(hBO>zNj)CWhK&F*H#DtTJ(oy(w%$up#H@;louu9+r)B$IZ;<$8G$1YaA+GkFU<#(k)yDMwpc2b4H`0L4leILb$vJCC6#<}M^C9b$(Dd$TO zmBJe&7HCPIR^Gbj*EdGGf>P$Y2mMo67*Ruhn{H&jJpLl+zz*rOcTUQ&vL_m9ODyR5 zQb2hzv;loL$@uhudTmY3P<$rre6YPZi-|i79#-3YLG?RZV!*7$Gy}=MPyar5r?s3kD z5jC%S>-fR|#(A|~Ma(>AsgOZb!fL{R#m$ao90ayH?GVf-idC&wz8Xqt@80V5cz;gb zB(Mzx5WlN!{=DgP;3^t^3$wS+V~lm{USgtW<_uvBM45*@q6xu>}D z#>rOJy4@beMiOnf*NLaymmPPOT@kP_;i0CZ;uR;uTOi$;ssoud;LXkQfgD?_B$bqO z6z2d=;)#8xl2i7Z_|^A2{TUk@0}5VuT<&!sX(WN!;yV*L<;2<9)`*p%ZRnG1MV5*# zj0%p@1i}yCICyRIE5oaIgS?Cbno~eM_qNO1CIkJ=HxxItAEtabp$#uB*~Yr?9$>_3 zYDs=bF>|JaW?bNsboNwHa7kG`ywy0 zhs)l{Y%%o0SPM!4j6WPbTI8moq-0js{m&%>#cDoB4v{OZZ|($6LuK&WRDV|CDA?$z z-|k{E7-b%GK_GJ7N(4jD#gP{vd*#q`C6P9pmp$`o0#wZ(gCsT~E>0n<9gPdDvrf~o zGDAnlAzT-K%;P|1rx#BFO}fhoRMuTH@LHnN z4ar!;iRs%cb6pm{Z77XrZA3S}0s}1qZR%qRikXFF|AtEnvB^eXA5sU1l&x_e{Mb*h zQjyuLXsfEC0&4Kf$$+Jij^@b#!VT*30ilihmm8$DwZl+1*7OJT{^QjEP2}AUEf+!N z@O)ntm#SsPa|^UM(ByGkTB^lBjFr%sLR_J`AJFIqMSwuPCGuL*)o zFo31ym<+f&nD9_+Y(Oa_+ViZJfx+oNqFneE(kOQ|-WjVr?rsg`{B|-<(C@ylv?X`S zq#0|A`@C5!8HWiEMyHGta2Q0~l{yF+DCihTSkdX+9bWEY;`tL;Se`Z3T+BIN$(0te zr>^kO#XEb+XF2pPehqf`_fX3I1Xu3a^IW2Twq7zA+}2d5@YX0=Veubr08hV{nvgAr zA{LZ2%+aPix!uv-xbloyHiMMQ*l6{IZ`A7T^8%p-y<|wX@;N%}-xOhpJ~c=( z=r_A+Xy<;O38tAIkuXDt7o86D_z_+p{`kHF^VfX5yeg;PD_8dPNoqbB|xBycr`RJkgWR^Q(+OKuBhk(`4`KR)n;304{X0sxv4jj zlXH0~63e{W4-?t{Ae#5cE~x^BB8IkJUJq`QtwA`Kp;ml`eFQ2(#5O>=b0Ljb^?$aD zU(B~kUr^dZxls)!L-O-SAZKArW&ijVPI4+vl!o~y|9E|y>bm!gTPwEjo`0yU>SINTw=ke~IxK!8i-7>!tkLi_-rB?+SuYW?Q$MHFuO7e#T9~>0AIG6)k8^%Q)qHMoy z-fxl$Y6ntK77?N|H$DTm@NNMKG_*epTiPpb>hv5Axk;xR6`5H-nRt{JRi=Zm#Zp>p zJ785P1xY7N+M$n)>T7v&wB9^)-fum+kQFj0dLtjM2C8>bpF*Lg!}r7y4;ASPM-!iq z@80YBg8uB7fTZvY=YKZ?__Mukm70ePiNrEP-mhyO^d62nx1?x54lFmC2KQe`3rbdQ zieMR-itOPaP!GO@SxADLQ+Sz0xID!S_Y`v^@LN*umzE}eHf194jt2`6wL#BUn5$vz z{g2ZuC-$NR6uax|D*Q0K`0q+cd;a`6M@5M9+8lg# zq-k&NT|KAp`xD~g%IpDh3UHn?pa0vaZ=!L~o>w0tS2MT%J|wng`Argsg|#)_ZnjH^ z+m*92xpa3i#a-=6iN!dS;k1N+w*5`7>H!Q+|0jENvc7-XL2lv1iZPFVins|6(h%p2 z6%q0qG~CFanB+e(<+?MRH=W|rLv#77`b#@`Wo6~s<8mL0z&rO)-U`_^bk*O?aTb;Vy$!Jy$ep1{CL8{D;n{L!*9FjdHEs zC98cA2aKAsvRwj{QaJ@R_U9m7MvLXo8e++9dZ?Dp%lrwZLYQ9)ACH9|y`zUb-^GDZ zKaGmj9Y=Jz{&UJ@jG9xn-^qQy@vo}PJGfh*q5v89zkq0CFb11HL6PXbm5fsxn)2v7 zgx$9?NrgQ1yGbI#!cDihpn%y?hMZCzWmL3DAJc-|_13+5E`km*KZB1Q+f1{kTGXrP zvX!pog3qc|)b&g`u zu9=J0?%NIIW-V-I%VoFd@A)wzHmEvN_Wux{MObMfyUwJaarrLjGzaRA32^qHcpMnW3HF&Kd?jN`g% zAPHdeIn^iy==rvk)C^#9n2K$ll0s+#Zr!?GY&B_b=xT~cukRT*4Fpk)>hIVQ=iGzF zRux+V4j=55)E^)r5>(7|XDG(}YCyOoPFm|h3Z>-Eoz;@)GYe1ItWUsHfA#H?L?&IlVaMy4yLF5<~e;NXb zs8;L~;$C0zjCgxtAoQ~rs1KSmZrhl9Vu#=}S35Z9P{N{y>%SNp3LXib9yZwh#CNi? zfnyH+#$k72zIVlZ>o;O3ySe=WzzXs=!%0!smy`I_Udh7`0R!UjL>3XxDW!)BANl?4 z{T65up&b>+l*k1;9a%SXL0(>%!ajppO6~s!m6vqFA^0N?@YyW z&GqMhAOBVDKE^qOo(a|;iS&_ETmiQa!2)CC*}Vr><#H5-jl3vw7*o(?)?7%RP+h4 literal 0 HcmV?d00001 diff --git a/ASM_OLD/DS1820/schema/sensor.jpg b/ASM_OLD/DS1820/schema/sensor.jpg new file mode 100755 index 0000000000000000000000000000000000000000..7e5b96368cf57762cc61f603e15293e2384c8764 GIT binary patch literal 23817 zcmeFYWmFx_^63n zz`h7T`xB_F0Am8}Jy0>g|E1?u#xfl8A3cbGQf71zNKsB(UP)b*R7_e@T1`ZbR8&M> zTt$TR-{pU{D=Mi;i@t7GWMq(269b8Iu`;Xc{9BBa6!dE7KbrsDN=nNsk+L#!GI9f> zVP;|GWntlEsWfL+mu$(`P4KR7czs3szXr%tb@vlyZhXFwX z4KphXGerEq>>~!`A^$Kdz+eggk^uv3hD?P2XG9V}KUCtsj->!FOyYm^!~J1EEeQUP zj0Pa%lL!OiL;-;e01gA#7ucTsdSdebjDdxj87%)l=Lh@`j{qVNe|Q{VsQiEV8y)a9 zs0ikt@x0pgT3$`c2Pz>@;eq7`RnY1|6yPg2;wh>1pk+J^Z8j!UC7zP~tANBwk z4jcwV3iSH{3_|^vmvaFI`@^LGga6@LfFb^HE5MMb|M5N)z`)@DDbRmpdH@-?KQgZy z;QwNTzZenqUt=BuJP)p!_9|`WK@C3NcV>WfPd%#e!T{8fe!|N zU;XfU^}YQg140IY82^l?7X}1k`omuV+nN6@1IV)g{jbkHNnQ}Zv3mf-T|+*3DEo6YQNn7hIlc0L3$y75o2d&WB;FL z+5gqEP5>DF>nW~$H5X_RUvD9xy?HemXf=TQ?zMd_uO1Kss|N1FsTV7-p_l6ykC%8b zd=L)shY4a~=4NN(=Hg`k&ja#r`bWdxAHdW9^@fE+{pUD;Z}~6(*8~6cz<)jPUl07( z1ON5Fe?9PD5B%2y|MkHC7Z05Md8>E-(~78yN=pL@|9(OL|BZp!6c|un=btJ(+yMP2 zy6|cvGzj8PeCKsa^6was9}r9UcMK>D;J;%)V8G1(b&3)T^n?Fl7NS>O|HlZ;%ipo8 z*O>>f3)VfPjdHj)8(ngik_DgilCFO36q~O3pw* zNJzs)%fQUS&dyFk%_G3g%FoEg&ibkZ3=R$s5djew2?>{#jF61=|8aTg1);%$20(of zVB{chG%yG>u$KW42{2A5AhPxvT>Ddi00$%#Gz=^pJOUulf(il$gMa{sgn)vA1pEr- z`%fqc4GNu%MFbi{*%*f05tB7Ap#YZRT|*z1%FH<>n~75p96UA-E*}0HDry>9I(80D zE^Z!PQ894|NhxU=RW)@DO)YI5Q#14TA1o}boLyYq+&w(KfX!XrLLCMG4Pq^6~3 zWEK_`mz0*3S5!7OHMg|3wRd!W=^q#z8Xg%Po1L3qSX^5Ewz9Rov%9x{@Z<34;_~YH z=JxLX*Tbt_V8E&VclnoL|2w#Vvw^2 z!eYKlC}`+|qhM1x$1-u6fybs~-+FWLYT7@R{ogYz=>L^v|6|yH>{#aE%oobLShV~Rnj?+AeJB(%N63JL*rc*>aN;eZrVkS%y29q_Z zlxw_zjy24qM~RvwJPv1zdktvLW;4NsxLtm`lqh$pG(xOW1+)_<_ZmeCZE+V_)81gw zD0#y>D)~xcLXD`0%c*%~5$9Ms!1d)rGaHYvldZu}a8SYG!CaN5+zx{1?O-7AO4cOT4FoDU4+M~D z$0SKOR8aFM4oGUa#wbps=Q3GFNlKWZMf)8O6_q~*Aj6W*_1oee(H@CKT`^D6#<)31 z3C@#JtbhKFsq)M41fB*7g{NhHeiI{Dr$L`Swq=ka;Rs1T=+_Q~0Da}s@`1(AEjOfO z8;xk3v1}pY?GD@<^H_nWhM%;y8#)Vp-W-8Sj^TESUp4H~*l4ATdSVvEp|ng&KzGAL z$FZ#o@8`%-t(3;1Q8rflYO(~JrhFhfRC5OwRr?phYAjT;&6_xGV;wAG2wWi}(Zv%a zP`2rWVWArsj5I0uLJ7V}POu7yBP!YnHWvvzWZHmi9s718p}jCC^_3xf-K3Z<~`DSn@x%|-UA6-+*b-Ki^4f^8hyMLc1z31aRS+|C>i zObnP44P1_d6dH@eLyD`R!c#<~LF$TrpG_`7NsLm%4#7r!7mDeL@O=9M>WRV9B$_60 z0d2JlHm|W>?`oP>de}g*>efoS)*4tKBC5|M$MR|o_6`nmMlB8|_fPLUnLh zp3+IZgrC3qMzR7LX%LFpiKkkhNi$rj4PETs$0@wUYM9OT0PA3kkttOlUc>4~Iwve7 zzDFNFp$bJ9k+?u1r1yOEJC?<)dgALYEyqbb(|)FH+JLpj7ZA#xqPEBl%z837&#?$t zm(jylaPDb-vj?>gyF$!Qm2%9F?Sq8OeC_NmU|sISNNkXV@m3ld!($%BP~W7ub&5f} zX1kGc&n!~zwEbih3-U~*LI(4_31VhuyZG|!+E7al(^l4qgm#Dm73y%mG=qOw2vg_a z+*wp`%D;g4XCsZx%uej`cZr7@Z-~g4aGnha<~7<^{Ekt+#7v-2#98%mw$g0weq|C1 zVW2D*5Z7p*tF;BOim7Q9)qdes>8oFX7@HRxkxj8%GM658glM((>`Ry@p^eju5P)b=mYWowE z3$GYc`Qfn4;@dGj$gwknq9*v=#e)++GV9=I@v+!1wfgs+=1aDxr*_2pk>qb;9g6aw z=qx6O^h)omILyC}Cu)Dt9F(}%k7lYFu^Ji3BR$FwBShxI z>2|Rm>$!pzA@~~F{oGenuO%jxVbt+a9uk=ZLbU7Bt)-bzuz<(0e)a)zPg|ljb4n#~ zN8a3USEPy36$uSkH^;l@s&3?v^M1h;QO%gpx*P(~h0mDOq#nt;!e9DR;A69*n3wc+ zMtLm1TLQ`HzGLM%Q=K}lX&RR;>#uhY)|7nt^^;`}W#@nr z+hmstB3HQ2vPGD8tQ|8CT)%s*oJy^H`5rn;auW?2xi0_RSEhd`aVdN6_w^@%rDJz@ z$DxiBPo4KW&)MZ`dfip;`%fd3+Bl_>a`Q*9c!b_d=|AxMEpfWs?OL3}4o)AJO8T7% zXXv33t65>Itwd8+3zSo4Sox64gmlt81Xz*83Jg=M95i8?G)$?rQQnE%mDy&T`Q}>JyyrHRO8^DU5sL``=Y8BTPehDuEKh>L)N;Z za~v_?+jq*j>ODviVO%)I^Mua1+1Hi4F~ut!3W8Kk%PXq(2gJ&H~g_D+gr!0#04y^cIq z_w8esSt>@Kiqwj)N5$p^RCjk5&d1^oATS;ri)ZRRmLHHKI;*Ts{)xb-}lWet8-uy<_ju zY2#Ksr7OxOw&Nd{)^ZBRCGU_Of8Wsg#xquQxc$0L=>j^^OtD!wiactyuHRFmgn{AC*ZQ3s2~4qs%LxQlRrc;@RozVEZlC=;AcD zx28^=V#^U$QA2v;FN~y%jDEwd$Cb0)=RwMw&=fDWbXzIuv}dKOm6-J~J=T5*i@Np1 z+J+qH=b5%z`C1am9op6URdH-RtSp10z`2OmX)hzqGk?_7H8=r{A3vg>qkuu;zERM4 zrJHe8F;1_|nkqW0+JTSxX4<~SaPA4k+Olwz_4}Z=EnAkSjNO+WwzzbNx%R3u!*5*) zbe7pKpfG-U28UuCIRkLF;=J#IVO>8yNJ6-eCaix-Q=R*8zF)Xl>;7$Wj70f2c^4Cs zHMF&V{n{8}14udUqRfg#&GgNQu%RDJ@9gz06K=scQvbYZ36f;Sqx1CVA8n9fx-!J4{WO%srx@$T`ia1Eh*0 zrzavMO;C&I;w5Xneyg3747zBN^>O1tEGBc})_n)L*GM+D#+<$_w3MIcc^trsM?4*V z$31#Bw^&i__<0>n2;WwVQC$NRUH%ZMlwqGlAs}4glM;P?wEP1JN)yDXbZ0yp!jh}& z-}Xyc#V7CT?gbR5EUcgXNJvZqF%ynOI?YWA&a+~f>tfrtc}*5d^HF5X!MBPm5-Z@B zF2&b5T~B_a+rBbS`{;^;_2~Moq=fGl%ccpVxU0cys*0%_9+(vEr4+kn=uVE2N&66W z2A@=gManZY71G9j#m>d)Txs_q_65Rl9BCO3fe|l^ctpuweyb%{!Mwq(vE*Ylt98oN z!I;a8SfPb1_!XlUOXbN~h^}a?yMi4%f_%4ZMdz z#%i=Q%OY{oH!f9!QPY$ac`r%V+)J!nj_UNi)0bc^d))cDghm*H(b6)@%1dZ;qpEj! zE8wyf8Jhd!dfBM0sVnG)wIRgCq^NY4J}-azP;++4RtMD4SBu`mRn$_6^lf>6>#on{w>mhV2S@Aa8fXSdTO> znS2g(ws&FPj14cqS`A6;D!u){pTgHy)AYdy-QydTIX;#%#w+!BXX7?%9F5J zk<6$(CrktvWMp(bL_g(2;stzN=gHdN#1qXNbP}g5eW_f=0@7%oFm6wv>YV%rrQuixvC@<5*?G{k!z{3aqOFE@9a+sx z1(A8A=&sT!pZjh*9r1%gH2ltLq>Dcbh*&6vCPO>GeC4~JvFt8=7uWY`{ZH^lOo+mS z{yNfc2ND{k0^?&vO4NrTlj01TuNhDS+=+YRj^&xzs;|fCHAmX|Kb)auj$aUu2Uxzx2we#h-}22nOqZlTKS& z&r2NE0|t2E+HGuuA)k~lxi`5Qs`Yi{gGKAxf-J_Zoa@_J8S<-@HcwQF?w50h1)!%@ zqaH{;^5?hS<+1uBCg0^<|%YoTenB1aMNu}XsVaohxlgwYzvvR{wkyq zcYH)&yyzqJp-vk{&i)0IYL~r~VW_qKBhCB`4CwrOg*Mx4sFmvy!yTVTsjfR}Q*~?N z^Ab#;mI3|D`=IZcf~tJO_X`9B`)Q%BDqcmXSf6_rvZnE2uU|k7{qSq`hYa}vc_Q<_ zxgRvesTs{?4z?@k6qeTB2l_ffEu@y446tye@Q1cms4gWPE_Uk1MXab;Nh3No$Dh&7 zF@aEw*EG}Cj&QhQ9uH-a9fCs*p1=k*1+2X))O=+;dJs?(P>IguhOr*i< z)k9iwS$HSY96P_EE_A-h5~ul-VNYyyCb#l89p?6P9AA8?4H9iHQ+n)pq7QR$aN|_z z#B!_JP2oQmsR-NlpaUK3bHJ&hs-YJ^UZ?oqS~;5%v^HM#Q%{sV%tt3pWH_dbK&+(b zhj@p0+8o%}Uiqm(PJM%5ZF?_Aax97`y*Bd^!Cf@?W|;JA2*EaRX=)nY{Zy9SBT<}J zR(IgOpz04p?5Kc1ErW0`z{1H^Z=f_oF<2n)tRHjK+Ye%>RG7QWzN^USo0^q5sHmAXN=z_5@KcYd_G`B&QRp~Gg~NA z$}Ai8#=;$|<%BGZr*UF;CTrp>wa%=4&a8w84tv{v%1!zLg1bJ}3mpzKZ@PeLTd|en z#6d|>Q4kEc8S>9FxLFeQ=IE+R9wfS_AT({^-Eo~lH<@M8+l!T|jQ&Ya$kFzZgS$3e z9zG;^*JBnn5Za5V=zO%sd&Guc*-Xx+%AqQtX8vNfbg8<9ew>HAt;-a`=#wy57ZI!Q zXe}zoVjib*4iSpioU~Q2nlmw3kUWzbGiWB62k)jlC-oAgnG{^ukq#`{JMd87u8WIZ}Q#-KVMUf4A5|QWvRT~yO`{e>HgWY|x-%?d$rZJoZoP=~T zXO#)FA_+WkFJlE&tfN;3zJS+GWa!;cL~2H$mg`j!XL(Q+=5A)i+TymleWXZ=%$2VL zZ<5@%rJx7qkA`2;t0i|QUqAwHo`t?da+N(jti6CXOrI>ujE@|>8-^rz-sOI#A^uW? z5#Z-an>$oGV&oW{3w*IaxEp7~dw`NgVOBE60-Md;F)NSbPIJ@Qd-bGq1&yx{6&S~Z zl&}OGJlR>RNO#Tr-9j~0P#925`$_`{CHAz=y*6DjDH1ua0!OBGyrIys96Ip&rYzr4 zt!F=52G}pd4eec@sZ>WCI9(e!cpS_Y#B{vvz62W(Re5x1<|h}TQQII0tg+wPn1Dwn>z)y-YPBVb?KGr z!^Z{_F?JsJxc$BYh0L{mcHlZr>xpqGCaiPp_$zNY(lTxn>{pr2De%5 z!&K#Eoe|Cv=Y2(*YfmXcZy?kgyx8R*9_MObS;!DZuL;Rx-|4Y)QGN6lA!b_Y5_^p_ zo+059qKI?vW@m0$J>vHqWoW)jSA>&uKZ*|!D-6^K(qZh~R_*Gt9U;#44;2?ov1h0r z#~At{K^YfDvZJNtj-RHKXp%J%v>6SR?cf()P&yK2O@S96#!qy7d|iM&(i94X*l+#HKG=J*Kdt@UJD`J|BP$b|4U?)?b*|>!xvBu<}cw*whlof zS`7}uG7?cm1~{erUIO9r6zG#>Qg8fyPD-BZ;p-Cqb76}0z$p>ZgV{91;N8B5yHapE zC_ELfOFCB`0ZAe@^Dmp=vH-2XEEUD@sqUu#q3$FQ9By zux|&ZR6Ikc-IenBv>>CT5$ebg=nq1ixQHiq*Sl$sN}7b1z7}XEw9Know)R^vMd~9U zbJ#8sT$^0M7Dbbher`(N#p&-QVbq~PVc#*F{J-TRh>lO~#C!tbez>mVy>JhYM(;i; zmK-;ctppy&wKwL9&8%xfrUdQ1n+HAC@A#yM*$DChmyCTs+Fn^s^?;UeKt?Gjjq*JS zRZzUMZn=O7wj=BLZjY0JSiEC&sLvG6k7H_z$;GCZ)=rH}iUpI)^WfLgRbG={ZRDZ4 z^*neb>FB4!XF3vnK539+TO|77z3qvK7%VH6#HIpYunrTs&T5$3N>nuJrw@YPD}r2d z_e_H}he>&qHe4?~tFxRIBDbLC7mvhdcuTh)M}E6Pl<%~WjMYlaOs0jl<&)5}eaNhF z^n_|&PUTSsqn?6w2*y2F&%-L|;5#XCr9{a^1otZ{5bQoImTJ@&?Ji<$NMyMJfjxQQ zdwvv?!-IC7!r*}bW@dRh)zs#avA1CE_U%Cz!>NXMX>E%c@Ex`*;@h&Q+{_A!khd`9 zhvG@#{y%Ai4(9zgTvYv=T~U5rCH;N@;nVCPG)=ruC|Wu4x;;@H7~H13I~K>9Xyx@n z6EsTTWa&FX(itW2ho>n+W1UbA%Q7{KPEZny`b=u{s4NanDGr5u74Mj!C=Sh*n?EDY zOdMZfvYA2|UX{ zPs*-4f~UlbsGgsnLh0&wawv|uzMCiwt7{In3?1D;NEq*zqATmB8&m04WrRvon5XQ* zMgCE$b@yo?u8gYCm-qXfu?*o=8{uZc2tP4RVURLs_~Wvioja{bKC#=*97h?{Cp3xZ z`cf^3YrZZoWpOp>glxMvElWa8>US%XecB+CZeEgsh4C)#>s5$y?IuxDxklPALJR)= zn94c@u5Wb%-(=%tshiu-!b9_%X*cQC3|x*Gh{fCYOYEA02xI4!r9YfXIk>%kH=y zVmUj;UcvM4olY{u~`mP~HRQ&b$$wNqk zx^DX(5=W}2lAH?fnVWQ@OqmpF-4jG+Z$g|9Day9yy9Y6`g)1lzj(Xi8^>ETyEecsqn8ZKBa{ z_ejWHpn?2lX>%)pTPFVn#3Ds8hwG6ryLl1>qJ)wSTp6r_RZs;Mml?R5x@orFAo(0F;1f_>d+t1;GGu70_MbADMAqLx)a<(cM z!MCoJD;Y0wNH{&2x}DGDQ^C}>)I=TGY>@*OHTVmt+&OwmB93Bow~E$R!wBZg=0l$R z6$t?`9--f0zaoP(sl_ru)LN{ALrr62@F_CgY1WY?khell6VxnB&Z=yThuI)%)y`E> zgJRYcr#tyo7v0gxaBudweZ0}&<%FzI^Z6LoB#-6oq^m{zhcy!o~?PYQ+ zNc~!tYzLO=RTSDg0^^5+=x+;JD5Bv~!hEO%8%RiRo_gc_^@R8#MP_y5g(=#6$cglp z6M1kYXf~YpxDMb#B4I(y&*wOhtYatp8cN=4Mzn-!ylLIF%<`=3BfYBu-diJ=0T2b) zf^9wI#!$5M#&j{a(2M;l7JXkEk-CT->pQudBcblGSHV&vsa4b^=aAF+(&A}ZITr=a z%8(_SHZ+gJhN2V(tT-Qx^2Qz8km#^HLQ zUFk*La=X@pVzp)hTu8mQRT??gsUh8T*Nhu$7w5_ep;B@4cFhD=4WTo55a`6o;K!8i zR&m)2Bro?M4TIeK#VW;H^xEod_?hEFNxk#Vg3UDOCy9C=w6;u1P-@_`r5w065U{EB z(AdV~P$_Tipl^Hd5r%AbRGwK@U4)*4J zNqCQgJGNWh?zCQ8GhUcJ8dVjQ$BDxRi0IExB)p7net)wgn_*sR~bs@SgaJj?(tVTOQdo z<>!4>XR(f@vkVI9S10U;i=3kjOCDl_`HC@ACph9VIe#T@w@y|SO;=1{eXZigrbN1! z7*@}8iB1d6p6#-VlpugOj4c*Iy5K&f0V3|w&r^n~vj;!$&(;jje#eP@q%iJiAHrwV z*tESFCYkY7R?PcaHB9&Boh^gdxvymTuriWC8CXKwHTSmpAOow%ensJO3uOsTp|H7r zdKQWv7JFk1QV#*)N3vOsp(nJUbhTjfxyZCmxEN{^@!<;GK9yD4jUH5O)RaZ32SeON z7#3{or|x?DescV=F&kHA?g`Z)q`G47XdZWB(F%oKVuxoI=B6kDo#%5Gw1Mi6w(!5h z(bky>#sUIwxv4|TCbF0shJ0j6SOEchBb`k|W4L-wfm7}wZGBDUU*3jL=Qv76P}6lj zEX5YnndCUjz?;WKP*6`W*?XAxq$k(?KjHPV&{pvrrYg75HI~{B5YdOJQ%dMGjj9>! z!ydwuC?%^V0wv2y=3umx$rlK$_(KVz;nbr8(X`VajXk4KMJ4*%xjB)RuRTQOILl9w zBGwO{TCwrBaztD%lD&|CCy_0B3}j$BRsvRh?D7t8U5TYtUuG@~;inV|)7T&Mbb(jM zy*pqlf^Z31sH1JKF(KMa}$VAx-rHzobqk|y2p3#Vs}gxtYm@U z94W7=$VGu?{+=No%TZf-^UjUD>(Bs51#0BVGoh4JOZG5imvI(Ml0j3c45#o%t38V+ z$fm0ED|Ck5nr@)69%WY2GAU^DfmhoxQ^!cVlkH3rwP`JxC%ev$V=<^S3Xhx&XJ6d3 zafjbKXY4(TLOu)S%px%`VK-cnouahz^fV>NbLyuKC=N_8@ohM1ZQNoHOK06c>!-Nn z>Wa!H&kU-HD>dQI_~Xs4$eCT(-QEoO2sbRz6Z;xvrAYN)DzCDK5rEs=T8 zTM!>p^Fz@`OMrF}^ILV3>d?if`P-$fqz{lzOwSI612Qsx4NtsL90hP3>iAY>FQAjS z#LtF`t#qeJQ%=QKZBmOf2KQYIYc#+6|# zorBG7m3%=2`6`Rch^9ISRRtX3Y=h|G;KQOJPB!p5lBC?|?S`Sks9r!0 zZAZ-GYjH<#1tf{`XV<-yt`gv82&bA4+iK;|ci_WLC_dz-=VK$KqE%lBSe7AS3% zvzTh`dy8cvvWbc*&mHM#cBs`@&XysDSMkV_4Nbdie1Es+ih7gR=+2F}LJ&R1B4fX) ze0OX~@tLcQTdM)B<)<^5K2xbv@55&62hj@knj@Y8R`>?U!;W3#dk((FOU_~5C3mPA zWYxm{FXp;_8ak8}kId^*<;;zX*(kz6X_u2o{c2IP+?JT$=7l zg@{)3l}*~$cJ*PAlv;o)!4_W~IJ40ogd_MBei$REvUe-U!9XH@OvwV?}kDHYRyCBj03B4-aBf%{u8GUFhVK^Wuu*kh<6-^ zp9;M!-stRA#;J(nEo^PXuirMTKJGLh5{HjD6Q>7tikAmgZ9$u%7}?n$4soOO+YHGS z36@ThOYK_Vk|3)gNAm?C515>kPp}du4-!kN-QXeF9uzS^cRKmcho-frc6Uegjauq? zvJKYab3e^hb=EPA(eNU-aS8P|cJS*ko8niCAiUqbbn9+=Gjs`GJZiL zr33=4CLH^p1iRWPCf!ge(c!n|=4yTVI*$!L{54PXF!GT;Rc@_cJV7{Np*oDGGTLj8 zYR3qA_saCSPfp;UI&k*;ahoV&7$uz~jU&++t|~jd*@NW1R94Kw z(0v`-4Qktb?sjy$zI7DO-utRKJ6cPPvzj8%hg-!6XL2xNnjjl&MTat`th>>JqF{;$ zk6Jd@3L4Qmg5)Y)mQ<<9!3(b=HOa(JqK2EQ8YvE3bL$hCFB6MyBg|=l#-2wBe;cu~ z=3B3BRAcIWcRO&3H9${!>@6^PJ7jtv@>Dau$L-?0GAuuYgxN5{Ut&L4*UoJ*hqV!} zEG0i*So2)35^Kt!hShQw1Q{u=PHBHf0e)}D!Yrq2M}U-X8Eh8W*PNqYEmVt!TzI4* zi~{5Tv>A#3YOIaAw2$UMkcgh)ELaQnHV%sZXu8ac*|F#TTwjm7T@D%U`c*Q`)Q5os zJyz|$%R_$E;miGm6Oo2VLDwprV&>x9k%I|k&oo9%V0*(5eB*fqjW-3BVEVXl7X~i& zW=vhQ1nLPTsn*3vAErb;XaL3cuD^JnuGgtO+Ju+b{B5KoO5np13W zE7grmlEBGv@Hgd?NO26Qtx{4)_u!*(!_c0Xy|}Mo*23(3U(Q|)c{2v;5pGa%jz}P( z4zQ$*YvG$3$;TAgCkWceJa!LzN{4o|kue_7%24ly@1u#JzdV(4{7j=*lY(|m;vI~Q zV>ipvhc`#i6{V$Cm^u7BlpjxLSjeY7pHL9_s5;NF?v|f8*?3u$DZY2blW>)gfo{^a zQ`1CnZU3ah+aAp#b*{%fx37fj(_1g3Yigf;ogJh(;YV&Yl*__j zYH~u^H+X~L-O|^6cYt;3o6;%tg))&Y4TX%>7(w^L%}y3Fiyc49{$Q@eO#3lIXN=kT zVWsx&`6op<5+(4bECSk_VIJ9>eE|-w7HxB_l5!Az#DW$#7>?L;2LbhB)NPY;In&r~$;iaH{@>8}0^RHD~eJ z2MMR_aqbeHZVg{qLwd<_+;}T@E|Y3loR*)8b>+RhI8`um`zH7uPjq;(8EU^phw_Cc z8}u2SwYNAp2xlb~q@7y7DXbaIv(Koh$SX6JCL`!IFidzGQ)AJz3qlW5yTxhkal~t? z4y#IQB!2mF^kXIYclz3Jb$NqEd-r3uqc242bn>;Ip@i;$YXlCYWrOWW+Y*s0Vxk@# zR`TOB%TTg=k@ZTW_zu2UJ_WJ;D(nw_7Sf+gACXK+#t4y@dSOE_JSJ=;9a~{2-=$(q zXFnKbLrNEG&rSMIFgTK77^EP==kl)^#a6;xQM|DqfkAT;xjroht6cLLMlV7hX;nHN zZQ~kXktYn1CZNl*DS9+zLaeM*o{tB@Yf}uGn>y6e>>})=#EG9Xm$)b32tz)hA8~CV z-`))1QX3ny+dy3>+DR^j)-((+6U?{N})PoqoU2;X%R@6+LN+jz^ z6w$5N%w0~y=zDwJBzD{8XhTkii;2#VAJcDRd($Uer z#+1AwIgNR3MQa84a?rq;w~b)h>h&;&R^lMP184afXXSF>x67j z1jd`Z_`g_nlhSFf%2F$}g>hz=>N{?3`<%LL1RA4OCQJXPp)RPAb2W}J}W|hxp$=%DTZIzD9Rv|+Ri@i$ObVM$0@hrUL8xc2HZPla1_~j)3%)7 z%!8WhVVuf>PeM1f1HUuy^el4)bH@-?c}S{!WHOpyPm23fcRY9R|W{yuaW#!31kO{k#O72p?f$@#T0e3(UV258ZB- z1r~-uKK9KV9-LlO#XFrlD5T-TDQx7i8LW$)gkWT~)!z>Fw_lllI8NxW*+;M>^cpwx zC5Uh)hw<9GY(5zC%32Q{`KId;vhv^xek28M%rVDk?*?&lgkzJiHRk$fhho$!rZG68 z^D8=}+(m(DTzOPl2MF4gwQW^nITvNx*q^@r2KSzX1N` zf&7>GiYZCW9f=RS)X==RqPje#YK6M73BiL&^wAMMP1DDn;`xRmPbt3byY@uZ#(NvZ z)Xl4h?ULiu+$6>tuk>%xtXV83USI< zt7suxP90|(8{1l@HeWz;XcTS^m@&h+hg9!na)Jsg<5**5^d@V~1how>^Zf0fcXX-L zl~ebDz@@dyEqvDt=!{78TEkOQ;#VjZL6b!1bxc&8@!B@FYz8(9LwJ8h@H3vmitbEz z#}F1Z9Iu<#0iqCkZxvP72BEHPQl&@;@ z>T^#T##r*~PR&13SO-irTaAtb7;qKv4(UX;jbB+yvLR5=fa!kqB6eI; z`jBuvF*83dpE3U>_A|;GY_oYMaWs=z;fZqXLbur!I|dOtk@sDa)Ro2MSKW$={E96# zMMTE3iTmHulwE!%--YG27N!{eilmboE5_*Q)gzi5;y>0*9iPjQRGnMcO;2B;t+9<8 z1+vHjj-IZ>{^{?fsT|cY)l>F9iB-Wij5_tJjEsGrh_3C^b(zhSDL1!cyD*6qEI#D! z42nc;@$`QP^n_a@DcHCf7Tx;QqhK(7k#;`e+2H==si^#kd#ds(JG(};NMnX8SShA~ z(qQ=P`NGU4>s^Yf`l1Tu%va$BB*cJDK6Erj7*f6h@)r<|km!Mb|Jq^W&jW_ZO!a%8 z-X|pU5ne-E27l$xFQ95K2!_gg{0s_@SuJWscn_H5#v`Q3R?^CN_ceqdMr8Yl>y7ZK z=iH^c$Aa_SU+uq3pK3ibl?45{7u@hH4|0zrL5@k2zsK(T9B$E=l4pJI(QySvJZNAunSPuIPXPs|s)pg9}K{)K- ze2ihxwhV^Krv7Z`($;qJCt*tq^O_b~6$|L>E16Jpghn6)h>rK1Ypng z74h*q)9_hgbCV|SC2YmhfYw)akHaFHMJ;zMKJvM%-t`r-WZgT_qdYpsB~G{hnB`RA zf!^Pk1IN68hGw0~@>6l|f!&LqWC$C`Y6*b2#+^#vC*?etcVb?p6Y~ULeB1w3JMD zx}vHxWfo>|r~Tf00d=i@UdmO8FfJ-B=cr>XW*#cZ$%z0{LmH)A`SO@$GWWx- zqI9xI#;Y|JzvWcCSZ95gD0WbFyC4G;Aswd|hM>g*lvefx;k7AgLzKSeT;H(o$3JN75BNhQ{e z59zCPwdu0*oY!-~!_TEpB(l#eg-A=~Tduy?3K|`3rcq!Sp0iB`+7k*(ddHjQgK#S4h( zBwNotoZ|LF`Qi5<*mWM+SQ|lmfB*b}N)Jnl<<6#-$E=#Whd3Y4;Pb8vP4-b<;H)0u zS5#dd?bCBb4@NWEKn5>62%mT(1h5>+Sas4hV2&)I4-gSAA(sUeJD~904#RLMTnPN) z=qJyE?o&~VKCIa9wIZ$Qd(b^O3o2|Lu!1>nZnjl3KfB|P>-2E zQF!(Gaa#;5HV;KEAz?rj4&`at{UoyT9)XpDtHI-&rt`S2xBbaSHhO8Qu*xvC<>dIN zwtOT%-xfnV79u&sAzBl9vIa)2@4`Lnc@HNc2@+TQ#C&sI0dVeQ1Ua=}kiNd0{SGve zK^*k-!<*fK_-U;hSzm!PX}XrU0j5}(_7BAOn?ozXhQAj%L662JDwprNG_)CUV>uzW z3Nr}5+i~sLac%VAsuaM|YyrXNhs^*YMuxAc*ql3f{6A)T??++ff{j~-Pr?O&P-C7o zO1k@ikrf3Oues=&jf1N4wtkkJM4!zV48%1zEn1ziWqEYDG-AX?$}fl`7FtZJH$%C% zcP9@QVdA8;Zs1D|oU6e4LMJ`O=R3!#ko1e`?ULgc4Qw6k^@)jS>8iT@Sq`j=F zG5Q5mwvuWy%}_foF2y9xaNcaq3_@3mhXAW^CoWnd&ZwAR?W`>t+tWdlOjc%R`NSYs zq|ogI-93&Z_uPiPY_NRr&{Xu}s=BtpIr zvqC%-{kov8?@dWRkn^jn$IZ$oQ*&sIsTQ0U#NiTB?8c%fAm~AA@qrQZX~gF3pHUx3 zn2xMqYRk?il{AdWbtiZZmKy?LW3^`Qli>q3TbF%`~-D=}5l%2Vo~|AUfL&q|G{?MF!T*`bbr znNb>lv&bSGO@8D`Z#I0c%l^`Fq8Dble;e+}lZ7m#+jk#0?ZP!x6UT=Q^PD?O@lijMq@5 zD86PJ&J77w6iI4d@PBh;u)pKf&T)NjtaiiEf-yg}IDaLjo%!XHo+g|XKk@f90 zzvUE7BL3hV3sHDW0yC-;G~j2Prfado)-kthuz~Wo^$j1lC{Mm`g#H1l$d;~yr5Yc7qQ561c-I&K0AoBj3XfR(%WLty!UVSMN z_9=11Nd$?W=Dvc~#MBooE)3ACFS%sHpirJjQ>v8-tW5hH5mg;*{VOfu@{*Ckowy1~ zOmVF|#8v7pQa!-cy$_Nk%}Br&c>gnZ4I2D5wU$%O5;999bI)rB zp7W8y(X6;Ir7a3VgeJm?cQ?@7_ZMJO(=65X20@YN~*eSaS^2!SC+Y9#)~=D%m)XT}VFt zi0PQ;b9-2YiIp-J*3g$>lvxY%cmW9xgP5556POtW=z_Ar21lMBw=$Q^M})e`l%?4_oASeBAtM6(rUuf|35ymG&zxkd(NAxf>`KN|A9zrAj~!>;SDlJ!n0? zfQHEVM+RhI%~imMu!mcIuRZ*}PeU9hhb?|D$$-lO-HXcjb|c~Q9Ha)_3b!@w1QzN? z6%qHvE`#sgFQ8U>zyB>C3*hv${5zVSC#}k2GC)3LBoaQJzV^kOlK79QkSl;R=}>RA z{>R%AW_VzZNm&azn}K7t=l%%i-wzqMa0Ypno@(5xv&N;KT4`5}B#*Vfra8~Vcvn1^ z_@II`ts?Wu7ZRWMTi4eY$Ku~k(l%IgdP-u_>||)vg)6YR7^EteLAW*@?l#9m1k}=H zIXI(+$g?9sJEYL~)Y1(VFcz?3*@5iCkbcJCkajthAHzzrM#frMfqHp>u#qlB$EM(d zI}k=A^(I#9A*qQp4&itQ`(v&AIqEFuA^bAxe9Nb*O*U^!nPufFqKR6jj+RL3(s?6t z3qq{_01F#Pnr>P&C(HrY7u&Nh8VoIV@)9yu!HaW>_NuYwk)@hf zmPW8IBNk!+0B(JN{RS*Pf$4a%8l1nWdh0BxFjQ!pF3KdlQsyW~B4@tw(_8ae@}DYg zt>Y4tB1yV0fByiOdSrChO#c8G&-_TLv-Mh;OEA=s3;a4Yfsu7yNmvzSDrr~{K^U`R z%c}jO`Fh1O{PnHO)jU16QutDF)kT-vAy~4z6Qx|$kH0g zVo7Oanw77;(%`AE7Y(xydylRb4GLKd^bq`2GqG7Dfvll;1dDIKBlb90iqI^i_0_{> zkpzJLWZ3&$VoY@jFdH6aYNRW+syu#|mcO%!|xchryBf%-zl(ns=O^DxY zVpeNxc~himZw#rhBaidn30_oF;zz32I+K0B%i%J;$YQ5YC)XK_7P7)FzQ}{3BRBGuH#lHaa@%?T04v*%{ot{>AX3DjAPa;0;HPXK zV6q2Bk4a{89;a1H9!a?0eZNipu=tp>e22_HAg%3l$KKe+m8Ehu=E&}Nm&%W8%E(wibN>HYPhw{x$XWAX4nZebg)#> z$puQ1AIQqv+;YV1H{x)VG_y@B7kOQc+1ZZei6-Rk zNyhi0x)(U=yvZr(sI^2`29<@CZf(!hjCyPE>+sVk=;{`dHI&w%S9+IQu+w|!A%&Ek zwGT^P*SgO-kEL=&1r%w20&*(6hcA|&Ii#o!Sn8Nzy}RRIUmC(9mLO4yH?cn5u{!6$R=(wR zeeZ)GP$pZ%gIXEVpHTP5g_kJ^v? z6V3tH`G;(6;Z<2$B^E$dzE8V8?KOr{5E4YH34g ztAXj}jzuA6YddNmQE+{UuzX9)V1{aw;vhfj?lwC{2;6()QJtVj>FstB!b=7vg~=O$ zcsmWnuxf?7X2YyHexImv5th%gNh`>*1O0kZ&RG=N zT2!&sxc9gOTcxqjK(@$|_@|%3?|)RZ2(Wof47|-@U*#BF6j!&fDtD#-4_BifVb9Hi#W> zZ4OBqRgWrmw)U_G8cw03rh_c_m||3s{4l_Lrs07)c)h#Y`0OZ@9KBGMWrkRzKvihkN2h>{&l1w1t9YS)qF(IV5Q+Z=-F9BTfA`BMPH7lP;P+^K4n^5g;QM{K?~5D-?$_MosHmWjy!}0xROO}B zowC}fwM0dMB*xz$ZUb+A0m8#-sHq<*p0mJ6>QWDgNn#ouWD=Fs3fO~VzpmH4h87hx JTA<~@|JmcCdKLfx literal 0 HcmV?d00001 diff --git a/ASM_OLD/Detektor tmy s 1 led/tma.asm b/ASM_OLD/Detektor tmy s 1 led/tma.asm new file mode 100644 index 0000000..0012136 --- /dev/null +++ b/ASM_OLD/Detektor tmy s 1 led/tma.asm @@ -0,0 +1,94 @@ +;pracuje jako 5-ti bitovy binarni citac +;vystupy 3 a 4 jsou prohozene kvuli desce +.device attiny13 +.def i=r20 ;prvni citac, cita jen pro zpomaleni +.def j=r21 ;druhy citac, cita az do TOP, pak se oba vynulujou +.def cnt=r22 ;maximalni hodnota pro citac j -> vynulovani a dalsi faze + +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu +.org 0x0006 ;TC0 overflow A + rjmp CASOVAC + + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + ldi r16,0b11111111 ;smer pinu + out DDRB,r16 + + ldi r16,0b00000000 ;PullUpy + out PORTB,r16 + + ldi r16,(1<ceka se na dalsi interrupt + brne CASEND + clr i + inc j + cpi j,10 + brne CASEND ;je j=10 (delay=1s)? ne -> ceka se dal + clr cnt + clr i + clr j + + ldi r16,0b00000011 ;outputy + out DDRB,r16 + + ldi r16,0b00000010 ;obracene pripojeni led + out PORTB,r16 + + ldi r16,0b00000001 ;input na PB1 + out DDRB,r16 + +cekani: in r16,PINB ;kdyz je pinb1 zapnuty dele nez 150 cyklu tak je svetlo + sbrs r16,1 + rjmp tma + inc cnt + cpi cnt, 250 + brne cekani + + ldi r16,0b00000011 ;svetlo -> zhasiname + out DDRB,r16 + ldi r16,0b00000000 ;led nesviti + out PORTB,r16 + rjmp casend + +tma: ldi r16,0b00000011 ;tma -> sekundu bude svitit + out DDRB,r16 + ldi r16,0b00000001 ;led sviti + out PORTB,r16 + + +CASEND: + reti + diff --git a/ASM_OLD/EEPROM/t13-EEPROM_nahoda/AvrBuild.bat b/ASM_OLD/EEPROM/t13-EEPROM_nahoda/AvrBuild.bat new file mode 100644 index 0000000..b7bbed5 --- /dev/null +++ b/ASM_OLD/EEPROM/t13-EEPROM_nahoda/AvrBuild.bat @@ -0,0 +1,2 @@ +@ECHO OFF +"C:\Program Files\Atmel\AVR Tools\AvrAssembler2\avrasm2.exe" -S "C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\labels.tmp" -fI -W+ie -o "C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.hex" -d "C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.obj" -e "C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.eep" -m "C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.map" "C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm" diff --git a/ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.aps b/ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.aps new file mode 100644 index 0000000..2309df2 --- /dev/null +++ b/ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.aps @@ -0,0 +1 @@ +EEPROM_nahoda14-Sep-2007 21:26:1514-Sep-2007 21:30:30208014-Sep-2007 21:26:1544, 13, 0, 528Atmel AVR AssemblerEEPROM_nahoda.objC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_nahoda\EEPROM_nahoda.asmC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_nahoda\AVR SimulatorATtiny13.xmlfalseR00R01R02R03R04R05R06R07R08R09R10R11R12R13R14R15R16R17R18R19R20R21R22R23R24R25R26R27R28R29R30R31Auto000C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_nahoda\EEPROM_nahoda.asmC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_nahoda\EEPROM_nahoda.asmC:\Program Files\Atmel\AVR Tools\AvrAssembler\AppnotesIEEPROM_nahoda00100,C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\EEPROM_nahoda.asm00000EEPROM_nahoda.asm1197 71 1026 566108 0Maximized diff --git a/ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.asm b/ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.asm new file mode 100644 index 0000000..c86d924 --- /dev/null +++ b/ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.asm @@ -0,0 +1,108 @@ +;EEPROM_nahoda +;pokud je stisknuto tlacitko PB0, +;hrozne rychle se z EEPROM ctou hodnoty na adresach 0-3 +;a davaji se na PORTB +;Vypada to pak, jako by se pri drzeni tlacitka rozsvitily obe ledky PB1 a PB2, +;ale po pusteni tlacitka na nich zustane 'nahodna' kombinace 1 a 0. + +.include "tn13def.inc" + +.def CNT=r20 + +.cseg +.org 0x0000 ;zacatek kodu + RJMP RESET ;skok na start po resetu + + +.org 0x0010 ;zacatek vlastniho programu + +RESET: + LDI r16,low(RAMEND) ;nastavi stack pointer + OUT SPL,r16 + + LDI r16,0b00000110 ;nastavi I/O nohy + OUT DDRB,r16 + +LOOP: + RCALL cekani ;zavolej cekani pro zpomaleni celeho programu + IN r16,PINB ;Porovnej stav PB0 s 1 a pokud neni rovno, jdi na LOOP + ANDI r16,0b00000001 + CPI r16,0b00000001 + BRNE LOOP + + MOV r17,CNT ;do r17 (adresovy registr) dej CNT + RCALL EEread ;zavolej cteni z EEPROM - adresa je r17, do r16 se ulozi data. + OUT PORTB,r16 ;posli data z r16 ven + + INC CNT ;inkrementuj CNT + CPI CNT,4 ;pokud je mensi nez 4, jdi na loop + BRLT LOOP + CLR CNT ;jinak vynuluj CNT + RJMP LOOP ;a jdi na LOOP + +; +;EEread je funkce pro cteni z EEPROM +;do r17 se ulozi adresa, +;zavola se EEread +;a v r16 se objevi hodnota, ktera je na adrese. +EEread: + SBIC EECR,1 + RJMP EEread ;cekani dokud neni EEPROM ready + + OUT EEARL, r17 ;ulozit adresu z r17 + SBI EECR,0 ;nastavenim EERE zacina cteni + IN r16,EEDR ;cteni dat z EEDR do r16 + + RET +;zapis do EEPROM, v R17 je ADRESA, v R16 jsou DATA +EEwrite: + SBIC EECR,EEPE + RJMP EEwrite ;cekani dokud neni EEPROM ready + + LDI r16, 0 ;nastavit programovaci mod + OUT EECR, r16 + + OUT EEARL, r17 ;dej adresu z r17 do EEARL + OUT EEDR, r16 ;dej data z r16 do EEDR + SBI EECR,EEMPE ;master program enable + SBI EECR,EEPE ;program enable + + RET + +;cekaci cyklus +CEKANI: + PUSH r18 ;ulozit pouzivane registry do STACKU + PUSH r17 + PUSH r16 + + LDI r16, 3 +L1: DEC r16 ;cekaci smycka vnejsi - zacatek + LDI r17, 3 +L2: DEC r17 ; cekaci smycka stredni - zacatek + LDI r18, 3 +L3: DEC r18 ; cekaci smycka vnitrni - zacatek + CPI r18, 0 + BRNE L3 ; cekaci smycka vnitrni - konec + CPI r17, 0 + BRNE L2 ; cekaci smycka stredni - konec + CPI r16, 0 + BRNE L1 ;cekaci smycka vnejsi - konec + + POP r16 ;nacist puvodni obsah registru ze STACKU + POP r17 + POP r18 + + RET ;navrat do hlavniho programu + +.eseg ;zacatek ESEGu +.org 0x00 ;adresa 0 +.DB 0b00000000 ;tady se definujou byty postupne podle adres. +.DB 0b00000010 +.DB 0b00000100 +.DB 0b00000110 + + + + + + diff --git a/ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.eep b/ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.eep new file mode 100644 index 0000000..5a68132 --- /dev/null +++ b/ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.eep @@ -0,0 +1,2 @@ +:0400000000020406F0 +:00000001FF diff --git a/ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.map b/ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.map new file mode 100644 index 0000000..de3cef2 --- /dev/null +++ b/ASM_OLD/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.map @@ -0,0 +1,289 @@ + +AVRASM ver. 2.1.12 C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm Fri Sep 14 21:26:55 2007 + + +EQU SIGNATURE_000 0000001e +EQU SIGNATURE_001 00000090 +EQU SIGNATURE_002 00000007 +EQU SREG 0000003f +EQU SPL 0000003d +EQU GIMSK 0000003b +EQU GIFR 0000003a +EQU TIMSK0 00000039 +EQU TIFR0 00000038 +EQU SPMCSR 00000037 +EQU OCR0A 00000036 +EQU MCUCR 00000035 +EQU MCUSR 00000034 +EQU TCCR0B 00000033 +EQU TCNT0 00000032 +EQU OSCCAL 00000031 +EQU TCCR0A 0000002f +EQU DWDR 0000002e +EQU OCR0B 00000029 +EQU GTCCR 00000028 +EQU CLKPR 00000026 +EQU WDTCR 00000021 +EQU EEAR 0000001e +EQU EEDR 0000001d +EQU EECR 0000001c +EQU PORTB 00000018 +EQU DDRB 00000017 +EQU PINB 00000016 +EQU PCMSK 00000015 +EQU DIDR0 00000014 +EQU ACSR 00000008 +EQU ADMUX 00000007 +EQU ADCSRA 00000006 +EQU ADCH 00000005 +EQU ADCL 00000004 +EQU ADCSRB 00000003 +EQU MUX0 00000000 +EQU MUX1 00000001 +EQU ADLAR 00000005 +EQU REFS0 00000006 +EQU ADPS0 00000000 +EQU ADPS1 00000001 +EQU ADPS2 00000002 +EQU ADIE 00000003 +EQU ADIF 00000004 +EQU ADATE 00000005 +EQU ADSC 00000006 +EQU ADEN 00000007 +EQU ADCH0 00000000 +EQU ADCH1 00000001 +EQU ADCH2 00000002 +EQU ADCH3 00000003 +EQU ADCH4 00000004 +EQU ADCH5 00000005 +EQU ADCH6 00000006 +EQU ADCH7 00000007 +EQU ADCL0 00000000 +EQU ADCL1 00000001 +EQU ADCL2 00000002 +EQU ADCL3 00000003 +EQU ADCL4 00000004 +EQU ADCL5 00000005 +EQU ADCL6 00000006 +EQU ADCL7 00000007 +EQU ADTS0 00000000 +EQU ADTS1 00000001 +EQU ADTS2 00000002 +EQU ADC1D 00000002 +EQU ADC3D 00000003 +EQU ADC2D 00000004 +EQU ADC0D 00000005 +EQU ACME 00000006 +EQU ACIS0 00000000 +EQU ACIS1 00000001 +EQU ACIE 00000003 +EQU ACI 00000004 +EQU ACO 00000005 +EQU ACBG 00000006 +EQU AINBG 00000006 +EQU ACD 00000007 +EQU AIN0D 00000000 +EQU AIN1D 00000001 +EQU EEARL 0000001e +EQU EEAR0 00000000 +EQU EEAR1 00000001 +EQU EEAR2 00000002 +EQU EEAR3 00000003 +EQU EEAR4 00000004 +EQU EEAR5 00000005 +EQU EEDR0 00000000 +EQU EEDR1 00000001 +EQU EEDR2 00000002 +EQU EEDR3 00000003 +EQU EEDR4 00000004 +EQU EEDR5 00000005 +EQU EEDR6 00000006 +EQU EEDR7 00000007 +EQU EERE 00000000 +EQU EEWE 00000001 +EQU EEPE 00000001 +EQU EEMWE 00000002 +EQU EEMPE 00000002 +EQU EERIE 00000003 +EQU EEPM0 00000004 +EQU EEPM1 00000005 +EQU SREG_C 00000000 +EQU SREG_Z 00000001 +EQU SREG_N 00000002 +EQU SREG_V 00000003 +EQU SREG_S 00000004 +EQU SREG_H 00000005 +EQU SREG_T 00000006 +EQU SREG_I 00000007 +EQU SP0 00000000 +EQU SP1 00000001 +EQU SP2 00000002 +EQU SP3 00000003 +EQU SP4 00000004 +EQU SP5 00000005 +EQU SP6 00000006 +EQU SP7 00000007 +EQU ISC00 00000000 +EQU ISC01 00000001 +EQU SM0 00000003 +EQU SM1 00000004 +EQU SE 00000005 +EQU PUD 00000006 +EQU PORF 00000000 +EQU EXTRF 00000001 +EQU BORF 00000002 +EQU WDRF 00000003 +EQU CAL0 00000000 +EQU CAL1 00000001 +EQU CAL2 00000002 +EQU CAL3 00000003 +EQU CAL4 00000004 +EQU CAL5 00000005 +EQU CAL6 00000006 +EQU CLKPS0 00000000 +EQU CLKPS1 00000001 +EQU CLKPS2 00000002 +EQU CLKPS3 00000003 +EQU CLKPCE 00000007 +EQU DWDR0 00000000 +EQU DWDR1 00000001 +EQU DWDR2 00000002 +EQU DWDR3 00000003 +EQU DWDR4 00000004 +EQU DWDR5 00000005 +EQU DWDR6 00000006 +EQU DWDR7 00000007 +EQU SPMEN 00000000 +EQU PGERS 00000001 +EQU PGWRT 00000002 +EQU RFLB 00000003 +EQU CTPB 00000004 +EQU PORTB0 00000000 +EQU PB0 00000000 +EQU PORTB1 00000001 +EQU PB1 00000001 +EQU PORTB2 00000002 +EQU PB2 00000002 +EQU PORTB3 00000003 +EQU PB3 00000003 +EQU PORTB4 00000004 +EQU PB4 00000004 +EQU PORTB5 00000005 +EQU PB5 00000005 +EQU DDB0 00000000 +EQU DDB1 00000001 +EQU DDB2 00000002 +EQU DDB3 00000003 +EQU DDB4 00000004 +EQU DDB5 00000005 +EQU PINB0 00000000 +EQU PINB1 00000001 +EQU PINB2 00000002 +EQU PINB3 00000003 +EQU PINB4 00000004 +EQU PINB5 00000005 +EQU GICR 0000003b +EQU PCIE 00000005 +EQU INT0 00000006 +EQU PCIF 00000005 +EQU INTF0 00000006 +EQU PCINT0 00000000 +EQU PCINT1 00000001 +EQU PCINT2 00000002 +EQU PCINT3 00000003 +EQU PCINT4 00000004 +EQU PCINT5 00000005 +EQU TOIE0 00000001 +EQU OCIE0A 00000002 +EQU OCIE0B 00000003 +EQU TOV0 00000001 +EQU OCF0A 00000002 +EQU OCF0B 00000003 +EQU OCR0_0 00000000 +EQU OCR0_1 00000001 +EQU OCR0_2 00000002 +EQU OCR0_3 00000003 +EQU OCR0_4 00000004 +EQU OCR0_5 00000005 +EQU OCR0_6 00000006 +EQU OCR0_7 00000007 +EQU WGM00 00000000 +EQU WGM01 00000001 +EQU COM0B0 00000004 +EQU COM0B1 00000005 +EQU COM0A0 00000006 +EQU COM0A1 00000007 +EQU TCNT0_0 00000000 +EQU TCNT0_1 00000001 +EQU TCNT0_2 00000002 +EQU TCNT0_3 00000003 +EQU TCNT0_4 00000004 +EQU TCNT0_5 00000005 +EQU TCNT0_6 00000006 +EQU TCNT0_7 00000007 +EQU CS00 00000000 +EQU CS01 00000001 +EQU CS02 00000002 +EQU WGM02 00000003 +EQU FOC0B 00000006 +EQU FOC0A 00000007 +EQU PSR10 00000000 +EQU TSM 00000007 +EQU WDP0 00000000 +EQU WDP1 00000001 +EQU WDP2 00000002 +EQU WDE 00000003 +EQU WDCE 00000004 +EQU WDP3 00000005 +EQU WDTIE 00000006 +EQU WDTIF 00000007 +EQU LB1 00000000 +EQU LB2 00000001 +EQU CKSEL0 00000000 +EQU CKSEL1 00000001 +EQU SUT0 00000002 +EQU SUT1 00000003 +EQU CKDIV8 00000004 +EQU WDTON 00000005 +EQU EESAVE 00000006 +EQU SPIEN 00000007 +EQU RSTDISBL 00000000 +EQU BODLEVEL0 00000001 +EQU BODLEVEL1 00000002 +EQU DWEN 00000003 +EQU SELFPRGEN 00000004 +DEF XH r27 +DEF XL r26 +DEF YH r29 +DEF YL r28 +DEF ZH r31 +DEF ZL r30 +EQU FLASHEND 000001ff +EQU IOEND 0000003f +EQU SRAM_START 00000060 +EQU SRAM_SIZE 00000040 +EQU RAMEND 0000009f +EQU XRAMEND 00000000 +EQU E2END 0000003f +EQU EEPROMEND 0000003f +EQU EEADRBITS 00000006 +EQU PAGESIZE 00000010 +EQU INT0addr 00000001 +EQU PCI0addr 00000002 +EQU OVF0addr 00000003 +EQU ERDYaddr 00000004 +EQU ACIaddr 00000005 +EQU OC0Aaddr 00000006 +EQU OC0Baddr 00000007 +EQU WDTaddr 00000008 +EQU ADCCaddr 00000009 +EQU INT_VECTORS_SIZE 0000000a +DEF CNT r20 +CSEG RESET 00000010 +CSEG LOOP 00000014 +CSEG cekani 00000030 +CSEG EEread 00000021 +CSEG EEwrite 00000027 +CSEG L1 00000034 +CSEG L2 00000036 +CSEG L3 00000038 diff --git a/ASM_OLD/EEPROM/t13-EEPROM_nahoda/eeprom_nahoda.aws b/ASM_OLD/EEPROM/t13-EEPROM_nahoda/eeprom_nahoda.aws new file mode 100644 index 0000000..fa4a469 --- /dev/null +++ b/ASM_OLD/EEPROM/t13-EEPROM_nahoda/eeprom_nahoda.aws @@ -0,0 +1 @@ + diff --git a/ASM_OLD/EEPROM/t13-EEPROM_nahoda/labels.tmp b/ASM_OLD/EEPROM/t13-EEPROM_nahoda/labels.tmp new file mode 100644 index 0000000..56bc899 --- /dev/null +++ b/ASM_OLD/EEPROM/t13-EEPROM_nahoda/labels.tmp @@ -0,0 +1,32 @@ + + 2.1.12 + ATtiny13 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda + + C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes + + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm + + C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\tn13def.inc + + + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.obj + + + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.hex + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.eep + + + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.map + + + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm19 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm26 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm73 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm48 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm58 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm79 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm81 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm83 + + diff --git a/ASM_OLD/EEPROM/t13-EEPROM_read/AvrBuild.bat b/ASM_OLD/EEPROM/t13-EEPROM_read/AvrBuild.bat new file mode 100644 index 0000000..f8d9506 --- /dev/null +++ b/ASM_OLD/EEPROM/t13-EEPROM_read/AvrBuild.bat @@ -0,0 +1,2 @@ +@ECHO OFF +"C:\Program Files\Atmel\AVR Tools\AvrAssembler2\avrasm2.exe" -S "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\labels.tmp" -fI -W+ie -o "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.hex" -d "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.obj" -e "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.eep" -m "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.map" "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asm" diff --git a/ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.aps b/ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.aps new file mode 100644 index 0000000..16b6aa7 --- /dev/null +++ b/ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.aps @@ -0,0 +1 @@ +06-Sep-2007 20:57:1313-Sep-2007 21:45:27006-Sep-2007 20:57:1344, 13, 0, 52808-Sep-2007 21:57:3208-Sep-2007 21:57:32008-Sep-2007 21:57:3244, 13, 0, 528Atmel AVR Assembler208EEPROM_access10-Sep-2007 21:52:0110-Sep-2007 21:52:01208010-Sep-2007 21:52:0144, 13, 0, 528Atmel AVR AssemblerEEPROM_access.objC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asmC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\ATtiny13falseR00R01R02R03R04R05R06R07R08R09R10R11R12R13R14R15R16R17R18R19R20R21R22R23R24R25R26R27R28R29R30R31ATmega16.xmlAVR SimulatorAVR SimulatorATtiny13.xmlAuto000C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asmC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asmC:\Program Files\Atmel\AVR Tools\AvrAssembler\AppnotesIEEPROM_access00100,C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\EEPROM_access.asm00000EEPROM_access.asm257197 71 1026 566100 0Maximized diff --git a/ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.asm b/ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.asm new file mode 100644 index 0000000..9a31283 --- /dev/null +++ b/ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.asm @@ -0,0 +1,49 @@ +;program cyklicky nacita z EEPROM adresy:0x00 hodnotu (0b00000101) a dava ji do PORTB. + +.include "tn13def.inc" + +.cseg +.org 0x0000 ;zacatek kodu + RJMP RESET ;skok na start po resetu + + +.org 0x0010 ;zacatek vlastniho programu + +RESET: + LDI r16,low(RAMEND) ;nastavi stack pointer + OUT SPL,r16 + + LDI r16,0b00000111 + OUT DDRB,r16 + +LOOP: + LDI r17,0 + RCALL EEread + OUT PORTB,r16 + RJMP LOOP + +; +;EEread je funkce pro cteni z EEPROM +;do r17 se ulozi adresa, +;zavola se EEread +;a v r16 se objevi hodnota, ktera je na adrese. +EEread: + SBIC EECR,1 + RJMP EEread ;cekani dokud neni EEPROM ready + + OUT EEARL, r17 ;ulozit adresu z r17 + SBI EECR,0 ;nastavenim EERE zacina cteni + IN r16,EEDR ;cteni dat z EEDR do r16 + + RET + + +.eseg +.org 0x00 +.DB 0b00000101 + + + + + + diff --git a/ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.eep b/ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.eep new file mode 100644 index 0000000..e08e63e --- /dev/null +++ b/ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.eep @@ -0,0 +1,2 @@ +:0100000005FA +:00000001FF diff --git a/ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.map b/ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.map new file mode 100644 index 0000000..d0b1aaa --- /dev/null +++ b/ASM_OLD/EEPROM/t13-EEPROM_read/EEPROM_read.map @@ -0,0 +1,283 @@ + +AVRASM ver. 2.1.12 C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asm Thu Sep 13 21:48:45 2007 + + +EQU SIGNATURE_000 0000001e +EQU SIGNATURE_001 00000090 +EQU SIGNATURE_002 00000007 +EQU SREG 0000003f +EQU SPL 0000003d +EQU GIMSK 0000003b +EQU GIFR 0000003a +EQU TIMSK0 00000039 +EQU TIFR0 00000038 +EQU SPMCSR 00000037 +EQU OCR0A 00000036 +EQU MCUCR 00000035 +EQU MCUSR 00000034 +EQU TCCR0B 00000033 +EQU TCNT0 00000032 +EQU OSCCAL 00000031 +EQU TCCR0A 0000002f +EQU DWDR 0000002e +EQU OCR0B 00000029 +EQU GTCCR 00000028 +EQU CLKPR 00000026 +EQU WDTCR 00000021 +EQU EEAR 0000001e +EQU EEDR 0000001d +EQU EECR 0000001c +EQU PORTB 00000018 +EQU DDRB 00000017 +EQU PINB 00000016 +EQU PCMSK 00000015 +EQU DIDR0 00000014 +EQU ACSR 00000008 +EQU ADMUX 00000007 +EQU ADCSRA 00000006 +EQU ADCH 00000005 +EQU ADCL 00000004 +EQU ADCSRB 00000003 +EQU MUX0 00000000 +EQU MUX1 00000001 +EQU ADLAR 00000005 +EQU REFS0 00000006 +EQU ADPS0 00000000 +EQU ADPS1 00000001 +EQU ADPS2 00000002 +EQU ADIE 00000003 +EQU ADIF 00000004 +EQU ADATE 00000005 +EQU ADSC 00000006 +EQU ADEN 00000007 +EQU ADCH0 00000000 +EQU ADCH1 00000001 +EQU ADCH2 00000002 +EQU ADCH3 00000003 +EQU ADCH4 00000004 +EQU ADCH5 00000005 +EQU ADCH6 00000006 +EQU ADCH7 00000007 +EQU ADCL0 00000000 +EQU ADCL1 00000001 +EQU ADCL2 00000002 +EQU ADCL3 00000003 +EQU ADCL4 00000004 +EQU ADCL5 00000005 +EQU ADCL6 00000006 +EQU ADCL7 00000007 +EQU ADTS0 00000000 +EQU ADTS1 00000001 +EQU ADTS2 00000002 +EQU ADC1D 00000002 +EQU ADC3D 00000003 +EQU ADC2D 00000004 +EQU ADC0D 00000005 +EQU ACME 00000006 +EQU ACIS0 00000000 +EQU ACIS1 00000001 +EQU ACIE 00000003 +EQU ACI 00000004 +EQU ACO 00000005 +EQU ACBG 00000006 +EQU AINBG 00000006 +EQU ACD 00000007 +EQU AIN0D 00000000 +EQU AIN1D 00000001 +EQU EEARL 0000001e +EQU EEAR0 00000000 +EQU EEAR1 00000001 +EQU EEAR2 00000002 +EQU EEAR3 00000003 +EQU EEAR4 00000004 +EQU EEAR5 00000005 +EQU EEDR0 00000000 +EQU EEDR1 00000001 +EQU EEDR2 00000002 +EQU EEDR3 00000003 +EQU EEDR4 00000004 +EQU EEDR5 00000005 +EQU EEDR6 00000006 +EQU EEDR7 00000007 +EQU EERE 00000000 +EQU EEWE 00000001 +EQU EEPE 00000001 +EQU EEMWE 00000002 +EQU EEMPE 00000002 +EQU EERIE 00000003 +EQU EEPM0 00000004 +EQU EEPM1 00000005 +EQU SREG_C 00000000 +EQU SREG_Z 00000001 +EQU SREG_N 00000002 +EQU SREG_V 00000003 +EQU SREG_S 00000004 +EQU SREG_H 00000005 +EQU SREG_T 00000006 +EQU SREG_I 00000007 +EQU SP0 00000000 +EQU SP1 00000001 +EQU SP2 00000002 +EQU SP3 00000003 +EQU SP4 00000004 +EQU SP5 00000005 +EQU SP6 00000006 +EQU SP7 00000007 +EQU ISC00 00000000 +EQU ISC01 00000001 +EQU SM0 00000003 +EQU SM1 00000004 +EQU SE 00000005 +EQU PUD 00000006 +EQU PORF 00000000 +EQU EXTRF 00000001 +EQU BORF 00000002 +EQU WDRF 00000003 +EQU CAL0 00000000 +EQU CAL1 00000001 +EQU CAL2 00000002 +EQU CAL3 00000003 +EQU CAL4 00000004 +EQU CAL5 00000005 +EQU CAL6 00000006 +EQU CLKPS0 00000000 +EQU CLKPS1 00000001 +EQU CLKPS2 00000002 +EQU CLKPS3 00000003 +EQU CLKPCE 00000007 +EQU DWDR0 00000000 +EQU DWDR1 00000001 +EQU DWDR2 00000002 +EQU DWDR3 00000003 +EQU DWDR4 00000004 +EQU DWDR5 00000005 +EQU DWDR6 00000006 +EQU DWDR7 00000007 +EQU SPMEN 00000000 +EQU PGERS 00000001 +EQU PGWRT 00000002 +EQU RFLB 00000003 +EQU CTPB 00000004 +EQU PORTB0 00000000 +EQU PB0 00000000 +EQU PORTB1 00000001 +EQU PB1 00000001 +EQU PORTB2 00000002 +EQU PB2 00000002 +EQU PORTB3 00000003 +EQU PB3 00000003 +EQU PORTB4 00000004 +EQU PB4 00000004 +EQU PORTB5 00000005 +EQU PB5 00000005 +EQU DDB0 00000000 +EQU DDB1 00000001 +EQU DDB2 00000002 +EQU DDB3 00000003 +EQU DDB4 00000004 +EQU DDB5 00000005 +EQU PINB0 00000000 +EQU PINB1 00000001 +EQU PINB2 00000002 +EQU PINB3 00000003 +EQU PINB4 00000004 +EQU PINB5 00000005 +EQU GICR 0000003b +EQU PCIE 00000005 +EQU INT0 00000006 +EQU PCIF 00000005 +EQU INTF0 00000006 +EQU PCINT0 00000000 +EQU PCINT1 00000001 +EQU PCINT2 00000002 +EQU PCINT3 00000003 +EQU PCINT4 00000004 +EQU PCINT5 00000005 +EQU TOIE0 00000001 +EQU OCIE0A 00000002 +EQU OCIE0B 00000003 +EQU TOV0 00000001 +EQU OCF0A 00000002 +EQU OCF0B 00000003 +EQU OCR0_0 00000000 +EQU OCR0_1 00000001 +EQU OCR0_2 00000002 +EQU OCR0_3 00000003 +EQU OCR0_4 00000004 +EQU OCR0_5 00000005 +EQU OCR0_6 00000006 +EQU OCR0_7 00000007 +EQU WGM00 00000000 +EQU WGM01 00000001 +EQU COM0B0 00000004 +EQU COM0B1 00000005 +EQU COM0A0 00000006 +EQU COM0A1 00000007 +EQU TCNT0_0 00000000 +EQU TCNT0_1 00000001 +EQU TCNT0_2 00000002 +EQU TCNT0_3 00000003 +EQU TCNT0_4 00000004 +EQU TCNT0_5 00000005 +EQU TCNT0_6 00000006 +EQU TCNT0_7 00000007 +EQU CS00 00000000 +EQU CS01 00000001 +EQU CS02 00000002 +EQU WGM02 00000003 +EQU FOC0B 00000006 +EQU FOC0A 00000007 +EQU PSR10 00000000 +EQU TSM 00000007 +EQU WDP0 00000000 +EQU WDP1 00000001 +EQU WDP2 00000002 +EQU WDE 00000003 +EQU WDCE 00000004 +EQU WDP3 00000005 +EQU WDTIE 00000006 +EQU WDTIF 00000007 +EQU LB1 00000000 +EQU LB2 00000001 +EQU CKSEL0 00000000 +EQU CKSEL1 00000001 +EQU SUT0 00000002 +EQU SUT1 00000003 +EQU CKDIV8 00000004 +EQU WDTON 00000005 +EQU EESAVE 00000006 +EQU SPIEN 00000007 +EQU RSTDISBL 00000000 +EQU BODLEVEL0 00000001 +EQU BODLEVEL1 00000002 +EQU DWEN 00000003 +EQU SELFPRGEN 00000004 +DEF XH r27 +DEF XL r26 +DEF YH r29 +DEF YL r28 +DEF ZH r31 +DEF ZL r30 +EQU FLASHEND 000001ff +EQU IOEND 0000003f +EQU SRAM_START 00000060 +EQU SRAM_SIZE 00000040 +EQU RAMEND 0000009f +EQU XRAMEND 00000000 +EQU E2END 0000003f +EQU EEPROMEND 0000003f +EQU EEADRBITS 00000006 +EQU PAGESIZE 00000010 +EQU INT0addr 00000001 +EQU PCI0addr 00000002 +EQU OVF0addr 00000003 +EQU ERDYaddr 00000004 +EQU ACIaddr 00000005 +EQU OC0Aaddr 00000006 +EQU OC0Baddr 00000007 +EQU WDTaddr 00000008 +EQU ADCCaddr 00000009 +EQU INT_VECTORS_SIZE 0000000a +CSEG RESET 00000010 +CSEG LOOP 00000014 +CSEG EEread 00000018 diff --git a/ASM_OLD/EEPROM/t13-EEPROM_read/eeprom_read.aws b/ASM_OLD/EEPROM/t13-EEPROM_read/eeprom_read.aws new file mode 100644 index 0000000..489cc81 --- /dev/null +++ b/ASM_OLD/EEPROM/t13-EEPROM_read/eeprom_read.aws @@ -0,0 +1 @@ + diff --git a/ASM_OLD/EEPROM/t13-EEPROM_read/labels.tmp b/ASM_OLD/EEPROM/t13-EEPROM_read/labels.tmp new file mode 100644 index 0000000..707b692 --- /dev/null +++ b/ASM_OLD/EEPROM/t13-EEPROM_read/labels.tmp @@ -0,0 +1,27 @@ + + 2.1.12 + ATtiny13 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access + + C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asm + + C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\tn13def.inc + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.obj + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.hex + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.eep + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.map + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asm12 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asm19 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asm30 + + diff --git a/ASM_OLD/HD44780/lcd_default.asm b/ASM_OLD/HD44780/lcd_default.asm new file mode 100644 index 0000000..c092f27 --- /dev/null +++ b/ASM_OLD/HD44780/lcd_default.asm @@ -0,0 +1,351 @@ +; Zapojeni (Připojen DMC-50399 v 4-bitovem modu): +; +------u------+ +; Vcc -> reset --+ /RST Vcc +-- napajeni +5V +; --+ PD0 PB7 +-- RS (0=instr W, BF+addr R; 1=data W/R) +; --+ PD1 PB6 +-- R/W (1=read,0=write) +; --+ PA1 PB5 +-- E (clock, active falling edge) +; --+ PA0 PB4 +-- +; --+ PD2 PB3 +-- DATA 7 +; --+ PD3 PB2 +-- DATA 6 +; --+ PD4 PB1 +-- DATA 5 +; --+ PD5 PB0 +-- DATA 4 +; GND --+ GND PD6 +-- +; +-------------+ + +;DMC-50399: +; 1 - GND +; 2 - +5V +; 3 - 0V (lcd driver) +; 4 - RS +; 5 - R/W +; 6 - E +; 7 - DATA 0 +; 8 - DATA 1 +;... +;14 - DATA 7 + +.device attiny2313 +;běží na 4MHz, ckdiv8=1 (vypnuto) +;250x16=1ms=1000us + +;LFUSE: 0xE2 -U lfuse:w:0xE2:m +;HFUSE: 0xDF -U hfuse:w:0xDF:m + + +;K O N S T A N T Y + P R E Z D I V K Y P O R T U A P I N U +.equ LCDPORT = PORTB +.equ LCDPIN = PINB +.equ LCDDDR = DDRB + +.equ RS = 7 +.equ RW = 6 +.equ E = 5 + +.equ LCD_CLEAR = 0b00000001 +.equ LCD_HOME = 0b00000010 + +.equ LCD_MODE_INC_NOSHIFT = 0b00000110 +.equ LCD_MODE_INC_SHIFT = 0b00000111 +.equ LCD_MODE_DEC_NOSHIFT = 0b00000100 +.equ LCD_MODE_DEC_SHIFT = 0b00000101 + +.equ LCD_DISPLAY_DISABLED = 0b00001000 +.equ LCD_DISPLAY_NOCURSOR = 0b00001100 +.equ LCD_DISPLAY_CURSOR = 0b00001110 +.equ LCD_DISPLAY_ALTER = 0b00001101 +.equ LCD_DISPLAY_CURSOR_ALTER = 0b00001111 + +.equ LCD_CURSOR_LEFT = 0b00010000 +.equ LCD_CURSOR_RIGHT = 0b00010100 +.equ LCD_SHIFT_LEFT = 0b00011000 +.equ LCD_SHIFT_RIGHT = 0b00011100 + + +;5x7 font, 1-line +.equ LCD_MODE_4BIT_1LINE = 0b00100000 +;.equ LCD_MODE_8BIT_1LINE = 0b00110000 +;5x7 font, 2-line +.equ LCD_MODE_4BIT_2LINE = 0b00101000 +;.equ LCD_MODE_8BIT_2LINE = 0b00111000 + +.equ ROW1_ADDR = 0x00 +.equ ROW2_ADDR = 0x40 +.equ ROW3_ADDR = 0x14 +.equ ROW4_ADDR = 0x54 + + + +;aliases +.def ZH = r31 +.def ZL = r30 +.def YH = r29 +.def YL = r28 +.def XH = r27 +.def XL = r26 + +; Z A C A T E K P R O G R A M U +;vektory preruseni +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + +.org 0x0013 + +;nastaveni po resetu +.DB "HD44780 INTERFACE" ;(nazev programu) +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + cli ;zakazat vsechna preruseni + +; Nastaveni portu + ;PORTB = LCDPORT + ldi r16,0b11111111 ;smer portu B + out LCDDDR,r16 + ldi r16,0b00000000 ;vypnout B + out LCDPORT,r16 + + sei ;Global Interrupt Enable + +; == display init == + rcall LCD_INIT + + ldi r17,LCD_MODE_INC_NOSHIFT + rcall TX_INSTR + + ldi r17,LCD_DISPLAY_NOCURSOR + rcall TX_INSTR + +; == load user-defined characters to CGRAM == (default, array label named MYCHARS, end-mark=0xFE) + ldi r17,0 + rcall CGRAM_SET_ADDR + + ldi ZH,high(MYCHARS*2) + ldi ZL,low(MYCHARS*2) +CGRAM_loop: + lpm r17,Z+ + cpi r17,0xFE + breq CGRAM_loop_end + rcall TX_DATA + rjmp CGRAM_loop +CGRAM_loop_end: + + +; == pgm body == + +;load text to DDRAM + ldi r17,ROW1_ADDR + rcall DDRAM_SET_ADDR + + ldi ZH,high(MYTEXT1*2) + ldi ZL,low(MYTEXT1*2) +DDRAM_loop: + lpm r17,Z+ + cpi r17,0xFE + breq DDRAM_loop_end + rcall TX_DATA + rjmp DDRAM_loop +DDRAM_loop_end: + +;load text to DDRAM + ldi r17,ROW2_ADDR + rcall DDRAM_SET_ADDR + + ldi ZH,high(MYTEXT2*2) + ldi ZL,low(MYTEXT2*2) +DDRAM2_loop: + lpm r17,Z+ + cpi r17,0xFE + breq DDRAM2_loop_end + rcall TX_DATA + rjmp DDRAM2_loop +DDRAM2_loop_end: + +;direct write to X,Y - example + ldi r16,3 ;Y, zacina 0 a roste smerem dolu + ldi r17,5 ;X, zacina nulou a roste smerem doprava + rcall LCD_CURSOR_XY + ldi r17,"%" + rcall TX_DATA + ldi r17,"%" + rcall TX_DATA + ldi r17,"%" + rcall TX_DATA +;infinite loop +loop: rjmp loop + + +MYTEXT1: +.DB 0,0,0," POKUSNY TEXT ",0,0,0,0xFE +MYTEXT2: +.DB "Opravdu pekny text!",0xFE + + + +; == USER-DEFINED CHARS == +MYCHARS: +; 5x8, first 3 bits are not used +;end of mychars +.DB 0xe,0x1f,0x15,0x1f,0x1f,0x1f,0x15 ;smajlik + +;konec +.DB 0xFE + + + + + +;r16=Y +;r17=X +LCD_CURSOR_XY: + cpi r16,0 + brne test1 +fail: ldi r16,ROW1_ADDR + rjmp addrdone +test1: + cpi r16,1 + brne test2 + ldi r16,ROW2_ADDR + rjmp addrdone +test2: + cpi r16,2 + brne test3 + ldi r16,ROW3_ADDR + rjmp addrdone +test3: + cpi r16,3 + brne fail + ldi r16,ROW4_ADDR +addrdone: + add r17,r16 + rcall DDRAM_SET_ADDR + ret + +;r16=počet ms (cca) +delay: + push r17 ;2 + push r18 ;2 +d1: + ldi r17,250 ;1 +d2: + ldi r18,14 ;1 +d3: + dec r18 ;1 + nop + brne d3 ;2 (1 + dec r17 ; +1) + brne d2 ;2 (1 + dec r16 ; +1) + brne d1 ;2 (1) + + pop r18 ;2 + pop r17 ;2 + ret + + +LCD_INIT: + ldi r16,16 + rcall delay + ldi r16,0b00000010 ;4bit + out PORTB,r16 + rcall LCD_CLK + ldi r16,5 + rcall delay + ldi r17,LCD_MODE_4BIT_2LINE ;set 4-bit mode + rcall TX_INSTR + ret + +;r17 +TX_INSTR: + swap r17 ;send high nibble + mov r16,r17 + andi r16,0b00001111 + out LCDPORT,r16 + rcall LCD_CLK + + swap r17 ;send low nibble + mov r16,r17 + andi r16,0b00001111 + out LCDPORT,r16 + rcall LCD_CLK + + ret + +;r17 +TX_DATA: + swap r17 ;send high nibble + mov r16,r17 + andi r16,0b00001111 + sbr r16,(1< reset --+ /RST Vcc +-- napajeni +5V +; --+ PD0 PB7 +-- RS (0=instr W, BF+addr R; 1=data W/R) +; --+ PD1 PB6 +-- R/W (1=read,0=write) +; --+ PA1 PB5 +-- E (strobe) +; --+ PA0 PB4 +-- +; --+ PD2 PB3 +-- DATA 7 +; --+ PD3 PB2 +-- DATA 6 +; --+ PD4 PB1 +-- DATA 5 +; --+ PD5 PB0 +-- DATA 4 +; GND --+ GND PD6 +-- +; +-------------+ + +;DMC-50399: +; 1 - GND +; 2 - +5V +; 3 - 0V (lcd driver) +; 4 - RS +; 5 - R/W +; 6 - E +; 7 - DATA 0 +; 8 - DATA 1 +;... +;14 - DATA 7 + +.device attiny2313 +;běží na 4MHz, ckdiv8=1 (vypnuto) +;250x16=1ms=1000us + +;LFUSE: 0xE2 +;HFUSE: 0xDF + + +;K O N S T A N T Y + P R E Z D I V K Y P O U R T U A P I N U +;PORTB +.equ LCDPORT = PORTB +.equ LCDPIN = PINB +.equ LCDDDR = DDRB + +.equ RS = 7 +.equ RW = 6 +.equ E = 5 + +.equ LCD_CLEAR = 0b00000001 +.equ LCD_HOME = 0b00000010 +.equ LCD_MODE_INC_NOSHIFT = 0b00000110 +.equ LCD_MODE_INC_SHIFT = 0b00000111 +.equ LCD_MODE_DEC_NOSHIFT = 0b00000100 +.equ LCD_MODE_DEC_SHIFT = 0b00000101 +.equ LCD_DISPLAY_DISABLED = 0b00001000 +.equ LCD_DISPLAY_NOCURSOR = 0b00001100 +.equ LCD_DISPLAY_CURSOR = 0b00001110 +.equ LCD_DISPLAY_BLINK = 0b00001101 +.equ LCD_DISPLAY_ALTER = 0b00001111 +;4bit,5x7,2line +.equ LCD_MODE_4BIT = 0b00101000 +.equ LCD_MODE_8BIT = 0b00111000 + +.equ ROW1_ADDR = 0x00 +.equ ROW2_ADDR = 0x40 + + + +;aliasy pointerů +.def ZH = r31 +.def ZL = r30 +.def YH = r29 +.def YL = r28 +.def XH = r27 +.def XL = r26 + +; Z A C A T E K P R O G R A M U +;vektory preruseni +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + +.org 0x0013 + +;nastaveni po resetu +.DB "DMC-50399 TEST" ;(nazev programu) +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + cli ;zakazat vsechna preruseni + +; Nastaveni portu + ;PORTB = LCDPORT + ldi r16,0b11111111 ;smer portu B + out LCDDDR,r16 + ldi r16,0b00000000 ;vypnout B + out LCDPORT,r16 + + sei ;Global Interrupt Enable + + rcall LCD_INIT + + ldi r17,LCD_MODE_INC_SHIFT + rcall TX_INSTR + + ldi r17,LCD_DISPLAY_NOCURSOR + rcall TX_INSTR + + ldi r17,20 + rcall DDRAM_SET_ADDR + +colastart: + ldi ZH,high(ROW1*2) + ldi ZL,low(ROW1*2) +read1: lpm r17,Z+ + cpi r17,0 + breq colastart + rcall TX_DATA + ldi r16,60 + rcall delay + rjmp read1 + +loop: rjmp loop + +;r16=počet ms (cca) +delay: + push r17 ;2 + push r18 ;2 +d1: + ldi r17,250 ;1 +d2: + ldi r18,14 ;1 +d3: + dec r18 ;1 + nop + brne d3 ;2 (1 + dec r17 ; +1) + brne d2 ;2 (1 + dec r16 ; +1) + brne d1 ;2 (1) + + pop r18 ;2 + pop r17 ;2 + ret + + +LCD_INIT: + ldi r16,16 + rcall delay + ldi r16,0b00000010 ;4bit + out PORTB,r16 + rcall LCD_CLK + ldi r16,5 + rcall delay + ldi r17,LCD_MODE_4BIT + rcall TX_INSTR + ret + +;r17 +TX_INSTR: + swap r17 + mov r16,r17 + andi r16,0b00001111 + out LCDPORT,r16 + rcall LCD_CLK + swap r17 + mov r16,r17 + andi r16,0b00001111 + out LCDPORT,r16 + rcall LCD_CLK + ret + +;r17 +TX_DATA: + swap r17 + mov r16,r17 + andi r16,0b00001111 + sbr r16,0b10000000 + out LCDPORT,r16 + rcall LCD_CLK + swap r17 + mov r16,r17 + andi r16,0b00001111 + sbr r16,0b10000000 + out LCDPORT,r16 + rcall LCD_CLK + ret + +LCD_CLK: + sbi LCDPORT,E + nop + nop + nop + cbi LCDPORT,E + ldi r16,100 ;100 funguje na 4MHZ +clkw1: + dec r16 + brne clkw1 +; ldi r16,1 +; rcall delay + ret + +;7 bitu (1.radek zacina 00,druhej 40) +DDRAM_SET_ADDR: + clr r16 + sbr r16,0b10000000 + or r17,r16 + rcall TX_INSTR + ret + +;6 bitu (5,4,3 = znak, 2,1,0 = radek - shora) +CGRAM_SET_ADDR: + clr r16 + sbr r16,0b01000000 + or r17,r16 + rcall TX_INSTR + ret + +ROW1: +.DB "*** Ice cold CocaCola sold here! *** ",0 diff --git a/ASM_OLD/HD44780/pokusy/geocache.asm b/ASM_OLD/HD44780/pokusy/geocache.asm new file mode 100644 index 0000000..ce32b79 --- /dev/null +++ b/ASM_OLD/HD44780/pokusy/geocache.asm @@ -0,0 +1,305 @@ +; Zapojeni (Připojen DMC-50399 v 4-bitovem modu): +; +------u------+ +; Vcc -> reset --+ /RST Vcc +-- napajeni +5V +; --+ PD0 PB7 +-- RS (0=instr W, BF+addr R; 1=data W/R) +; --+ PD1 PB6 +-- R/W (1=read,0=write) +; --+ PA1 PB5 +-- E (clock, active falling edge) +; --+ PA0 PB4 +-- +; --+ PD2 PB3 +-- DATA 7 +; --+ PD3 PB2 +-- DATA 6 +; --+ PD4 PB1 +-- DATA 5 +; --+ PD5 PB0 +-- DATA 4 +; GND --+ GND PD6 +-- +; +-------------+ + +;DMC-50399: +; 1 - GND +; 2 - +5V +; 3 - 0V (lcd driver) +; 4 - RS +; 5 - R/W +; 6 - E +; 7 - DATA 0 +; 8 - DATA 1 +;... +;14 - DATA 7 + +.device attiny2313 +;běží na 4MHz, ckdiv8=1 (vypnuto) +;250x16=1ms=1000us + +;LFUSE: 0xE2 +;HFUSE: 0xDF + + +;K O N S T A N T Y + P R E Z D I V K Y P O R T U A P I N U +.equ LCDPORT = PORTB +.equ LCDPIN = PINB +.equ LCDDDR = DDRB + +.equ RS = 7 +.equ RW = 6 +.equ E = 5 + +.equ LCD_CLEAR = 0b00000001 +.equ LCD_HOME = 0b00000010 + +.equ LCD_MODE_INC_NOSHIFT = 0b00000110 +.equ LCD_MODE_INC_SHIFT = 0b00000111 +.equ LCD_MODE_DEC_NOSHIFT = 0b00000100 +.equ LCD_MODE_DEC_SHIFT = 0b00000101 + +.equ LCD_DISPLAY_DISABLED = 0b00001000 +.equ LCD_DISPLAY_NOCURSOR = 0b00001100 +.equ LCD_DISPLAY_CURSOR = 0b00001110 +.equ LCD_DISPLAY_ALTER = 0b00001101 +.equ LCD_DISPLAY_CURSOR_ALTER = 0b00001111 + +.equ LCD_CURSOR_LEFT = 0b00010000 +.equ LCD_CURSOR_RIGHT = 0b00010100 +.equ LCD_SHIFT_LEFT = 0b00011000 +.equ LCD_SHIFT_RIGHT = 0b00011100 + + +;5x7 font, 1-line +.equ LCD_MODE_4BIT_1LINE = 0b00100000 +;.equ LCD_MODE_8BIT_1LINE = 0b00110000 +;5x7 font, 2-line +.equ LCD_MODE_4BIT_2LINE = 0b00101000 +;.equ LCD_MODE_8BIT_2LINE = 0b00111000 + +.equ ROW1_ADDR = 0x00 +.equ ROW2_ADDR = 0x40 + + + +;aliases +.def ZH = r31 +.def ZL = r30 +.def YH = r29 +.def YL = r28 +.def XH = r27 +.def XL = r26 + +; Z A C A T E K P R O G R A M U +;vektory preruseni +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + +.org 0x0013 + +;nastaveni po resetu +.DB "HD44780 INTERFACE" ;(nazev programu) +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + cli ;zakazat vsechna preruseni + +; Nastaveni portu + ;PORTB = LCDPORT + ldi r16,0b11111111 ;smer portu B + out LCDDDR,r16 + ldi r16,0b00000000 ;vypnout B + out LCDPORT,r16 + + sei ;Global Interrupt Enable + + rcall LCD_INIT + + ldi r17,LCD_MODE_INC_NOSHIFT + rcall TX_INSTR + + ldi r17,LCD_DISPLAY_NOCURSOR + rcall TX_INSTR + +;load user-defined characters to CGRAM + ldi r17,0 + rcall CGRAM_SET_ADDR + + ldi ZH,high(MYCHARS*2) + ldi ZL,low(MYCHARS*2) +CGRAM_loop: + lpm r17,Z+ + cpi r17,0xFE + breq CGRAM_loop_end + rcall TX_DATA + rjmp CGRAM_loop +CGRAM_loop_end: + +;load text to DDRAM + ldi r17,ROW1_ADDR + rcall DDRAM_SET_ADDR + + ldi ZH,high(MYTEXT1*2) + ldi ZL,low(MYTEXT1*2) +DDRAM_loop: + lpm r17,Z+ + cpi r17,0xFE + breq DDRAM_loop_end + rcall TX_DATA + rjmp DDRAM_loop +DDRAM_loop_end: + +;load text to DDRAM + ldi r17,ROW2_ADDR + rcall DDRAM_SET_ADDR + + ldi ZH,high(MYTEXT2*2) + ldi ZL,low(MYTEXT2*2) +DDRAM_loop2: + lpm r17,Z+ + cpi r17,0xFE + breq DDRAM_loop2_end + rcall TX_DATA + rjmp DDRAM_loop2 +DDRAM_loop2_end: + +loop: rjmp loop + +MYTEXT1: +.DB 0,3," This is GEOCACHE",0xFE +MYTEXT2: +.DB 1,2," ", 4, " geocaching.com",0xFE + +MYCHARS: +; 5x8, first 3 bits are not used +;end of mychars +.DB 0x0,0x15,0xe,0x6,0xe,0x1b,0x18 +.DB 0x18,0x1c,0xa,0x7,0x3,0x0,0x0 +.DB 0x8,0xc,0xe,0xc,0x8,0x8,0x8 ;0x8,0xe,0xf,0x4,0x4,0x2,0x0 +.DB 0x0,0x0,0x18,0x1c,0xa,0x7,0x3 +.DB 0x0,0x4,0x2,0x1f,0x2,0x4,0x0 +.DB 0xFE + + + + +;r16=počet ms (cca) +delay: + push r17 ;2 + push r18 ;2 +d1: + ldi r17,250 ;1 +d2: + ldi r18,14 ;1 +d3: + dec r18 ;1 + nop + brne d3 ;2 (1 + dec r17 ; +1) + brne d2 ;2 (1 + dec r16 ; +1) + brne d1 ;2 (1) + + pop r18 ;2 + pop r17 ;2 + ret + + +LCD_INIT: + ldi r16,16 + rcall delay + ldi r16,0b00000010 ;4bit + out PORTB,r16 + rcall LCD_CLK + ldi r16,5 + rcall delay + ldi r17,LCD_MODE_4BIT_2LINE ;set 4-bit mode + rcall TX_INSTR + ret + +;r17 +TX_INSTR: + swap r17 ;send high nibble + mov r16,r17 + andi r16,0b00001111 + out LCDPORT,r16 + rcall LCD_CLK + + swap r17 ;send low nibble + mov r16,r17 + andi r16,0b00001111 + out LCDPORT,r16 + rcall LCD_CLK + + ret + +;r17 +TX_DATA: + swap r17 ;send high nibble + mov r16,r17 + andi r16,0b00001111 + sbr r16,(1< reset --+ /RST Vcc +-- napajeni +5V +; --+ PD0 PB7 +-- RS (0=instr W, BF+addr R; 1=data W/R) +; --+ PD1 PB6 +-- R/W (1=read,0=write) +; --+ PA1 PB5 +-- E (clock, active falling edge) +; --+ PA0 PB4 +-- +; --+ PD2 PB3 +-- DATA 7 +; --+ PD3 PB2 +-- DATA 6 +; --+ PD4 PB1 +-- DATA 5 +; --+ PD5 PB0 +-- DATA 4 +; GND --+ GND PD6 +-- +; +-------------+ + +;DMC-50399: +; 1 - GND +; 2 - +5V +; 3 - 0V (lcd driver) +; 4 - RS +; 5 - R/W +; 6 - E +; 7 - DATA 0 +; 8 - DATA 1 +;... +;14 - DATA 7 + +.device attiny2313 +;běží na 4MHz, ckdiv8=1 (vypnuto) +;250x16=1ms=1000us + +;LFUSE: 0xE2 +;HFUSE: 0xDF + + +;K O N S T A N T Y + P R E Z D I V K Y P O R T U A P I N U +.equ LCDPORT = PORTB +.equ LCDPIN = PINB +.equ LCDDDR = DDRB + +.equ RS = 7 +.equ RW = 6 +.equ E = 5 + +.equ LCD_CLEAR = 0b00000001 +.equ LCD_HOME = 0b00000010 + +.equ LCD_MODE_INC_NOSHIFT = 0b00000110 +.equ LCD_MODE_INC_SHIFT = 0b00000111 +.equ LCD_MODE_DEC_NOSHIFT = 0b00000100 +.equ LCD_MODE_DEC_SHIFT = 0b00000101 + +.equ LCD_DISPLAY_DISABLED = 0b00001000 +.equ LCD_DISPLAY_NOCURSOR = 0b00001100 +.equ LCD_DISPLAY_CURSOR = 0b00001110 +.equ LCD_DISPLAY_ALTER = 0b00001101 +.equ LCD_DISPLAY_CURSOR_ALTER = 0b00001111 + +.equ LCD_CURSOR_LEFT = 0b00010000 +.equ LCD_CURSOR_RIGHT = 0b00010100 +.equ LCD_SHIFT_LEFT = 0b00011000 +.equ LCD_SHIFT_RIGHT = 0b00011100 + + +;5x7 font, 1-line +.equ LCD_MODE_4BIT_1LINE = 0b00100000 +;.equ LCD_MODE_8BIT_1LINE = 0b00110000 +;5x7 font, 2-line +.equ LCD_MODE_4BIT_2LINE = 0b00101000 +;.equ LCD_MODE_8BIT_2LINE = 0b00111000 + +.equ ROW1_ADDR = 0x00 +.equ ROW2_ADDR = 0x40 + + + +;aliases +.def ZH = r31 +.def ZL = r30 +.def YH = r29 +.def YL = r28 +.def XH = r27 +.def XL = r26 + +; Z A C A T E K P R O G R A M U +;vektory preruseni +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + +.org 0x0013 + +;nastaveni po resetu +.DB "HD44780 INTERFACE" ;(nazev programu) +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + cli ;zakazat vsechna preruseni + +; Nastaveni portu + ;PORTB = LCDPORT + ldi r16,0b11111111 ;smer portu B + out LCDDDR,r16 + ldi r16,0b00000000 ;vypnout B + out LCDPORT,r16 + + sei ;Global Interrupt Enable + + rcall LCD_INIT + + ldi r17,LCD_MODE_INC_NOSHIFT + rcall TX_INSTR + + ldi r17,LCD_DISPLAY_NOCURSOR + rcall TX_INSTR + +;load user-defined characters to CGRAM + ldi r17,0 + rcall CGRAM_SET_ADDR + + ldi ZH,high(MYCHARS*2) + ldi ZL,low(MYCHARS*2) +CGRAM_loop: + lpm r17,Z+ + cpi r17,0xFE + breq CGRAM_loop_end + rcall TX_DATA + rjmp CGRAM_loop +CGRAM_loop_end: + +;load text to DDRAM + ldi r17,ROW1_ADDR + rcall DDRAM_SET_ADDR + + ldi ZH,high(MYTEXT1*2) + ldi ZL,low(MYTEXT1*2) +DDRAM_loop: + lpm r17,Z+ + cpi r17,0xFE + breq DDRAM_loop_end + rcall TX_DATA + rjmp DDRAM_loop +DDRAM_loop_end: + +;load text to DDRAM + ldi r17,ROW2_ADDR + rcall DDRAM_SET_ADDR + + ldi ZH,high(MYTEXT2*2) + ldi ZL,low(MYTEXT2*2) +DDRAM_loop2: + lpm r17,Z+ + cpi r17,0xFE + breq DDRAM_loop2_end + rcall TX_DATA + rjmp DDRAM_loop2 +DDRAM_loop2_end: + +loop: rjmp loop + +MYTEXT1: +.DB 0,3," This is GEOCACHE",0xFE +MYTEXT2: +.DB 1,2, 4, "geocaching.com",0xFE + +MYCHARS: +; 5x8, first 3 bits are not used +;end of mychars +.DB 0x0,0x15,0xe,0x6,0xe,0x1b,0x18 +.DB 0x18,0x1c,0xa,0x7,0x3,0x0,0x0 +.DB 0x8,0xe,0xf,0x4,0x4,0x2,0x0 +.DB 0x0,0x0,0x18,0x1c,0xa,0x7,0x3 +.DB 0x0,0x4,0x2,0x1f,0x2,0x4,0x0 +.DB 0xFE + + + + +;r16=počet ms (cca) +delay: + push r17 ;2 + push r18 ;2 +d1: + ldi r17,250 ;1 +d2: + ldi r18,14 ;1 +d3: + dec r18 ;1 + nop + brne d3 ;2 (1 + dec r17 ; +1) + brne d2 ;2 (1 + dec r16 ; +1) + brne d1 ;2 (1) + + pop r18 ;2 + pop r17 ;2 + ret + + +LCD_INIT: + ldi r16,16 + rcall delay + ldi r16,0b00000010 ;4bit + out PORTB,r16 + rcall LCD_CLK + ldi r16,5 + rcall delay + ldi r17,LCD_MODE_4BIT_2LINE ;set 4-bit mode + rcall TX_INSTR + ret + +;r17 +TX_INSTR: + swap r17 ;send high nibble + mov r16,r17 + andi r16,0b00001111 + out LCDPORT,r16 + rcall LCD_CLK + + swap r17 ;send low nibble + mov r16,r17 + andi r16,0b00001111 + out LCDPORT,r16 + rcall LCD_CLK + + ret + +;r17 +TX_DATA: + swap r17 ;send high nibble + mov r16,r17 + andi r16,0b00001111 + sbr r16,(1< reset --+ /RST Vcc +-- napajeni +5V +; --+ PD0 PB7 +-- RS (0=instr W, BF+addr R; 1=data W/R) +; --+ PD1 PB6 +-- R/W (1=read,0=write) +; --+ PA1 PB5 +-- E (clock, active falling edge) +; --+ PA0 PB4 +-- +; --+ PD2 PB3 +-- DATA 7 +; --+ PD3 PB2 +-- DATA 6 +; --+ PD4 PB1 +-- DATA 5 +; --+ PD5 PB0 +-- DATA 4 +; GND --+ GND PD6 +-- +; +-------------+ + +;DMC-50399: +; 1 - GND +; 2 - +5V +; 3 - 0V (lcd driver) +; 4 - RS +; 5 - R/W +; 6 - E +; 7 - DATA 0 +; 8 - DATA 1 +;... +;14 - DATA 7 + +.device attiny2313 +;běží na 4MHz, ckdiv8=1 (vypnuto) +;250x16=1ms=1000us + +;LFUSE: 0xE2 +;HFUSE: 0xDF + + +;K O N S T A N T Y + P R E Z D I V K Y P O R T U A P I N U +.equ LCDPORT = PORTB +.equ LCDPIN = PINB +.equ LCDDDR = DDRB + +.equ RS = 7 +.equ RW = 6 +.equ E = 5 + +.equ LCD_CLEAR = 0b00000001 +.equ LCD_HOME = 0b00000010 + +.equ LCD_MODE_INC_NOSHIFT = 0b00000110 +.equ LCD_MODE_INC_SHIFT = 0b00000111 +.equ LCD_MODE_DEC_NOSHIFT = 0b00000100 +.equ LCD_MODE_DEC_SHIFT = 0b00000101 + +.equ LCD_DISPLAY_DISABLED = 0b00001000 +.equ LCD_DISPLAY_NOCURSOR = 0b00001100 +.equ LCD_DISPLAY_CURSOR = 0b00001110 +.equ LCD_DISPLAY_ALTER = 0b00001101 +.equ LCD_DISPLAY_CURSOR_ALTER = 0b00001111 + +.equ LCD_CURSOR_LEFT = 0b00010000 +.equ LCD_CURSOR_RIGHT = 0b00010100 +.equ LCD_SHIFT_LEFT = 0b00011000 +.equ LCD_SHIFT_RIGHT = 0b00011100 + + +;5x7 font, 1-line +.equ LCD_MODE_4BIT_1LINE = 0b00100000 +;.equ LCD_MODE_8BIT_1LINE = 0b00110000 +;5x7 font, 2-line +.equ LCD_MODE_4BIT_2LINE = 0b00101000 +;.equ LCD_MODE_8BIT_2LINE = 0b00111000 + +.equ ROW1_ADDR = 0x00 +.equ ROW2_ADDR = 0x40 + + + +;aliases +.def ZH = r31 +.def ZL = r30 +.def YH = r29 +.def YL = r28 +.def XH = r27 +.def XL = r26 + +; Z A C A T E K P R O G R A M U +;vektory preruseni +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + +.org 0x0013 + +;nastaveni po resetu +.DB "HD44780 INTERFACE" ;(nazev programu) +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + cli ;zakazat vsechna preruseni + +; Nastaveni portu + ;PORTB = LCDPORT + ldi r16,0b11111111 ;smer portu B + out LCDDDR,r16 + ldi r16,0b00000000 ;vypnout B + out LCDPORT,r16 + + sei ;Global Interrupt Enable + + rcall LCD_INIT + + ldi r17,LCD_MODE_INC_NOSHIFT + rcall TX_INSTR + + ldi r17,LCD_DISPLAY_NOCURSOR + rcall TX_INSTR + +;load user-defined characters to CGRAM + ldi r17,0 + rcall CGRAM_SET_ADDR + + ldi ZH,high(MYCHARS*2) + ldi ZL,low(MYCHARS*2) +CGRAM_loop: + lpm r17,Z+ + cpi r17,0xFE + breq CGRAM_loop_end + rcall TX_DATA + rjmp CGRAM_loop +CGRAM_loop_end: + +;load text to DDRAM + ldi r17,ROW1_ADDR + rcall DDRAM_SET_ADDR + + ldi ZH,high(MYTEXT1*2) + ldi ZL,low(MYTEXT1*2) +DDRAM_loop: + lpm r17,Z+ + cpi r17,0xFE + breq DDRAM_loop_end + rcall TX_DATA + rjmp DDRAM_loop +DDRAM_loop_end: + +;load text to DDRAM + ldi r17,ROW2_ADDR + rcall DDRAM_SET_ADDR + + ldi ZH,high(MYTEXT2*2) + ldi ZL,low(MYTEXT2*2) +DDRAM_loop2: + lpm r17,Z+ + cpi r17,0xFE + breq DDRAM_loop2_end + rcall TX_DATA + rjmp DDRAM_loop2 +DDRAM_loop2_end: + +loop: rjmp loop + +MYTEXT1: +.DB " ",0,1,1,1,1,1,2,4,4,4,4,5,6,6,6,6,6,7," ",0xFE +MYTEXT2: +.DB "Straslivy krokodyl!",0xFE + +MYCHARS: +; 5x8, first 3 bits are not used +;end of mychars +.DB 0x0,0x3,0x0,0x0,0x0,0x1,0x3 ;konec huby 0 +.DB 0x0,0x1f,0xe,0x4,0x10,0x19,0x1f ;zuby1 +.DB 0x2,0x15,0x1f,0x1f,0x1f,0x1f,0x10 ;hlava 2 +.DB 0xe,0x1f,0x15,0x1f,0x1f,0x1f,0x15 ;smajlik 3 +.DB 0x0,0x1f,0x1f,0x1f,0x1f,0x1,0x3 ;noha4 +.DB 0x0,0x10,0x1c,0x1f,0x1f,0x0,0x0 ;do ocasu5 +.DB 0x0,0x4,0xe,0x1f,0x1f,0x0,0x0 +.DB 0x0,0x0,0x0,0x0,0x10,0x0,0x0 ;konec ocasu7 + + + +.DB 0xFE + + + + +;r16=počet ms (cca) +delay: + push r17 ;2 + push r18 ;2 +d1: + ldi r17,250 ;1 +d2: + ldi r18,14 ;1 +d3: + dec r18 ;1 + nop + brne d3 ;2 (1 + dec r17 ; +1) + brne d2 ;2 (1 + dec r16 ; +1) + brne d1 ;2 (1) + + pop r18 ;2 + pop r17 ;2 + ret + + +LCD_INIT: + ldi r16,16 + rcall delay + ldi r16,0b00000010 ;4bit + out PORTB,r16 + rcall LCD_CLK + ldi r16,5 + rcall delay + ldi r17,LCD_MODE_4BIT_2LINE ;set 4-bit mode + rcall TX_INSTR + ret + +;r17 +TX_INSTR: + swap r17 ;send high nibble + mov r16,r17 + andi r16,0b00001111 + out LCDPORT,r16 + rcall LCD_CLK + + swap r17 ;send low nibble + mov r16,r17 + andi r16,0b00001111 + out LCDPORT,r16 + rcall LCD_CLK + + ret + +;r17 +TX_DATA: + swap r17 ;send high nibble + mov r16,r17 + andi r16,0b00001111 + sbr r16,(1< reset --+ /RST Vcc +-- napajeni +5V +; --+ PD0 PB7 +-- RS (0=instr W, BF+addr R; 1=data W/R) +; --+ PD1 PB6 +-- R/W (1=read,0=write) +; --+ PA1 PB5 +-- E (strobe) +; --+ PA0 PB4 +-- +; --+ PD2 PB3 +-- DATA 7 +; --+ PD3 PB2 +-- DATA 6 +; --+ PD4 PB1 +-- DATA 5 +; --+ PD5 PB0 +-- DATA 4 +; GND --+ GND PD6 +-- +; +-------------+ + +;DMC-50399: +; 1 - GND +; 2 - +5V +; 3 - 0V (lcd driver) +; 4 - RS +; 5 - R/W +; 6 - E +; 7 - DATA 0 +; 8 - DATA 1 +;... +;14 - DATA 7 + +.device attiny2313 +;běží na 4MHz, ckdiv8=1 (vypnuto) +;250x16=1ms=1000us + +;LFUSE: 0xE2 +;HFUSE: 0xDF + + +;K O N S T A N T Y + P R E Z D I V K Y P O U R T U A P I N U +;PORTB +.equ LCDPORT = PORTB +.equ LCDPIN = PINB +.equ LCDDDR = DDRB + +.equ RS = 7 +.equ RW = 6 +.equ E = 5 + +.equ LCD_CLEAR = 0b00000001 +.equ LCD_HOME = 0b00000010 +.equ LCD_MODE_INC_NOSH = 0b00000110 +.equ LCD_MODE_INC_SH = 0b00000111 +.equ LCD_MODE_DEC_NOSH = 0b00000100 +.equ LCD_MODE_DEC_SH = 0b00000101 +.equ LCD_DISPLAY_DISABLED = 0b00001000 +.equ LCD_DISPLAY_NOCURSOR = 0b00001100 +.equ LCD_DISPLAY_CURSOR = 0b00001110 +.equ LCD_DISPLAY_BLINK = 0b00001101 +.equ LCD_DISPLAY_ALTER = 0b00001111 +;4bit,5x7,2line +.equ LCD_MODE_4BIT = 0b00101000 +.equ LCD_MODE_8BIT = 0b00111000 + +.equ ROW1_ADDR = 0x00 +.equ ROW2_ADDR = 0x40 + + + +;aliasy pointerů +.def ZH = r31 +.def ZL = r30 +.def YH = r29 +.def YL = r28 +.def XH = r27 +.def XL = r26 + +; Z A C A T E K P R O G R A M U +;vektory preruseni +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + +.org 0x0013 + +;nastaveni po resetu +.DB "DMC-50399 TEST" ;(nazev programu) +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + cli ;zakazat vsechna preruseni + +; Nastaveni portu + ;PORTB = LCDPORT + ldi r16,0b11111111 ;smer portu B + out LCDDDR,r16 + ldi r16,0b00000000 ;vypnout B + out LCDPORT,r16 + + sei ;Global Interrupt Enable + + rcall LCD_INIT + + ldi r17,LCD_DISPLAY_DISABLED + rcall TX_INSTR + + ldi r17,ROW1_ADDR + rcall DDRAM_SET_ADDR + + ldi ZH,high(ROW1*2) + ldi ZL,low(ROW1*2) +read1: lpm r17,Z+ + cpi r17,0 + breq text2 + rcall TX_DATA + rjmp read1 + +text2: + ldi r17,ROW2_ADDR + rcall DDRAM_SET_ADDR + + ldi ZH,high(ROW2*2) + ldi ZL,low(ROW2*2) +read2: lpm r17,Z+ + cpi r17,0 + breq loop + rcall TX_DATA + rjmp read2 + + ldi r17,LCD_DISPLAY_CURSOR + rcall TX_INSTR + +loop: rjmp loop + +;r16=počet ms (cca) +delay: + push r17 ;2 + push r18 ;2 +d1: + ldi r17,250 ;1 +d2: + ldi r18,14 ;1 +d3: + dec r18 ;1 + nop + brne d3 ;2 (1 + dec r17 ; +1) + brne d2 ;2 (1 + dec r16 ; +1) + brne d1 ;2 (1) + + pop r18 ;2 + pop r17 ;2 + ret + + +LCD_INIT: + ldi r16,16 + rcall delay + ldi r16,0b00000010 ;4bit + out PORTB,r16 + rcall LCD_CLK + ldi r16,5 + rcall delay + ldi r17,LCD_MODE_4BIT + rcall TX_INSTR + ldi r17,LCD_MODE_INC_NOSH + rcall TX_INSTR + ret + +;r17 +TX_INSTR: + swap r17 + mov r16,r17 + andi r16,0b00001111 + out LCDPORT,r16 + rcall LCD_CLK + swap r17 + mov r16,r17 + andi r16,0b00001111 + out LCDPORT,r16 + rcall LCD_CLK + ret + +;r17 +TX_DATA: + swap r17 + mov r16,r17 + andi r16,0b00001111 + sbr r16,0b10000000 + out LCDPORT,r16 + rcall LCD_CLK + swap r17 + mov r16,r17 + andi r16,0b00001111 + sbr r16,0b10000000 + out LCDPORT,r16 + rcall LCD_CLK + ret + +LCD_CLK: + sbi LCDPORT,E + nop + nop + nop + cbi LCDPORT,E + ldi r16,100 ;100 funguje na 4MHZ +clkw1: + dec r16 + brne clkw1 +; ldi r16,1 +; rcall delay + ret + +;7 bitu (1.radek zacina 00,druhej 40) +DDRAM_SET_ADDR: + clr r16 + sbr r16,0b10000000 + or r17,r16 + rcall TX_INSTR + ret + +;6 bitu (5,4,3 = znak, 2,1,0 = radek - shora) +CGRAM_SET_ADDR: + clr r16 + sbr r16,0b01000000 + or r17,r16 + rcall TX_INSTR + ret + +ROW1: +.DB "ATTINY2313 & HD44780",0 +ROW2: +.DB "* www.ondrovo.com *",0 diff --git a/ASM_OLD/HD44780/pokusy/lcd_default_4row.asm b/ASM_OLD/HD44780/pokusy/lcd_default_4row.asm new file mode 100644 index 0000000..0a34d83 --- /dev/null +++ b/ASM_OLD/HD44780/pokusy/lcd_default_4row.asm @@ -0,0 +1,380 @@ +; Zapojeni (Připojen DMC-50399 v 4-bitovem modu): +; +------u------+ +; Vcc -> reset --+ /RST Vcc +-- napajeni +5V +; --+ PD0 PB7 +-- RS (0=instr W, BF+addr R; 1=data W/R) +; --+ PD1 PB6 +-- R/W (1=read,0=write) +; --+ PA1 PB5 +-- E (clock, active falling edge) +; --+ PA0 PB4 +-- +; --+ PD2 PB3 +-- DATA 7 +; --+ PD3 PB2 +-- DATA 6 +; --+ PD4 PB1 +-- DATA 5 +; --+ PD5 PB0 +-- DATA 4 +; GND --+ GND PD6 +-- +; +-------------+ + +;DMC-50399: +; 1 - GND +; 2 - +5V +; 3 - 0V (lcd driver) +; 4 - RS +; 5 - R/W +; 6 - E +; 7 - DATA 0 +; 8 - DATA 1 +;... +;14 - DATA 7 + +.device attiny2313 +;běží na 4MHz, ckdiv8=1 (vypnuto) +;250x16=1ms=1000us + +;LFUSE: 0xE2 +;HFUSE: 0xDF + + +;K O N S T A N T Y + P R E Z D I V K Y P O R T U A P I N U +.equ LCDPORT = PORTB +.equ LCDPIN = PINB +.equ LCDDDR = DDRB + +.equ RS = 7 +.equ RW = 6 +.equ E = 5 + +.equ LCD_CLEAR = 0b00000001 +.equ LCD_HOME = 0b00000010 + +.equ LCD_MODE_INC_NOSHIFT = 0b00000110 +.equ LCD_MODE_INC_SHIFT = 0b00000111 +.equ LCD_MODE_DEC_NOSHIFT = 0b00000100 +.equ LCD_MODE_DEC_SHIFT = 0b00000101 + +.equ LCD_DISPLAY_DISABLED = 0b00001000 +.equ LCD_DISPLAY_NOCURSOR = 0b00001100 +.equ LCD_DISPLAY_CURSOR = 0b00001110 +.equ LCD_DISPLAY_ALTER = 0b00001101 +.equ LCD_DISPLAY_CURSOR_ALTER = 0b00001111 + +.equ LCD_CURSOR_LEFT = 0b00010000 +.equ LCD_CURSOR_RIGHT = 0b00010100 +.equ LCD_SHIFT_LEFT = 0b00011000 +.equ LCD_SHIFT_RIGHT = 0b00011100 + + +;5x7 font, 1-line +.equ LCD_MODE_4BIT_1LINE = 0b00100000 +;.equ LCD_MODE_8BIT_1LINE = 0b00110000 +;5x7 font, 2-line +.equ LCD_MODE_4BIT_2LINE = 0b00101000 +;.equ LCD_MODE_8BIT_2LINE = 0b00111000 + +.equ ROW1_ADDR = 0x00 +.equ ROW2_ADDR = 0x40 +.equ ROW3_ADDR = 0x14 +.equ ROW4_ADDR = 0x54 + + + +;aliases +.def ZH = r31 +.def ZL = r30 +.def YH = r29 +.def YL = r28 +.def XH = r27 +.def XL = r26 + +; Z A C A T E K P R O G R A M U +;vektory preruseni +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + +.org 0x0013 + +;nastaveni po resetu +.DB "HD44780 INTERFACE" ;(nazev programu) +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + cli ;zakazat vsechna preruseni + +; Nastaveni portu + ;PORTB = LCDPORT + ldi r16,0b11111111 ;smer portu B + out LCDDDR,r16 + ldi r16,0b00000000 ;vypnout B + out LCDPORT,r16 + + sei ;Global Interrupt Enable + + rcall LCD_INIT + + ldi r17,LCD_MODE_INC_NOSHIFT + rcall TX_INSTR + + ldi r17,LCD_DISPLAY_NOCURSOR + rcall TX_INSTR + +;load user-defined characters to CGRAM + ldi r17,0 + rcall CGRAM_SET_ADDR + + ldi ZH,high(MYCHARS*2) + ldi ZL,low(MYCHARS*2) +CGRAM_loop: + lpm r17,Z+ + cpi r17,0xFE + breq CGRAM_loop_end + rcall TX_DATA + rjmp CGRAM_loop +CGRAM_loop_end: + + + + +;load text to DDRAM + ldi r17,ROW1_ADDR + rcall DDRAM_SET_ADDR + + ldi ZH,high(MYTEXT1*2) + ldi ZL,low(MYTEXT1*2) +DDRAM_loop: + lpm r17,Z+ + cpi r17,0xFE + breq DDRAM_loop_end + rcall TX_DATA + rjmp DDRAM_loop +DDRAM_loop_end: + +;load text to DDRAM + ldi r17,ROW2_ADDR + rcall DDRAM_SET_ADDR + + ldi ZH,high(MYTEXT2*2) + ldi ZL,low(MYTEXT2*2) +DDRAM2_loop: + lpm r17,Z+ + cpi r17,0xFE + breq DDRAM2_loop_end + rcall TX_DATA + rjmp DDRAM2_loop +DDRAM2_loop_end: + +;load text to DDRAM + ldi r17,ROW3_ADDR + rcall DDRAM_SET_ADDR + + ldi ZH,high(MYTEXT3*2) + ldi ZL,low(MYTEXT3*2) +DDRAM3_loop: + lpm r17,Z+ + cpi r17,0xFE + breq DDRAM3_loop_end + rcall TX_DATA + rjmp DDRAM3_loop +DDRAM3_loop_end: + +;load text to DDRAM + ldi r17,ROW4_ADDR + rcall DDRAM_SET_ADDR + + ldi ZH,high(MYTEXT4*2) + ldi ZL,low(MYTEXT4*2) +DDRAM4_loop: + lpm r17,Z+ + cpi r17,0xFE + breq DDRAM4_loop_end + rcall TX_DATA + rjmp DDRAM4_loop +DDRAM4_loop_end: + + ldi r16,2 ;Y (0,1,2,3) + ldi r17,7 ;X (0,1,2,...19,20,....) + rcall LCD_CURSOR_XY + ldi r17,255 + rcall TX_DATA + +loop: rjmp loop + +MYTEXT1: +.DB 0,"PRVNI RADEK=======*",0xFE +MYTEXT2: +.DB 0,0,"DRUHY RADEK======*",0xFE +MYTEXT3: +.DB 0,0,0,"TRETI RADEK=====*",0xFE +MYTEXT4: +.DB 0,0,0,0,"CTVRTY RADEK===*",0xFE + +MYCHARS: +; 5x8, first 3 bits are not used +;end of mychars +.DB 0xe,0x1f,0x15,0x1f,0x1f,0x1f,0x15 ;smajlik + +;konec +.DB 0xFE + + + + + + + + + + + + + +;r16=počet ms (cca) +delay: + push r17 ;2 + push r18 ;2 +d1: + ldi r17,250 ;1 +d2: + ldi r18,14 ;1 +d3: + dec r18 ;1 + nop + brne d3 ;2 (1 + dec r17 ; +1) + brne d2 ;2 (1 + dec r16 ; +1) + brne d1 ;2 (1) + + pop r18 ;2 + pop r17 ;2 + ret + +;r16=Y +;r17=X +LCD_CURSOR_XY: + cpi r16,0 + brne test1 +fail: ldi r16,ROW1_ADDR + rjmp addrdone +test1: + cpi r16,1 + brne test2 + ldi r16,ROW2_ADDR + rjmp addrdone +test2: + cpi r16,2 + brne test3 + ldi r16,ROW3_ADDR + rjmp addrdone +test3: + cpi r16,3 + brne fail + ldi r16,ROW4_ADDR +addrdone: + add r17,r16 + rcall DDRAM_SET_ADDR + ret + +LCD_INIT: + ldi r16,16 + rcall delay + ldi r16,0b00000010 ;4bit + out PORTB,r16 + rcall LCD_CLK + ldi r16,5 + rcall delay + ldi r17,LCD_MODE_4BIT_2LINE ;set 4-bit mode + rcall TX_INSTR + ret + +;r17 +TX_INSTR: + swap r17 ;send high nibble + mov r16,r17 + andi r16,0b00001111 + out LCDPORT,r16 + rcall LCD_CLK + + swap r17 ;send low nibble + mov r16,r17 + andi r16,0b00001111 + out LCDPORT,r16 + rcall LCD_CLK + + ret + +;r17 +TX_DATA: + swap r17 ;send high nibble + mov r16,r17 + andi r16,0b00001111 + sbr r16,(1< bude se cist osm bitu +BREAD: dec j ;1 + breq ENDB ;1 + clr i ;1 + +W1: nop ;1 -> cekaci smycka 1klok + nop ;1 + nop ;1 + rcall sclk ;3 + inc i ;1 + cpi i,clks ;1 + brne W1 ;2 + + in pom,PINB ;1 zanedbatelne zpozdeni - netreba zohlednovat + sbrs pom,0 ;2 (1) precte se PINB0 a invertovany se zapise do bufferu + sbr dat,0 ;(+1) + lsl dat ;1 + rjmp BREAD ;2 + +ENDB: + ;skoncil byte, ted bude stopbit - netreba cist + cpi dat,0b00111100 + breq toogle + clr dat + clr cnt + clr i + clr j + rjmp NIC + +toogle: sbi PORTB,1 + ;sbi pinb,1 + rjmp NIC + +sclk: ;presne nastavena doba jednoho kloku - 200 kloku == 1bit + ldi r16,6 ;1 +cB: + nop ; [48 + nop ; - + nop ; - + nop ; - + nop ; - + dec r16 ; - + brne cB ; - + nop ; ] + nop ;3 + nop ; + nop ; + ret ;4 celkem: 56 diff --git a/ASM_OLD/IR insect/prijimac 36kHz - ochrana x zakmitum - preklapeni/delic.asm b/ASM_OLD/IR insect/prijimac 36kHz - ochrana x zakmitum - preklapeni/delic.asm new file mode 100644 index 0000000..839a146 --- /dev/null +++ b/ASM_OLD/IR insect/prijimac 36kHz - ochrana x zakmitum - preklapeni/delic.asm @@ -0,0 +1,66 @@ +;tohle ceka az bude na PB0 dlouho jednicka a pak invertuje PB1 a ceka na nulu na PB0 +.device attiny13 +.def cnt=r19 + +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + ldi r16,0b00011110 ;smer portu + out DDRB,r16 + + ldi r16,0 + out PORTB,r16 + + clr r16 + clr r17 + clr r18 + clr cnt + + sei ;Global Interrupt Enable + +LOOP: ;cekam na 0 + in r16,PINB + sbrs r16,0 + rjmp pinset + rjmp LOOP +pinset: ;cekej, az bude hodne cyklu po sobe 0 na PB0 + clr cnt +pinset2: + clr r20 +pinset2b: + clr r21 +pinset2c: + in r16,PINB + sbrc r16,0 + rjmp loop + inc r21 + cpi r21,2 + brne pinset2c + inc r20 + cpi r20,255 + brne pinset2b + inc cnt + cpi cnt,255 + brne pinset2 + + sbi PINB,1 ;invertuj to co je na PB1 + +odrus0: ;cekam na 1 + in r16,PINB + sbrc r16,0 + rjmp w2 + rjmp odrus0 + +w2: ;cekani pro odruseni zakmitu + inc cnt + cpi cnt,255 + brne w2 + + rjmp LOOP ;skok na loop diff --git a/ASM_OLD/IR insect/prijimac 36kHz - ochrana x zakmitum - prosty/tlac.asm b/ASM_OLD/IR insect/prijimac 36kHz - ochrana x zakmitum - prosty/tlac.asm new file mode 100644 index 0000000..dfe8b44 --- /dev/null +++ b/ASM_OLD/IR insect/prijimac 36kHz - ochrana x zakmitum - prosty/tlac.asm @@ -0,0 +1,63 @@ +;tohle ceka az bude na PB0 dlouho jednicka a pak invertuje PB1 a ceka na nulu na PB0 +.device attiny13 +.def cnt=r19 + +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + ldi r16,0b00011110 ;smer portu + out DDRB,r16 + + ldi r16,0 + out PORTB,r16 + + clr r16 + clr r17 + clr r18 + clr cnt + + sei ;Global Interrupt Enable + +LOOP: ;cekam na nulu + in r16,PINB + sbrs r16,0 + rjmp pinset + rjmp LOOP +pinset: ;cekej, az bude hodne cyklu po sobe 0 na PB0 + clr cnt +pinset2: + clr r20 +pinset2b: + inc r20 + in r16,PINB + sbrc r16,0 + rjmp loop + cpi r20,255 + brne pinset2b + inc cnt + cpi cnt,255 + brne pinset2 + + sbi PORTB,1 ;zapni pb1 + +odrus0: ;cekam na 1 + in r16,PINB + sbrc r16,0 + rjmp w2 + rjmp odrus0 + +w2: ;cekani pro odruseni zakmitu + inc cnt + cpi cnt,255 + brne w2 + + cbi PORTB,1 + + rjmp LOOP ;skok na loop diff --git a/ASM_OLD/IR insect/switch controlled/brouk-sw.asm b/ASM_OLD/IR insect/switch controlled/brouk-sw.asm new file mode 100644 index 0000000..03dc8d3 --- /dev/null +++ b/ASM_OLD/IR insect/switch controlled/brouk-sw.asm @@ -0,0 +1,252 @@ +.device attiny13 +.def a=r16 +.def b=r17 +.def i=r18 +.def j=r19 +.def k=r20 +.def secs=r21 +.DEF mins=r22 + +;běží na 4.8MHz + +.MACRO cvrk1 + sbi portb,3 +.ENDMACRO + +.MACRO cvrk0 + cbi portb,3 +.ENDMACRO + +.MACRO osc1 + in a, TIMSK0 + ORI a, 0b00000100 + out timsk0,a +.ENDMACRO + +.MACRO osc0 + clr a + out timsk0,a +.ENDMACRO + +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + +.org 0x0006 ;TC0 overflow + RJMP TIMER + +.org 0x0010 +RESET: + ldi a,low(RAMEND) ;nastavi stack pointer + out SPL,a + + cli ;zakazat vsechna preruseni + + ldi a,0b00001000 ;smer portu + out DDRB,a + + ldi a,0 ;vymazat port + out PORTB,a + + clr a + clr b + clr i + clr j + clr k + clr secs + clr mins + + ldi r16,0b00000011 ;TC0 prescaler na 64 + out TCCR0B,r16 ;4800000/64=75000Hz + + ldi r16,0b00000010 ;TC0 je v rezimu CTC + out TCCR0A,r16 + + ldi r16,75 ;Compare Match 0 A je 75 + out OCR0A,r16 ;75000/75=1000Hz interrupt + + osc0 ;zakazeme interrupt + + in a,MCUCR ;zapne se vnitrni pullup + ori a,0b01000000 + out MCUCR,a + + sei ;Global Interrupt Enable + + in a,PINB + andi a,0b00000111 + + ;volba programu podle nastaveni prepinacu + cpi a,0 + breq prog0 + cpi a,1 + breq prog1 + cpi a,2 + breq prog2 + cpi a,3 + breq prog3 + cpi a,4 + breq prog4 + cpi a,5 + breq prog5 + cpi a,6 + breq prog6 + rjmp prog7 + + +prog0: ;5 min, (3 cvrky / 1 min pauza) x5, sleep + ldi mins,5 ;pauza úvod + rcall minuty + ldi k,5 ;počet opakování +pd00: + rcall scvrk + rcall scvrk + rcall scvrk + ldi mins,1 ;minuty pauza + rcall minuty + dec k + brne pd00 + + rjmp usni + + +prog1: ;2 min, (2 cvrk / 2 min pauza) x5, sleep + ldi mins,2 ;pauza úvod + rcall minuty + + ldi k,6 ;počet opakování +pd01: + rcall scvrk + rcall scvrk + ldi mins,2 ;minuty pauza + rcall minuty + dec k + brne pd01 + + rjmp usni + + +prog2: ;40 min, (2 cvrky / 20 sec pauza) x13, sleep + ldi mins,40 ;pauza úvod + rcall minuty + + ldi k,13 ;počet opakování +pd02: + rcall scvrk + rcall scvrk + ldi secs,20 ;sekundy pauza + rcall sekundy + dec k + brne pd02 + + rjmp usni + +prog3: ;5 min, (2 cvrk / 5 min pauza) x8, sleep + ldi mins,5 ;pauza úvod + rcall minuty + + ldi k,9 ;počet opakování +pd03: + rcall scvrk + rcall scvrk + rcall scvrk + rcall scvrk + ldi mins,5 ;minuty pauza + rcall minuty + dec k + brne pd03 + + rjmp usni + +prog4: ;10 min, (4 cvrk / 10 min pauza) x5, sleep + ldi mins,10 ;pauza úvod + rcall minuty + + ldi k,5 ;počet opakování +pd04: + rcall scvrk + rcall scvrk + rcall scvrk + rcall scvrk + ldi mins,10 ;minuty pauza + rcall minuty + dec k + brne pd04 + + rjmp usni + +prog5: ;20 min, (4 cvrk / 10 min pauza) x1, sleep + ldi mins,20 ;pauza úvod + rcall minuty + + rcall scvrk + rcall scvrk + rcall scvrk + rcall scvrk + + rjmp usni + +prog6: ;0, (2 cvrk / 10s) x inf + rcall scvrk + rcall scvrk + ldi secs,10 ;minuty pauza + rcall sekundy + rjmp prog6 + +prog7: ;trvale + cvrk1 +infloop: + rjmp infloop + +usni: + in a,MCUCR + ori a,0b00110000 + andi a,0b01110111 + out MCUCR,a + sleep + rjmp usni ;kdyby se probudil tak zase usne + +TIMER: ;pracuje se s prerusenim 1000x za sekundu + inc i + cpi i,100 + brne endcas + clr i + inc j +endcas: + reti + +scvrk: + clr i + clr j + cvrk1 + osc1 +del01: cpi j,20 ;konstanta pro dobu jednoho cvrku v desetinach sekundy + brne del01 + osc0 + cvrk0 + ret + +sekundy: + clr i + clr j + osc1 +del02: cpi j,10 ;konstanta pro dobu 1 sekundy + brne del02 + dec secs + breq endsecs + clr i + clr j + rjmp del02 +endsecs: + osc0 + ret + +minuty: + ldi secs,60 ;minuta ma 60 sekund -> secs=60 + rcall sekundy ;a vykoname cekani secs sekund + dec mins + breq endmins + rjmp minuty +endmins: + ret + + diff --git a/ASM_OLD/IR insect/vysilac 36kHz - modulace/36kHzm.asm b/ASM_OLD/IR insect/vysilac 36kHz - modulace/36kHzm.asm new file mode 100644 index 0000000..38e3e7f --- /dev/null +++ b/ASM_OLD/IR insect/vysilac 36kHz - modulace/36kHzm.asm @@ -0,0 +1,124 @@ +.device attiny13 +.def cnt=r19 +.equ clks=200 ;100 kloknuti (36kHz) na 1 bit (200 preklopeni) + +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + ldi r16,0b00011110 ;smer portu + out DDRB,r16 + + ldi r16,0 ;vypnout PullUp + out PORTB,r16 + + clr r16 + clr r17 + clr r18 + clr cnt + + sei ;Global Interrupt Enable + +LOOP: + in r16,PINB + sbrc r16,0 + rjmp pinset + rjmp LOOP +pinset: ;cekej, az bude 200 cyklu po sobe 1 na PB0 + clr cnt +pinset2: + in r16,PINB + sbrs r16,0 + rjmp loop + inc cnt + cpi cnt,200 + brne pinset2 + + rcall blik + rcall nblik + rcall nblik + rcall blik + rcall blik + rcall blik + rcall blik + rcall nblik + rcall nblik + rcall blik + + rcall nblik + rcall nblik + rcall nblik + rcall nblik + rcall nblik + rcall nblik + rcall nblik + rcall nblik + rcall nblik + +pinset0: ;cekej, az bude 200 cyklu po sobe 0 na PB0 + ;clr cnt +pinset3: + ;in r16,PINB + ;sbrc r16,0 + ;rjmp pinset0 + ;inc cnt + ;cpi cnt,200 + ;brne pinset3 + + rjmp LOOP ;skok na loop + +blik: + ldi r17,clks +A: + ldi r16,7 ;1 +B: + nop ;1 + nop ;1 + nop ;1 + nop ;1 + nop ;1 + dec r16 ;1 + brne B ;2 (1 + + nop ;1) + sbi PINB,1 ;2 -> toogle portb1 + nop ;1 + nop ;1 + dec r17 ;1 + breq endblik ;1 (2 -> preruseno, nedulezite) + rjmp A ;2 +endblik: + cbi PORTB,1 ;2 + ret ;4 + +nblik: + ldi r17,clks +nA: + ldi r16,7 ;1 + +nB: + nop ;1 + nop ;1 + nop ;1 + nop ;1 + nop ;1 + dec r16 ;1 + brne nB ;2 (1 + + nop ;1) + nop ;1 + nop ;1 + nop ;1 + nop ;1 + dec r17 ;1 + breq endnblik ;1 (2 -> preruseno, nedulezite) + rjmp nA ;2 +endnblik: + cbi PORTB,1 ;2 + ret ;4 + + diff --git a/ASM_OLD/IR insect/vysilac 36kHz/36kHz.asm b/ASM_OLD/IR insect/vysilac 36kHz/36kHz.asm new file mode 100644 index 0000000..0c73f3b --- /dev/null +++ b/ASM_OLD/IR insect/vysilac 36kHz/36kHz.asm @@ -0,0 +1,70 @@ +.device attiny13 +.def cnt=r19 + +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + ldi r16,0b00011110 ;smer portu + out DDRB,r16 + + ldi r16,0 ;vypnout PullUp + out PORTB,r16 + + clr r16 + clr r17 + clr r18 + clr cnt + + sei ;Global Interrupt Enable + +LOOP: + in r16,PINB + sbrc r16,0 + rjmp pinset + rjmp LOOP +pinset: ;cekej, az bude 100 cyklu po sobe 1 na PB0 + clr cnt +pinset2: + in r16,PINB + sbrs r16,0 + rjmp loop + inc cnt + cpi cnt,200 + brne pinset2 + + rcall blik + +w2: ;cekani pro odruseni zakmitu - 40 cyklu + inc cnt + cpi cnt,200 + brne w2 + + rjmp LOOP ;skok na loop + +blik: +A: + ldi r16,7 ;1 +B: + nop ;1 + nop ;1 + nop ;1 + nop ;1 + nop ;1 + dec r16 ;1 + brne B ;2 (1 + + nop ;1) + sbi PINB,1 ;2 -> toogle portb1 + nop ;1 + nop ;1 + in r17,pinb ;1 + sbrc r17,0 ;1 (2 -> preruseno, nedulezite) + rjmp A ;2 + cbi PORTB,1 ;2 + ret ;4 diff --git a/ASM_OLD/KOSTKA-nelinearni/a/dice2a.asm b/ASM_OLD/KOSTKA-nelinearni/a/dice2a.asm new file mode 100644 index 0000000..22072a8 --- /dev/null +++ b/ASM_OLD/KOSTKA-nelinearni/a/dice2a.asm @@ -0,0 +1,131 @@ +;Kostka pro attiny13 +;sestupna hrana impulzu na PB0 spousti kostku, vzestupna hrana spousti zpomalovani +;kostka se zastavi na nahodnem cisle. +;segmenty: + +; PB2 PB3 +; PB4 PB1 PB4 +; PB3 PB2 + +; diody na spolecne noze maji kazda svuj odpor. muze byt pole odporu + +.device attiny13 +.def i=r20 ;prvni citac, cita jen pro zpomaleni +.def j=r21 ;druhy citac, cita az do TOP, pak se oba vynulujou +.def top=r22 ;maximalni hodnota pro citac j -> vynulovani a dalsi faze +.def faze=r23 ;faze kostky. jsou zde cisla 0-5 +.def plus=r24 ;prirustek v dobe cekani na timer overflow - vzdy se inkrementuje + +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu +.org 0x0006 ;TC0 overflow A + rjmp CASOVAC + + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + ldi r16,0b11111110 ;smer pinu + out DDRB,r16 + + ldi r16,1 ;PullUpy + out PORTB,r16 + + ldi r16,(1< jdi na loop + ldi top,1 ;ANO -> nastavit top na 1 a vymazat citace + ldi plus,1 + clr i + clr j +CEKANI: + ldi top,3 ;cekame na uvolneni, zatim se prepina s top=2 + ldi plus,1 + in r16,PINB ;kontrola jestli je PINB0 zapnutej (pusteny tlacitko) + andi r16, 1 + sbrs r16, 0 + rjmp CEKANI + ldi top,1 + ldi plus,1 + clr i + clr j + rjmp LOOP ;skok na loop + +CASOVAC: + cpi top,50 ;porovnam top s 50, kdyz vetsi nebo rovno + brge CASEND ;tak se dal nepocita - preskok na konec + inc i ;i++ + cpi i,8 ;je i == 8 ? + brne CASEND ; ne -> konec, citam dal + clr i ;i=0 + inc j ;j++ + cp j,top ;je j == top? + brne CASEND ; ne -> konec, citam dal + add top,plus ;k top prictu plus + inc plus ;a plus zvysim o 1 + clr i ;vymazu pomocne + clr j + inc faze ;dalsi faze kostky + cpi faze,6 ;je faze 6? + brne VYBER ; neni -> skok na VYBER + ldi faze,0 ; je -> nuluju fazi +VYBER: cpi faze,0 ;podminene se vybere, co se ma zobrazit + breq F0 + cpi faze,1 + breq F1 + cpi faze,2 + breq F2 + cpi faze,3 + breq F3 + cpi faze,4 + breq F4 + cpi faze,5 + breq F5 + +F0: ldi r16,0b00000011 + out PORTB,r16 + rjmp CASEND +F1: ldi r16,0b00000101 + out PORTB,r16 + rjmp CASEND +F2: ldi r16,0b00001011 + out PORTB,r16 + rjmp CASEND +F3: ldi r16,0b00001101 + out PORTB,r16 + rjmp CASEND +F4: ldi r16,0b00001111 + out PORTB,r16 + rjmp CASEND +F5: ldi r16,0b00011101 + out PORTB,r16 +CASEND: ;navrat z preruseni + reti + diff --git a/ASM_OLD/KOSTKA-nelinearni/dice2b.asm b/ASM_OLD/KOSTKA-nelinearni/dice2b.asm new file mode 100644 index 0000000..e77a5c3 --- /dev/null +++ b/ASM_OLD/KOSTKA-nelinearni/dice2b.asm @@ -0,0 +1,146 @@ +;Kostka pro attiny13 +;sestupna hrana impulzu na PB0 spousti kostku, vzestupna hrana spousti zpomalovani +;kostka se zastavi na nahodnem cisle. +;segmenty: + +; PB2 PB3 +; PB4 PB1 PB4 +; PB3 PB2 + +; diody na spolecne noze maji kazda svuj odpor. muze byt pole odporu + +.device attiny13 +.def i=r20 ;prvni citac, cita jen pro zpomaleni +.def j=r21 ;druhy citac, cita az do TOP, pak se oba vynulujou +.def top=r22 ;maximalni hodnota pro citac j -> vynulovani a dalsi faze +.def faze=r23 ;faze kostky. jsou zde cisla 0-5 +.def plus=r24 ;prirustek v dobe cekani na timer overflow - vzdy se inkrementuje +.def fx=r25 + +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu +.org 0x0006 ;TC0 overflow A + rjmp CASOVAC + + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + ldi r16,0b11111110 ;smer pinu + out DDRB,r16 + + ldi r16,1 ;PullUpy + out PORTB,r16 + + ldi r16,(1< jdi na loop + mov faze, fx + ldi top,1 ;ANO -> nastavit top na 1 a vymazat citace + ldi plus,1 + clr i + clr j +CEKANI: + ldi top,2 ;cekame na uvolneni, zatim se prepina s top=2 + ldi plus,1 + + inc fx + cpi fx,6 + brne fxok2 + ldi fx, 0 +fxok2: + in r16,PINB ;kontrola jestli je PINB0 zapnutej (pusteny tlacitko) + andi r16, 1 + sbrs r16, 0 + rjmp CEKANI + mov faze, fx + ldi top,1 + ldi plus,1 + clr i + clr j + rjmp LOOP ;skok na loop + +CASOVAC: + cpi top,50 ;porovnam top s 50, kdyz vetsi nebo rovno + brge CASEND ;tak se dal nepocita - preskok na konec + inc i ;i++ + cpi i,8 ;je i == 8 ? + brne CASEND ; ne -> konec, citam dal + clr i ;i=0 + inc j ;j++ + cp j,top ;je j == top? + brne CASEND ; ne -> konec, citam dal + add top,plus ;k top prictu plus + inc plus ;a plus zvysim o 1 + clr i ;vymazu pomocne + clr j + inc faze ;dalsi faze kostky + cpi faze,6 ;je faze 6? + brne VYBER ; neni -> skok na VYBER + ldi faze,0 ; je -> nuluju fazi +VYBER: cpi faze,0 ;podminene se vybere, co se ma zobrazit + breq F0 + cpi faze,1 + breq F1 + cpi faze,2 + breq F2 + cpi faze,3 + breq F3 + cpi faze,4 + breq F4 + cpi faze,5 + breq F5 + +F0: ldi r16,0b00000011 + out PORTB,r16 + rjmp CASEND +F1: ldi r16,0b00000101 + out PORTB,r16 + rjmp CASEND +F2: ldi r16,0b00001011 + out PORTB,r16 + rjmp CASEND +F3: ldi r16,0b00001101 + out PORTB,r16 + rjmp CASEND +F4: ldi r16,0b00001111 + out PORTB,r16 + rjmp CASEND +F5: ldi r16,0b00011101 + out PORTB,r16 +CASEND: ;navrat z preruseni + reti + diff --git a/ASM_OLD/KOSTKA-nelinearni/dice2c.asm b/ASM_OLD/KOSTKA-nelinearni/dice2c.asm new file mode 100644 index 0000000..36d8e12 --- /dev/null +++ b/ASM_OLD/KOSTKA-nelinearni/dice2c.asm @@ -0,0 +1,150 @@ +;Kostka pro attiny13 +;sestupna hrana impulzu na PB0 spousti kostku, vzestupna hrana spousti zpomalovani +;kostka se zastavi na nahodnem cisle. +;segmenty: + +; PB2 PB3 +; PB4 PB1 PB4 +; PB3 PB2 + +; diody na spolecne noze maji kazda svuj odpor. muze byt pole odporu + +.device attiny13 +.def i=r20 ;prvni citac, cita jen pro zpomaleni +.def j=r21 ;druhy citac, cita az do TOP, pak se oba vynulujou +.def top=r22 ;maximalni hodnota pro citac j -> vynulovani a dalsi faze +.def faze=r23 ;faze kostky. jsou zde cisla 0-5 +.def plus=r24 ;prirustek v dobe cekani na timer overflow - vzdy se inkrementuje +.def fx=r25 + +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu +.org 0x0006 ;TC0 overflow A + rjmp CASOVAC + + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + ldi r16,0b11111110 ;smer pinu + out DDRB,r16 + + ldi r16,1 ;PullUpy + out PORTB,r16 + + ldi r16,(1< jdi na loop + mov faze, fx + cli + ldi top,1 ;ANO -> nastavit top na 1 a vymazat citace + ldi plus,1 + clr i + clr j + sei +CEKANI: + ldi top,2 ;cekame na uvolneni, zatim se prepina s top=2 + ldi plus,1 + + inc fx + cpi fx,6 + brne fxok2 + ldi fx, 0 +fxok2: + in r16,PINB ;kontrola jestli je PINB0 zapnutej (pusteny tlacitko) + andi r16, 1 + sbrs r16, 0 + rjmp CEKANI + cli + mov faze, fx + ldi top,1 + ldi plus,1 + clr i + clr j + sei + rjmp LOOP ;skok na loop + +CASOVAC: + cpi top,50 ;porovnam top s 50, kdyz vetsi nebo rovno + brge CASEND ;tak se dal nepocita - preskok na konec + inc i ;i++ + cpi i,8 ;je i == 8 ? + brne CASEND ; ne -> konec, citam dal + clr i ;i=0 + inc j ;j++ + cp j,top ;je j == top? + brne CASEND ; ne -> konec, citam dal + add top,plus ;k top prictu plus + inc plus ;a plus zvysim o 1 + clr i ;vymazu pomocne + clr j + inc faze ;dalsi faze kostky + cpi faze,6 ;je faze 6? + brne VYBER ; neni -> skok na VYBER + ldi faze,0 ; je -> nuluju fazi +VYBER: cpi faze,0 ;podminene se vybere, co se ma zobrazit + breq F0 + cpi faze,1 + breq F1 + cpi faze,2 + breq F2 + cpi faze,3 + breq F3 + cpi faze,4 + breq F4 + cpi faze,5 + breq F5 + +F0: ldi r16,0b00000011 + out PORTB,r16 + rjmp CASEND +F1: ldi r16,0b00000101 + out PORTB,r16 + rjmp CASEND +F2: ldi r16,0b00001011 + out PORTB,r16 + rjmp CASEND +F3: ldi r16,0b00001101 + out PORTB,r16 + rjmp CASEND +F4: ldi r16,0b00001111 + out PORTB,r16 + rjmp CASEND +F5: ldi r16,0b00011101 + out PORTB,r16 +CASEND: ;navrat z preruseni + reti + diff --git a/ASM_OLD/KOSTKA/dice.asm b/ASM_OLD/KOSTKA/dice.asm new file mode 100644 index 0000000..0eede24 --- /dev/null +++ b/ASM_OLD/KOSTKA/dice.asm @@ -0,0 +1,111 @@ +;pracuje jako 5-ti bitovy binarni citac +;vystupy 3 a 4 jsou prohozene kvuli desce +.device attiny13 +.def i=r20 ;prvni citac, cita jen pro zpomaleni +.def j=r21 ;druhy citac, cita az do TOP, pak se oba vynulujou +.def top=r22 ;maximalni hodnota pro citac j -> vynulovani a dalsi faze +.def faze=r23 + +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu +.org 0x0006 ;TC0 overflow A + rjmp CASOVAC + + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + ldi r16,0b11111110 ;smer pinu + out DDRB,r16 + + ldi r16,0 ;PullUpy + out PORTB,r16 + + ldi r16,(1< jdi na loop + ldi top,1 ;ANO -> nastavit top na 1 a vymazat citace + clr i + clr j +CEKANI: + ldi top,2 ;cekame na uvolneni, zatim se prepina s top=2 + in r16,PINB ;kontrola jestli je PINB0 vypnutej + sbrc r16, 0 + rjmp CEKANI + rjmp LOOP ;skok na loop + +CASOVAC: + cpi top,40 + breq CASEND + inc i + cpi i,10 + brne CASEND + clr i + inc j + cp j,top + brne CASEND + inc top + clr i + clr j + inc faze ;PODMINENY VYBER VYSTUPNIHO SYMBOLU + cpi faze,6 + brne VYBER + ldi faze,0 +VYBER: cpi faze,0 + breq F0 + cpi faze,1 + breq F1 + cpi faze,2 + breq F2 + cpi faze,3 + breq F3 + cpi faze,4 + breq F4 + cpi faze,5 + breq F5 + +F0: ldi r16,0b00000010 + out PORTB,r16 + rjmp CASEND +F1: ldi r16,0b00000100 + out PORTB,r16 + rjmp CASEND +F2: ldi r16,0b00001010 + out PORTB,r16 + rjmp CASEND +F3: ldi r16,0b00001100 + out PORTB,r16 + rjmp CASEND +F4: ldi r16,0b00001110 + out PORTB,r16 + rjmp CASEND +F5: ldi r16,0b00011100 + out PORTB,r16 +CASEND: + reti + diff --git a/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/AvrBuild.bat b/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/AvrBuild.bat new file mode 100644 index 0000000..5c08acb --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/AvrBuild.bat @@ -0,0 +1,2 @@ +@ECHO OFF +"C:\Program Files\Atmel\AVR Tools\AvrAssembler2\avrasm2.exe" -S "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\labels.tmp" -fI -W+ie -o "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.hex" -d "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.obj" -e "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.eep" -m "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.map" "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asm" diff --git a/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.aps b/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.aps new file mode 100644 index 0000000..2d72f27 --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.aps @@ -0,0 +1 @@ +efekty_LED06-Sep-2007 20:57:1308-Sep-2007 21:56:18208006-Sep-2007 20:57:1344, 13, 0, 528Atmel AVR Assemblerefekty_LED.objC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asmC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\AVR SimulatorATmega16falseR00R01R02R03R04R05R06R07R08R09R10R11R12R13R14R15R16R17R18R19R20R21R22R23R24R25R26R27R28R29R30R31Auto000C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asmC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asmC:\Program Files\Atmel\AVR Tools\AvrAssembler\AppnotesIefekty_LED00100,C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\efekty_LED.asmC:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\m16def.inc00000efekty_LED2100001C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\tn13def.inc100002C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\tn2313def.inc100003C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\m16def.inc25700004efekty_LED.asm25700005C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\_cekani.inc259193 71 1022 7180 014 146 677 4560 0235 132 898 4420 0191 67 1020 7220 0197 71 1026 56678 6Maximized311 204 978 51812 15 diff --git a/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.asm b/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.asm new file mode 100644 index 0000000..6e7e7fb --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.asm @@ -0,0 +1,87 @@ +;pokus + +.include "m16def.inc" + +.def POM=r16 +.def A=r17 +.def B=r18 +.def C=r19 +.def D=r20 + +.cseg +.org 0x0000 ;zacatek kodu + RJMP RESET ;skok na start po resetu + + +.org 0x0010 ;zacatek vlastniho programu + +RESET: + LDI R16,0x5F ;nastavi stack pointer na 0x045F + OUT SPL,R16 ;coz je konec SRAM u ATmegy16 + LDI R16,0x04 + OUT SPH,R16 + + LDI POM,0xFF ;nastavi vsechny porty jako vystupni + OUT DDRA,POM + OUT DDRB,POM + OUT DDRC,POM + OUT DDRD,POM + + LDI A,0b01110000 ;hodnoty portu A az D + LDI B,0b10001000 + LDI C,0b10001000 + LDI D,0b00000111 + + +LOOP: + CLC + ROL A ;preroluj A registr + BRCC ACC ;pokud je C=0, skoc na ACC (A Carry Clear) + SBR A,0b00000001 ;nastav prvni bit v A na 1 +ACC: OUT PORTA,A ;output A do PORTA + + CLC + ROL B ;preroluj B registr - totez co u A reg. + BRCC BCC + SBR B,0b00000001 +BCC: OUT PORTB,B + + CLC + ROL C ;preroluj C registr - totez co u A reg. + BRCC CCC + SBR C,0b00000001 +CCC: OUT PORTC,C + + CLC + ROL D ;preroluj D registr - totez co u A reg. + BRCC DCC + SBR D,0b00000001 +DCC: OUT PORTD,D + RCALL CEKANI + RJMP LOOP ;jdi na LOOP + +CEKANI: + PUSH r18 ;ulozit pouzivane registry do STACKU + PUSH r17 + PUSH r16 + + LDI r16, 40 +L1: DEC r16 + LDI r17, 40 +L2: DEC r17 + LDI r18, 40 +L3: DEC r18 + CPI r18, 0 + BRNE L3 + CPI r17, 0 + BRNE L2 + CPI r16, 0 + BRNE L1 + + POP r16 ;nacist puvodni obsah registru ze STACKU + POP r17 + POP r18 + + RET ;navrat do hlavniho programu + + diff --git a/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.map b/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.map new file mode 100644 index 0000000..cdf29cd --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED.map @@ -0,0 +1,621 @@ + +AVRASM ver. 2.1.12 C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asm Sat Sep 08 21:56:17 2007 + + +EQU SIGNATURE_000 0000001e +EQU SIGNATURE_001 00000094 +EQU SIGNATURE_002 00000003 +EQU SREG 0000003f +EQU SPL 0000003d +EQU SPH 0000003e +EQU OCR0 0000003c +EQU GICR 0000003b +EQU GIFR 0000003a +EQU TIMSK 00000039 +EQU TIFR 00000038 +EQU SPMCSR 00000037 +EQU TWCR 00000036 +EQU MCUCR 00000035 +EQU MCUCSR 00000034 +EQU TCCR0 00000033 +EQU TCNT0 00000032 +EQU OSCCAL 00000031 +EQU OCDR 00000031 +EQU SFIOR 00000030 +EQU TCCR1A 0000002f +EQU TCCR1B 0000002e +EQU TCNT1L 0000002c +EQU TCNT1H 0000002d +EQU OCR1AL 0000002a +EQU OCR1AH 0000002b +EQU OCR1BL 00000028 +EQU OCR1BH 00000029 +EQU ICR1L 00000026 +EQU ICR1H 00000027 +EQU TCCR2 00000025 +EQU TCNT2 00000024 +EQU OCR2 00000023 +EQU ASSR 00000022 +EQU WDTCR 00000021 +EQU UBRRH 00000020 +EQU UCSRC 00000020 +EQU EEARL 0000001e +EQU EEARH 0000001f +EQU EEDR 0000001d +EQU EECR 0000001c +EQU PORTA 0000001b +EQU DDRA 0000001a +EQU PINA 00000019 +EQU PORTB 00000018 +EQU DDRB 00000017 +EQU PINB 00000016 +EQU PORTC 00000015 +EQU DDRC 00000014 +EQU PINC 00000013 +EQU PORTD 00000012 +EQU DDRD 00000011 +EQU PIND 00000010 +EQU SPDR 0000000f +EQU SPSR 0000000e +EQU SPCR 0000000d +EQU UDR 0000000c +EQU UCSRA 0000000b +EQU UCSRB 0000000a +EQU UBRRL 00000009 +EQU ACSR 00000008 +EQU ADMUX 00000007 +EQU ADCSRA 00000006 +EQU ADCH 00000005 +EQU ADCL 00000004 +EQU TWDR 00000003 +EQU TWAR 00000002 +EQU TWSR 00000001 +EQU TWBR 00000000 +EQU CS00 00000000 +EQU CS01 00000001 +EQU CS02 00000002 +EQU WGM01 00000003 +EQU CTC0 00000003 +EQU COM00 00000004 +EQU COM01 00000005 +EQU WGM00 00000006 +EQU PWM0 00000006 +EQU FOC0 00000007 +EQU TCNT0_0 00000000 +EQU TCNT0_1 00000001 +EQU TCNT0_2 00000002 +EQU TCNT0_3 00000003 +EQU TCNT0_4 00000004 +EQU TCNT0_5 00000005 +EQU TCNT0_6 00000006 +EQU TCNT0_7 00000007 +EQU OCR0_0 00000000 +EQU OCR0_1 00000001 +EQU OCR0_2 00000002 +EQU OCR0_3 00000003 +EQU OCR0_4 00000004 +EQU OCR0_5 00000005 +EQU OCR0_6 00000006 +EQU OCR0_7 00000007 +EQU TOIE0 00000000 +EQU OCIE0 00000001 +EQU TOV0 00000000 +EQU OCF0 00000001 +EQU PSR10 00000000 +EQU TOIE1 00000002 +EQU OCIE1B 00000003 +EQU OCIE1A 00000004 +EQU TICIE1 00000005 +EQU TOV1 00000002 +EQU OCF1B 00000003 +EQU OCF1A 00000004 +EQU ICF1 00000005 +EQU WGM10 00000000 +EQU PWM10 00000000 +EQU WGM11 00000001 +EQU PWM11 00000001 +EQU FOC1B 00000002 +EQU FOC1A 00000003 +EQU COM1B0 00000004 +EQU COM1B1 00000005 +EQU COM1A0 00000006 +EQU COM1A1 00000007 +EQU CS10 00000000 +EQU CS11 00000001 +EQU CS12 00000002 +EQU WGM12 00000003 +EQU CTC10 00000003 +EQU CTC1 00000003 +EQU WGM13 00000004 +EQU CTC11 00000004 +EQU ICES1 00000006 +EQU ICNC1 00000007 +EQU GIMSK 0000003b +EQU IVCE 00000000 +EQU IVSEL 00000001 +EQU INT2 00000005 +EQU INT0 00000006 +EQU INT1 00000007 +EQU INTF2 00000005 +EQU INTF0 00000006 +EQU INTF1 00000007 +EQU ISC00 00000000 +EQU ISC01 00000001 +EQU ISC10 00000002 +EQU ISC11 00000003 +EQU ISC2 00000006 +EQU EEDR0 00000000 +EQU EEDR1 00000001 +EQU EEDR2 00000002 +EQU EEDR3 00000003 +EQU EEDR4 00000004 +EQU EEDR5 00000005 +EQU EEDR6 00000006 +EQU EEDR7 00000007 +EQU EERE 00000000 +EQU EEWE 00000001 +EQU EEMWE 00000002 +EQU EEWEE 00000002 +EQU EERIE 00000003 +EQU SREG_C 00000000 +EQU SREG_Z 00000001 +EQU SREG_N 00000002 +EQU SREG_V 00000003 +EQU SREG_S 00000004 +EQU SREG_H 00000005 +EQU SREG_T 00000006 +EQU SREG_I 00000007 +EQU SM0 00000004 +EQU SM1 00000005 +EQU SE 00000006 +EQU SM2 00000007 +EQU MCUSR 00000034 +EQU PORF 00000000 +EQU EXTRF 00000001 +EQU EXTREF 00000001 +EQU BORF 00000002 +EQU WDRF 00000003 +EQU JTRF 00000004 +EQU JTD 00000007 +EQU CAL0 00000000 +EQU CAL1 00000001 +EQU CAL2 00000002 +EQU CAL3 00000003 +EQU CAL4 00000004 +EQU CAL5 00000005 +EQU CAL6 00000006 +EQU CAL7 00000007 +EQU PSR2 00000001 +EQU PUD 00000002 +EQU TOIE2 00000006 +EQU OCIE2 00000007 +EQU TOV2 00000006 +EQU OCF2 00000007 +EQU CS20 00000000 +EQU CS21 00000001 +EQU CS22 00000002 +EQU WGM21 00000003 +EQU CTC2 00000003 +EQU COM20 00000004 +EQU COM21 00000005 +EQU WGM20 00000006 +EQU PWM2 00000006 +EQU FOC2 00000007 +EQU TCNT2_0 00000000 +EQU TCNT2_1 00000001 +EQU TCNT2_2 00000002 +EQU TCNT2_3 00000003 +EQU TCNT2_4 00000004 +EQU TCNT2_5 00000005 +EQU TCNT2_6 00000006 +EQU TCNT2_7 00000007 +EQU OCR2_0 00000000 +EQU OCR2_1 00000001 +EQU OCR2_2 00000002 +EQU OCR2_3 00000003 +EQU OCR2_4 00000004 +EQU OCR2_5 00000005 +EQU OCR2_6 00000006 +EQU OCR2_7 00000007 +EQU TCR2UB 00000000 +EQU OCR2UB 00000001 +EQU TCN2UB 00000002 +EQU AS2 00000003 +EQU SPDR0 00000000 +EQU SPDR1 00000001 +EQU SPDR2 00000002 +EQU SPDR3 00000003 +EQU SPDR4 00000004 +EQU SPDR5 00000005 +EQU SPDR6 00000006 +EQU SPDR7 00000007 +EQU SPI2X 00000000 +EQU WCOL 00000006 +EQU SPIF 00000007 +EQU SPR0 00000000 +EQU SPR1 00000001 +EQU CPHA 00000002 +EQU CPOL 00000003 +EQU MSTR 00000004 +EQU DORD 00000005 +EQU SPE 00000006 +EQU SPIE 00000007 +EQU UDR0 00000000 +EQU UDR1 00000001 +EQU UDR2 00000002 +EQU UDR3 00000003 +EQU UDR4 00000004 +EQU UDR5 00000005 +EQU UDR6 00000006 +EQU UDR7 00000007 +EQU USR 0000000b +EQU MPCM 00000000 +EQU U2X 00000001 +EQU UPE 00000002 +EQU PE 00000002 +EQU DOR 00000003 +EQU FE 00000004 +EQU UDRE 00000005 +EQU TXC 00000006 +EQU RXC 00000007 +EQU UCR 0000000a +EQU TXB8 00000000 +EQU RXB8 00000001 +EQU UCSZ2 00000002 +EQU CHR9 00000002 +EQU TXEN 00000003 +EQU RXEN 00000004 +EQU UDRIE 00000005 +EQU TXCIE 00000006 +EQU RXCIE 00000007 +EQU UCPOL 00000000 +EQU UCSZ0 00000001 +EQU UCSZ1 00000002 +EQU USBS 00000003 +EQU UPM0 00000004 +EQU UPM1 00000005 +EQU UMSEL 00000006 +EQU URSEL 00000007 +EQU UBRRHI 00000020 +EQU I2BR 00000000 +EQU TWBR0 00000000 +EQU TWBR1 00000001 +EQU TWBR2 00000002 +EQU TWBR3 00000003 +EQU TWBR4 00000004 +EQU TWBR5 00000005 +EQU TWBR6 00000006 +EQU TWBR7 00000007 +EQU I2CR 00000036 +EQU TWIE 00000000 +EQU I2IE 00000000 +EQU TWEN 00000002 +EQU I2EN 00000002 +EQU ENI2C 00000002 +EQU TWWC 00000003 +EQU I2WC 00000003 +EQU TWSTO 00000004 +EQU I2STO 00000004 +EQU TWSTA 00000005 +EQU I2STA 00000005 +EQU TWEA 00000006 +EQU I2EA 00000006 +EQU TWINT 00000007 +EQU I2INT 00000007 +EQU I2SR 00000001 +EQU TWPS0 00000000 +EQU TWS0 00000000 +EQU I2GCE 00000000 +EQU TWPS1 00000001 +EQU TWS1 00000001 +EQU TWS3 00000003 +EQU I2S3 00000003 +EQU TWS4 00000004 +EQU I2S4 00000004 +EQU TWS5 00000005 +EQU I2S5 00000005 +EQU TWS6 00000006 +EQU I2S6 00000006 +EQU TWS7 00000007 +EQU I2S7 00000007 +EQU I2DR 00000003 +EQU TWD0 00000000 +EQU TWD1 00000001 +EQU TWD2 00000002 +EQU TWD3 00000003 +EQU TWD4 00000004 +EQU TWD5 00000005 +EQU TWD6 00000006 +EQU TWD7 00000007 +EQU I2AR 00000002 +EQU TWGCE 00000000 +EQU TWA0 00000001 +EQU TWA1 00000002 +EQU TWA2 00000003 +EQU TWA3 00000004 +EQU TWA4 00000005 +EQU TWA5 00000006 +EQU TWA6 00000007 +EQU ACME 00000003 +EQU ACIS0 00000000 +EQU ACIS1 00000001 +EQU ACIC 00000002 +EQU ACIE 00000003 +EQU ACI 00000004 +EQU ACO 00000005 +EQU ACBG 00000006 +EQU ACD 00000007 +EQU MUX0 00000000 +EQU MUX1 00000001 +EQU MUX2 00000002 +EQU MUX3 00000003 +EQU MUX4 00000004 +EQU ADLAR 00000005 +EQU REFS0 00000006 +EQU REFS1 00000007 +EQU ADCSR 00000006 +EQU ADPS0 00000000 +EQU ADPS1 00000001 +EQU ADPS2 00000002 +EQU ADIE 00000003 +EQU ADIF 00000004 +EQU ADATE 00000005 +EQU ADFR 00000005 +EQU ADSC 00000006 +EQU ADEN 00000007 +EQU ADCH0 00000000 +EQU ADCH1 00000001 +EQU ADCH2 00000002 +EQU ADCH3 00000003 +EQU ADCH4 00000004 +EQU ADCH5 00000005 +EQU ADCH6 00000006 +EQU ADCH7 00000007 +EQU ADCL0 00000000 +EQU ADCL1 00000001 +EQU ADCL2 00000002 +EQU ADCL3 00000003 +EQU ADCL4 00000004 +EQU ADCL5 00000005 +EQU ADCL6 00000006 +EQU ADCL7 00000007 +EQU ADTS0 00000005 +EQU ADTS1 00000006 +EQU ADTS2 00000007 +EQU OCDR0 00000000 +EQU OCDR1 00000001 +EQU OCDR2 00000002 +EQU OCDR3 00000003 +EQU OCDR4 00000004 +EQU OCDR5 00000005 +EQU OCDR6 00000006 +EQU OCDR7 00000007 +EQU IDRD 00000007 +EQU SPMCR 00000037 +EQU SPMEN 00000000 +EQU PGERS 00000001 +EQU PGWRT 00000002 +EQU BLBSET 00000003 +EQU RWWSRE 00000004 +EQU ASRE 00000004 +EQU RWWSB 00000006 +EQU ASB 00000006 +EQU SPMIE 00000007 +EQU PORTA0 00000000 +EQU PA0 00000000 +EQU PORTA1 00000001 +EQU PA1 00000001 +EQU PORTA2 00000002 +EQU PA2 00000002 +EQU PORTA3 00000003 +EQU PA3 00000003 +EQU PORTA4 00000004 +EQU PA4 00000004 +EQU PORTA5 00000005 +EQU PA5 00000005 +EQU PORTA6 00000006 +EQU PA6 00000006 +EQU PORTA7 00000007 +EQU PA7 00000007 +EQU DDA0 00000000 +EQU DDA1 00000001 +EQU DDA2 00000002 +EQU DDA3 00000003 +EQU DDA4 00000004 +EQU DDA5 00000005 +EQU DDA6 00000006 +EQU DDA7 00000007 +EQU PINA0 00000000 +EQU PINA1 00000001 +EQU PINA2 00000002 +EQU PINA3 00000003 +EQU PINA4 00000004 +EQU PINA5 00000005 +EQU PINA6 00000006 +EQU PINA7 00000007 +EQU PORTB0 00000000 +EQU PB0 00000000 +EQU PORTB1 00000001 +EQU PB1 00000001 +EQU PORTB2 00000002 +EQU PB2 00000002 +EQU PORTB3 00000003 +EQU PB3 00000003 +EQU PORTB4 00000004 +EQU PB4 00000004 +EQU PORTB5 00000005 +EQU PB5 00000005 +EQU PORTB6 00000006 +EQU PB6 00000006 +EQU PORTB7 00000007 +EQU PB7 00000007 +EQU DDB0 00000000 +EQU DDB1 00000001 +EQU DDB2 00000002 +EQU DDB3 00000003 +EQU DDB4 00000004 +EQU DDB5 00000005 +EQU DDB6 00000006 +EQU DDB7 00000007 +EQU PINB0 00000000 +EQU PINB1 00000001 +EQU PINB2 00000002 +EQU PINB3 00000003 +EQU PINB4 00000004 +EQU PINB5 00000005 +EQU PINB6 00000006 +EQU PINB7 00000007 +EQU PORTC0 00000000 +EQU PC0 00000000 +EQU PORTC1 00000001 +EQU PC1 00000001 +EQU PORTC2 00000002 +EQU PC2 00000002 +EQU PORTC3 00000003 +EQU PC3 00000003 +EQU PORTC4 00000004 +EQU PC4 00000004 +EQU PORTC5 00000005 +EQU PC5 00000005 +EQU PORTC6 00000006 +EQU PC6 00000006 +EQU PORTC7 00000007 +EQU PC7 00000007 +EQU DDC0 00000000 +EQU DDC1 00000001 +EQU DDC2 00000002 +EQU DDC3 00000003 +EQU DDC4 00000004 +EQU DDC5 00000005 +EQU DDC6 00000006 +EQU DDC7 00000007 +EQU PINC0 00000000 +EQU PINC1 00000001 +EQU PINC2 00000002 +EQU PINC3 00000003 +EQU PINC4 00000004 +EQU PINC5 00000005 +EQU PINC6 00000006 +EQU PINC7 00000007 +EQU PORTD0 00000000 +EQU PD0 00000000 +EQU PORTD1 00000001 +EQU PD1 00000001 +EQU PORTD2 00000002 +EQU PD2 00000002 +EQU PORTD3 00000003 +EQU PD3 00000003 +EQU PORTD4 00000004 +EQU PD4 00000004 +EQU PORTD5 00000005 +EQU PD5 00000005 +EQU PORTD6 00000006 +EQU PD6 00000006 +EQU PORTD7 00000007 +EQU PD7 00000007 +EQU DDD0 00000000 +EQU DDD1 00000001 +EQU DDD2 00000002 +EQU DDD3 00000003 +EQU DDD4 00000004 +EQU DDD5 00000005 +EQU DDD6 00000006 +EQU DDD7 00000007 +EQU PIND0 00000000 +EQU PIND1 00000001 +EQU PIND2 00000002 +EQU PIND3 00000003 +EQU PIND4 00000004 +EQU PIND5 00000005 +EQU PIND6 00000006 +EQU PIND7 00000007 +EQU WDP0 00000000 +EQU WDP1 00000001 +EQU WDP2 00000002 +EQU WDE 00000003 +EQU WDTOE 00000004 +EQU WDDE 00000004 +EQU LB1 00000000 +EQU LB2 00000001 +EQU BLB01 00000002 +EQU BLB02 00000003 +EQU BLB11 00000004 +EQU BLB12 00000005 +EQU CKSEL0 00000000 +EQU CKSEL1 00000001 +EQU CKSEL2 00000002 +EQU CKSEL3 00000003 +EQU SUT0 00000004 +EQU SUT1 00000005 +EQU BODEN 00000006 +EQU BODLEVEL 00000007 +EQU BOOTRST 00000000 +EQU BOOTSZ0 00000001 +EQU BOOTSZ1 00000002 +EQU EESAVE 00000003 +EQU CKOPT 00000004 +EQU SPIEN 00000005 +EQU JTAGEN 00000006 +EQU OCDEN 00000007 +DEF XH r27 +DEF XL r26 +DEF YH r29 +DEF YL r28 +DEF ZH r31 +DEF ZL r30 +EQU FLASHEND 00001fff +EQU IOEND 0000003f +EQU SRAM_START 00000060 +EQU SRAM_SIZE 00000400 +EQU RAMEND 0000045f +EQU XRAMEND 00000000 +EQU E2END 000001ff +EQU EEPROMEND 000001ff +EQU EEADRBITS 00000009 +EQU NRWW_START_ADDR 00001c00 +EQU NRWW_STOP_ADDR 00001fff +EQU RWW_START_ADDR 00000000 +EQU RWW_STOP_ADDR 00001bff +EQU PAGESIZE 00000040 +EQU FIRSTBOOTSTART 00001f80 +EQU SECONDBOOTSTART 00001f00 +EQU THIRDBOOTSTART 00001e00 +EQU FOURTHBOOTSTART 00001c00 +EQU SMALLBOOTSTART 00001f80 +EQU LARGEBOOTSTART 00001c00 +EQU INT0addr 00000002 +EQU INT1addr 00000004 +EQU OC2addr 00000006 +EQU OVF2addr 00000008 +EQU ICP1addr 0000000a +EQU OC1Aaddr 0000000c +EQU OC1Baddr 0000000e +EQU OVF1addr 00000010 +EQU OVF0addr 00000012 +EQU SPIaddr 00000014 +EQU URXCaddr 00000016 +EQU UDREaddr 00000018 +EQU UTXCaddr 0000001a +EQU ADCCaddr 0000001c +EQU ERDYaddr 0000001e +EQU ACIaddr 00000020 +EQU TWIaddr 00000022 +EQU INT2addr 00000024 +EQU OC0addr 00000026 +EQU SPMRaddr 00000028 +EQU INT_VECTORS_SIZE 0000002a +DEF POM r16 +DEF A r17 +DEF B r18 +DEF C r19 +DEF D r20 +CSEG RESET 00000010 +CSEG LOOP 0000001d +CSEG ACC 00000021 +CSEG BCC 00000026 +CSEG CCC 0000002b +CSEG DCC 00000030 +CSEG CEKANI 00000033 +CSEG L1 00000037 +CSEG L2 00000039 +CSEG L3 0000003b diff --git a/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED2 b/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED2 new file mode 100644 index 0000000..336ee4f --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_LED2 @@ -0,0 +1,88 @@ +;pokus + +.include "m16def.inc" + +.def POM=r16 +.def A=r17 +.def B=r18 +.def C=r19 +.def D=r20 + +.org 0x0000 ;zacatek kodu + RJMP RESET ;skok na start po resetu + + +.org 0x0010 ;zacatek vlastniho programu + +RESET: + LDI R16,0x5F ;nastavi stack pointer na 0x045F + OUT SPL,R16 ;coz je konec SRAM u ATmegy16 + LDI R16,0x04 + OUT SPH,R16 + + LDI POM,0xFF ;nastavi vsechny porty jako vystupni + OUT DDRA,POM + OUT DDRB,POM + OUT DDRC,POM + OUT DDRD,POM + + LDI A,0b01110000 ;hodnoty portu A az D + LDI B,0b10001000 + LDI C,0b10001000 + LDI D,0b00000111 + + +LOOP: + CLC + ROL A ;preroluj A registr + BRCC ACC ;pokud je C=0, skoc na ACC (A Carry Clear) + SBR A,0b00000001 ;nastav prvni bit v A na 1 +ACC: OUT PORTA,A ;output A do PORTA + + CLC + ROL B ;preroluj B registr - totez co u A reg. + BRCC BCC + SBR B,0b00000001 +BCC: OUT PORTB,B + + CLC + ROL C ;preroluj C registr - totez co u A reg. + BRCC CCC + SBR C,0b00000001 +CCC: OUT PORTC,C + + CLC + ROL D ;preroluj D registr - totez co u A reg. + BRCC DCC + SBR D,0b00000001 +DCC: OUT PORTD,D + + + RCALL CEKANI + RJMP LOOP ;znovu na navesti LOOP + +CEKANI: + PUSH r18 ;ulozit pouzivane registry do STACKU + PUSH r17 + PUSH r16 + + LDI r16, 40 +L1: DEC r16 + LDI r17, 40 +L2: DEC r17 + LDI r18, 40 +L3: DEC r18 + CPI r18, 0 + BRNE L3 + CPI r17, 0 + BRNE L2 + CPI r16, 0 + BRNE L1 + + POP r16 ;nacist puvodni obsah registru ze STACKU + POP r17 + POP r18 + + RET ;navrat do hlavniho programu + + diff --git a/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_led.aws b/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_led.aws new file mode 100644 index 0000000..a82c9f2 --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/efekty_led.aws @@ -0,0 +1 @@ + diff --git a/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/labels.tmp b/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/labels.tmp new file mode 100644 index 0000000..46887d3 --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/m16-HAD_leze/labels.tmp @@ -0,0 +1,33 @@ + + 2.1.12 + ATmega16 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze + + C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asm + + C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\m16def.inc + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.obj + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.hex + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.map + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asm18 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asm36 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asm41 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asm47 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asm53 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asm59 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asm63 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asm69 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asm71 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\HAD_leze\efekty_LED.asm73 + + diff --git a/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/AvrBuild.bat b/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/AvrBuild.bat new file mode 100644 index 0000000..a477640 --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/AvrBuild.bat @@ -0,0 +1,2 @@ +@ECHO OFF +"C:\Program Files\Atmel\AVR Tools\AvrAssembler2\avrasm2.exe" -S "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\labels.tmp" -fI -W+ie -o "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.hex" -d "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.obj" -e "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.eep" -m "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.map" "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.asm" diff --git a/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/LED_display.aps b/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/LED_display.aps new file mode 100644 index 0000000..18122a5 --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/LED_display.aps @@ -0,0 +1 @@ +06-Sep-2007 20:57:1310-Sep-2007 21:51:09006-Sep-2007 20:57:1344, 13, 0, 528Atmel AVR Assembler208LED_display08-Sep-2007 21:57:3208-Sep-2007 21:57:32208008-Sep-2007 21:57:3244, 13, 0, 528Atmel AVR AssemblerLED_display.objC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.asmC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\ATmega16falseR00R01R02R03R04R05R06R07R08R09R10R11R12R13R14R15R16R17R18R19R20R21R22R23R24R25R26R27R28R29R30R31AVR SimulatorAVR SimulatorATmega16.xmlAuto000C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.asmC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.asmC:\Program Files\Atmel\AVR Tools\AvrAssembler\AppnotesILED_display00100,C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\LED_display.asmC:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\m16def.inc00000LED_display.asm1197 71 1026 56659 11Maximized diff --git a/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/LED_display.asm b/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/LED_display.asm new file mode 100644 index 0000000..040de9e --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/LED_display.asm @@ -0,0 +1,74 @@ +;pokus + +.include "m16def.inc" + +.def POM=r16 + +.cseg +.org 0x0000 ;zacatek kodu + RJMP RESET ;skok na start po resetu + + +.org 0x0010 ;zacatek vlastniho programu + +RESET: + LDI R16,0x5F ;nastavi stack pointer na 0x045F + OUT SPL,R16 ;coz je konec SRAM u ATmegy16 + LDI R16,0x04 + OUT SPH,R16 + + LDI POM,0xFF ;nastavi vsechny potrebne porty jako vystupni + OUT DDRA,POM + OUT DDRB,POM + +LOOP: + LDI r16,0b01110111 ;display 3 abcef + LDI r17,0b00001000 + OUT PORTA,r16 + OUT PORTB,r17 + RCALL cekani + + LDI r16,0b01110110 ;display 2 + LDI r17,0b00000100 + OUT PORTA,r16 + OUT PORTB,r17 + RCALL cekani + + LDI r16,0b00111111 ;display 1 + LDI r17,0b00000010 + OUT PORTA,r16 + OUT PORTB,r17 + RCALL cekani + + LDI r16,0b00011110 ;display 0 + LDI r17,0b00000001 + OUT PORTA,r16 + OUT PORTB,r17 + RCALL cekani + + RJMP LOOP ;jdi na LOOP + +CEKANI: + PUSH r18 ;ulozit pouzivane registry do STACKU + PUSH r17 + PUSH r16 + + LDI r16, 2 +L1: DEC r16 + LDI r17, 2 +L2: DEC r17 + LDI r18, 2 +L3: DEC r18 + CPI r18, 0 + BRNE L3 + CPI r17, 0 + BRNE L2 + CPI r16, 0 + BRNE L1 + + POP r16 ;nacist puvodni obsah registru ze STACKU + POP r17 + POP r18 + + RET ;navrat do hlavniho programu + diff --git a/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/LED_display.map b/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/LED_display.map new file mode 100644 index 0000000..7180673 --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/LED_display.map @@ -0,0 +1,613 @@ + +AVRASM ver. 2.1.12 C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.asm Mon Sep 10 21:42:09 2007 + + +EQU SIGNATURE_000 0000001e +EQU SIGNATURE_001 00000094 +EQU SIGNATURE_002 00000003 +EQU SREG 0000003f +EQU SPL 0000003d +EQU SPH 0000003e +EQU OCR0 0000003c +EQU GICR 0000003b +EQU GIFR 0000003a +EQU TIMSK 00000039 +EQU TIFR 00000038 +EQU SPMCSR 00000037 +EQU TWCR 00000036 +EQU MCUCR 00000035 +EQU MCUCSR 00000034 +EQU TCCR0 00000033 +EQU TCNT0 00000032 +EQU OSCCAL 00000031 +EQU OCDR 00000031 +EQU SFIOR 00000030 +EQU TCCR1A 0000002f +EQU TCCR1B 0000002e +EQU TCNT1L 0000002c +EQU TCNT1H 0000002d +EQU OCR1AL 0000002a +EQU OCR1AH 0000002b +EQU OCR1BL 00000028 +EQU OCR1BH 00000029 +EQU ICR1L 00000026 +EQU ICR1H 00000027 +EQU TCCR2 00000025 +EQU TCNT2 00000024 +EQU OCR2 00000023 +EQU ASSR 00000022 +EQU WDTCR 00000021 +EQU UBRRH 00000020 +EQU UCSRC 00000020 +EQU EEARL 0000001e +EQU EEARH 0000001f +EQU EEDR 0000001d +EQU EECR 0000001c +EQU PORTA 0000001b +EQU DDRA 0000001a +EQU PINA 00000019 +EQU PORTB 00000018 +EQU DDRB 00000017 +EQU PINB 00000016 +EQU PORTC 00000015 +EQU DDRC 00000014 +EQU PINC 00000013 +EQU PORTD 00000012 +EQU DDRD 00000011 +EQU PIND 00000010 +EQU SPDR 0000000f +EQU SPSR 0000000e +EQU SPCR 0000000d +EQU UDR 0000000c +EQU UCSRA 0000000b +EQU UCSRB 0000000a +EQU UBRRL 00000009 +EQU ACSR 00000008 +EQU ADMUX 00000007 +EQU ADCSRA 00000006 +EQU ADCH 00000005 +EQU ADCL 00000004 +EQU TWDR 00000003 +EQU TWAR 00000002 +EQU TWSR 00000001 +EQU TWBR 00000000 +EQU CS00 00000000 +EQU CS01 00000001 +EQU CS02 00000002 +EQU WGM01 00000003 +EQU CTC0 00000003 +EQU COM00 00000004 +EQU COM01 00000005 +EQU WGM00 00000006 +EQU PWM0 00000006 +EQU FOC0 00000007 +EQU TCNT0_0 00000000 +EQU TCNT0_1 00000001 +EQU TCNT0_2 00000002 +EQU TCNT0_3 00000003 +EQU TCNT0_4 00000004 +EQU TCNT0_5 00000005 +EQU TCNT0_6 00000006 +EQU TCNT0_7 00000007 +EQU OCR0_0 00000000 +EQU OCR0_1 00000001 +EQU OCR0_2 00000002 +EQU OCR0_3 00000003 +EQU OCR0_4 00000004 +EQU OCR0_5 00000005 +EQU OCR0_6 00000006 +EQU OCR0_7 00000007 +EQU TOIE0 00000000 +EQU OCIE0 00000001 +EQU TOV0 00000000 +EQU OCF0 00000001 +EQU PSR10 00000000 +EQU TOIE1 00000002 +EQU OCIE1B 00000003 +EQU OCIE1A 00000004 +EQU TICIE1 00000005 +EQU TOV1 00000002 +EQU OCF1B 00000003 +EQU OCF1A 00000004 +EQU ICF1 00000005 +EQU WGM10 00000000 +EQU PWM10 00000000 +EQU WGM11 00000001 +EQU PWM11 00000001 +EQU FOC1B 00000002 +EQU FOC1A 00000003 +EQU COM1B0 00000004 +EQU COM1B1 00000005 +EQU COM1A0 00000006 +EQU COM1A1 00000007 +EQU CS10 00000000 +EQU CS11 00000001 +EQU CS12 00000002 +EQU WGM12 00000003 +EQU CTC10 00000003 +EQU CTC1 00000003 +EQU WGM13 00000004 +EQU CTC11 00000004 +EQU ICES1 00000006 +EQU ICNC1 00000007 +EQU GIMSK 0000003b +EQU IVCE 00000000 +EQU IVSEL 00000001 +EQU INT2 00000005 +EQU INT0 00000006 +EQU INT1 00000007 +EQU INTF2 00000005 +EQU INTF0 00000006 +EQU INTF1 00000007 +EQU ISC00 00000000 +EQU ISC01 00000001 +EQU ISC10 00000002 +EQU ISC11 00000003 +EQU ISC2 00000006 +EQU EEDR0 00000000 +EQU EEDR1 00000001 +EQU EEDR2 00000002 +EQU EEDR3 00000003 +EQU EEDR4 00000004 +EQU EEDR5 00000005 +EQU EEDR6 00000006 +EQU EEDR7 00000007 +EQU EERE 00000000 +EQU EEWE 00000001 +EQU EEMWE 00000002 +EQU EEWEE 00000002 +EQU EERIE 00000003 +EQU SREG_C 00000000 +EQU SREG_Z 00000001 +EQU SREG_N 00000002 +EQU SREG_V 00000003 +EQU SREG_S 00000004 +EQU SREG_H 00000005 +EQU SREG_T 00000006 +EQU SREG_I 00000007 +EQU SM0 00000004 +EQU SM1 00000005 +EQU SE 00000006 +EQU SM2 00000007 +EQU MCUSR 00000034 +EQU PORF 00000000 +EQU EXTRF 00000001 +EQU EXTREF 00000001 +EQU BORF 00000002 +EQU WDRF 00000003 +EQU JTRF 00000004 +EQU JTD 00000007 +EQU CAL0 00000000 +EQU CAL1 00000001 +EQU CAL2 00000002 +EQU CAL3 00000003 +EQU CAL4 00000004 +EQU CAL5 00000005 +EQU CAL6 00000006 +EQU CAL7 00000007 +EQU PSR2 00000001 +EQU PUD 00000002 +EQU TOIE2 00000006 +EQU OCIE2 00000007 +EQU TOV2 00000006 +EQU OCF2 00000007 +EQU CS20 00000000 +EQU CS21 00000001 +EQU CS22 00000002 +EQU WGM21 00000003 +EQU CTC2 00000003 +EQU COM20 00000004 +EQU COM21 00000005 +EQU WGM20 00000006 +EQU PWM2 00000006 +EQU FOC2 00000007 +EQU TCNT2_0 00000000 +EQU TCNT2_1 00000001 +EQU TCNT2_2 00000002 +EQU TCNT2_3 00000003 +EQU TCNT2_4 00000004 +EQU TCNT2_5 00000005 +EQU TCNT2_6 00000006 +EQU TCNT2_7 00000007 +EQU OCR2_0 00000000 +EQU OCR2_1 00000001 +EQU OCR2_2 00000002 +EQU OCR2_3 00000003 +EQU OCR2_4 00000004 +EQU OCR2_5 00000005 +EQU OCR2_6 00000006 +EQU OCR2_7 00000007 +EQU TCR2UB 00000000 +EQU OCR2UB 00000001 +EQU TCN2UB 00000002 +EQU AS2 00000003 +EQU SPDR0 00000000 +EQU SPDR1 00000001 +EQU SPDR2 00000002 +EQU SPDR3 00000003 +EQU SPDR4 00000004 +EQU SPDR5 00000005 +EQU SPDR6 00000006 +EQU SPDR7 00000007 +EQU SPI2X 00000000 +EQU WCOL 00000006 +EQU SPIF 00000007 +EQU SPR0 00000000 +EQU SPR1 00000001 +EQU CPHA 00000002 +EQU CPOL 00000003 +EQU MSTR 00000004 +EQU DORD 00000005 +EQU SPE 00000006 +EQU SPIE 00000007 +EQU UDR0 00000000 +EQU UDR1 00000001 +EQU UDR2 00000002 +EQU UDR3 00000003 +EQU UDR4 00000004 +EQU UDR5 00000005 +EQU UDR6 00000006 +EQU UDR7 00000007 +EQU USR 0000000b +EQU MPCM 00000000 +EQU U2X 00000001 +EQU UPE 00000002 +EQU PE 00000002 +EQU DOR 00000003 +EQU FE 00000004 +EQU UDRE 00000005 +EQU TXC 00000006 +EQU RXC 00000007 +EQU UCR 0000000a +EQU TXB8 00000000 +EQU RXB8 00000001 +EQU UCSZ2 00000002 +EQU CHR9 00000002 +EQU TXEN 00000003 +EQU RXEN 00000004 +EQU UDRIE 00000005 +EQU TXCIE 00000006 +EQU RXCIE 00000007 +EQU UCPOL 00000000 +EQU UCSZ0 00000001 +EQU UCSZ1 00000002 +EQU USBS 00000003 +EQU UPM0 00000004 +EQU UPM1 00000005 +EQU UMSEL 00000006 +EQU URSEL 00000007 +EQU UBRRHI 00000020 +EQU I2BR 00000000 +EQU TWBR0 00000000 +EQU TWBR1 00000001 +EQU TWBR2 00000002 +EQU TWBR3 00000003 +EQU TWBR4 00000004 +EQU TWBR5 00000005 +EQU TWBR6 00000006 +EQU TWBR7 00000007 +EQU I2CR 00000036 +EQU TWIE 00000000 +EQU I2IE 00000000 +EQU TWEN 00000002 +EQU I2EN 00000002 +EQU ENI2C 00000002 +EQU TWWC 00000003 +EQU I2WC 00000003 +EQU TWSTO 00000004 +EQU I2STO 00000004 +EQU TWSTA 00000005 +EQU I2STA 00000005 +EQU TWEA 00000006 +EQU I2EA 00000006 +EQU TWINT 00000007 +EQU I2INT 00000007 +EQU I2SR 00000001 +EQU TWPS0 00000000 +EQU TWS0 00000000 +EQU I2GCE 00000000 +EQU TWPS1 00000001 +EQU TWS1 00000001 +EQU TWS3 00000003 +EQU I2S3 00000003 +EQU TWS4 00000004 +EQU I2S4 00000004 +EQU TWS5 00000005 +EQU I2S5 00000005 +EQU TWS6 00000006 +EQU I2S6 00000006 +EQU TWS7 00000007 +EQU I2S7 00000007 +EQU I2DR 00000003 +EQU TWD0 00000000 +EQU TWD1 00000001 +EQU TWD2 00000002 +EQU TWD3 00000003 +EQU TWD4 00000004 +EQU TWD5 00000005 +EQU TWD6 00000006 +EQU TWD7 00000007 +EQU I2AR 00000002 +EQU TWGCE 00000000 +EQU TWA0 00000001 +EQU TWA1 00000002 +EQU TWA2 00000003 +EQU TWA3 00000004 +EQU TWA4 00000005 +EQU TWA5 00000006 +EQU TWA6 00000007 +EQU ACME 00000003 +EQU ACIS0 00000000 +EQU ACIS1 00000001 +EQU ACIC 00000002 +EQU ACIE 00000003 +EQU ACI 00000004 +EQU ACO 00000005 +EQU ACBG 00000006 +EQU ACD 00000007 +EQU MUX0 00000000 +EQU MUX1 00000001 +EQU MUX2 00000002 +EQU MUX3 00000003 +EQU MUX4 00000004 +EQU ADLAR 00000005 +EQU REFS0 00000006 +EQU REFS1 00000007 +EQU ADCSR 00000006 +EQU ADPS0 00000000 +EQU ADPS1 00000001 +EQU ADPS2 00000002 +EQU ADIE 00000003 +EQU ADIF 00000004 +EQU ADATE 00000005 +EQU ADFR 00000005 +EQU ADSC 00000006 +EQU ADEN 00000007 +EQU ADCH0 00000000 +EQU ADCH1 00000001 +EQU ADCH2 00000002 +EQU ADCH3 00000003 +EQU ADCH4 00000004 +EQU ADCH5 00000005 +EQU ADCH6 00000006 +EQU ADCH7 00000007 +EQU ADCL0 00000000 +EQU ADCL1 00000001 +EQU ADCL2 00000002 +EQU ADCL3 00000003 +EQU ADCL4 00000004 +EQU ADCL5 00000005 +EQU ADCL6 00000006 +EQU ADCL7 00000007 +EQU ADTS0 00000005 +EQU ADTS1 00000006 +EQU ADTS2 00000007 +EQU OCDR0 00000000 +EQU OCDR1 00000001 +EQU OCDR2 00000002 +EQU OCDR3 00000003 +EQU OCDR4 00000004 +EQU OCDR5 00000005 +EQU OCDR6 00000006 +EQU OCDR7 00000007 +EQU IDRD 00000007 +EQU SPMCR 00000037 +EQU SPMEN 00000000 +EQU PGERS 00000001 +EQU PGWRT 00000002 +EQU BLBSET 00000003 +EQU RWWSRE 00000004 +EQU ASRE 00000004 +EQU RWWSB 00000006 +EQU ASB 00000006 +EQU SPMIE 00000007 +EQU PORTA0 00000000 +EQU PA0 00000000 +EQU PORTA1 00000001 +EQU PA1 00000001 +EQU PORTA2 00000002 +EQU PA2 00000002 +EQU PORTA3 00000003 +EQU PA3 00000003 +EQU PORTA4 00000004 +EQU PA4 00000004 +EQU PORTA5 00000005 +EQU PA5 00000005 +EQU PORTA6 00000006 +EQU PA6 00000006 +EQU PORTA7 00000007 +EQU PA7 00000007 +EQU DDA0 00000000 +EQU DDA1 00000001 +EQU DDA2 00000002 +EQU DDA3 00000003 +EQU DDA4 00000004 +EQU DDA5 00000005 +EQU DDA6 00000006 +EQU DDA7 00000007 +EQU PINA0 00000000 +EQU PINA1 00000001 +EQU PINA2 00000002 +EQU PINA3 00000003 +EQU PINA4 00000004 +EQU PINA5 00000005 +EQU PINA6 00000006 +EQU PINA7 00000007 +EQU PORTB0 00000000 +EQU PB0 00000000 +EQU PORTB1 00000001 +EQU PB1 00000001 +EQU PORTB2 00000002 +EQU PB2 00000002 +EQU PORTB3 00000003 +EQU PB3 00000003 +EQU PORTB4 00000004 +EQU PB4 00000004 +EQU PORTB5 00000005 +EQU PB5 00000005 +EQU PORTB6 00000006 +EQU PB6 00000006 +EQU PORTB7 00000007 +EQU PB7 00000007 +EQU DDB0 00000000 +EQU DDB1 00000001 +EQU DDB2 00000002 +EQU DDB3 00000003 +EQU DDB4 00000004 +EQU DDB5 00000005 +EQU DDB6 00000006 +EQU DDB7 00000007 +EQU PINB0 00000000 +EQU PINB1 00000001 +EQU PINB2 00000002 +EQU PINB3 00000003 +EQU PINB4 00000004 +EQU PINB5 00000005 +EQU PINB6 00000006 +EQU PINB7 00000007 +EQU PORTC0 00000000 +EQU PC0 00000000 +EQU PORTC1 00000001 +EQU PC1 00000001 +EQU PORTC2 00000002 +EQU PC2 00000002 +EQU PORTC3 00000003 +EQU PC3 00000003 +EQU PORTC4 00000004 +EQU PC4 00000004 +EQU PORTC5 00000005 +EQU PC5 00000005 +EQU PORTC6 00000006 +EQU PC6 00000006 +EQU PORTC7 00000007 +EQU PC7 00000007 +EQU DDC0 00000000 +EQU DDC1 00000001 +EQU DDC2 00000002 +EQU DDC3 00000003 +EQU DDC4 00000004 +EQU DDC5 00000005 +EQU DDC6 00000006 +EQU DDC7 00000007 +EQU PINC0 00000000 +EQU PINC1 00000001 +EQU PINC2 00000002 +EQU PINC3 00000003 +EQU PINC4 00000004 +EQU PINC5 00000005 +EQU PINC6 00000006 +EQU PINC7 00000007 +EQU PORTD0 00000000 +EQU PD0 00000000 +EQU PORTD1 00000001 +EQU PD1 00000001 +EQU PORTD2 00000002 +EQU PD2 00000002 +EQU PORTD3 00000003 +EQU PD3 00000003 +EQU PORTD4 00000004 +EQU PD4 00000004 +EQU PORTD5 00000005 +EQU PD5 00000005 +EQU PORTD6 00000006 +EQU PD6 00000006 +EQU PORTD7 00000007 +EQU PD7 00000007 +EQU DDD0 00000000 +EQU DDD1 00000001 +EQU DDD2 00000002 +EQU DDD3 00000003 +EQU DDD4 00000004 +EQU DDD5 00000005 +EQU DDD6 00000006 +EQU DDD7 00000007 +EQU PIND0 00000000 +EQU PIND1 00000001 +EQU PIND2 00000002 +EQU PIND3 00000003 +EQU PIND4 00000004 +EQU PIND5 00000005 +EQU PIND6 00000006 +EQU PIND7 00000007 +EQU WDP0 00000000 +EQU WDP1 00000001 +EQU WDP2 00000002 +EQU WDE 00000003 +EQU WDTOE 00000004 +EQU WDDE 00000004 +EQU LB1 00000000 +EQU LB2 00000001 +EQU BLB01 00000002 +EQU BLB02 00000003 +EQU BLB11 00000004 +EQU BLB12 00000005 +EQU CKSEL0 00000000 +EQU CKSEL1 00000001 +EQU CKSEL2 00000002 +EQU CKSEL3 00000003 +EQU SUT0 00000004 +EQU SUT1 00000005 +EQU BODEN 00000006 +EQU BODLEVEL 00000007 +EQU BOOTRST 00000000 +EQU BOOTSZ0 00000001 +EQU BOOTSZ1 00000002 +EQU EESAVE 00000003 +EQU CKOPT 00000004 +EQU SPIEN 00000005 +EQU JTAGEN 00000006 +EQU OCDEN 00000007 +DEF XH r27 +DEF XL r26 +DEF YH r29 +DEF YL r28 +DEF ZH r31 +DEF ZL r30 +EQU FLASHEND 00001fff +EQU IOEND 0000003f +EQU SRAM_START 00000060 +EQU SRAM_SIZE 00000400 +EQU RAMEND 0000045f +EQU XRAMEND 00000000 +EQU E2END 000001ff +EQU EEPROMEND 000001ff +EQU EEADRBITS 00000009 +EQU NRWW_START_ADDR 00001c00 +EQU NRWW_STOP_ADDR 00001fff +EQU RWW_START_ADDR 00000000 +EQU RWW_STOP_ADDR 00001bff +EQU PAGESIZE 00000040 +EQU FIRSTBOOTSTART 00001f80 +EQU SECONDBOOTSTART 00001f00 +EQU THIRDBOOTSTART 00001e00 +EQU FOURTHBOOTSTART 00001c00 +EQU SMALLBOOTSTART 00001f80 +EQU LARGEBOOTSTART 00001c00 +EQU INT0addr 00000002 +EQU INT1addr 00000004 +EQU OC2addr 00000006 +EQU OVF2addr 00000008 +EQU ICP1addr 0000000a +EQU OC1Aaddr 0000000c +EQU OC1Baddr 0000000e +EQU OVF1addr 00000010 +EQU OVF0addr 00000012 +EQU SPIaddr 00000014 +EQU URXCaddr 00000016 +EQU UDREaddr 00000018 +EQU UTXCaddr 0000001a +EQU ADCCaddr 0000001c +EQU ERDYaddr 0000001e +EQU ACIaddr 00000020 +EQU TWIaddr 00000022 +EQU INT2addr 00000024 +EQU OC0addr 00000026 +EQU SPMRaddr 00000028 +EQU INT_VECTORS_SIZE 0000002a +DEF POM r16 +CSEG RESET 00000010 +CSEG LOOP 00000017 +CSEG cekani 0000002c +CSEG L1 00000030 +CSEG L2 00000032 +CSEG L3 00000034 diff --git a/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/labels.tmp b/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/labels.tmp new file mode 100644 index 0000000..825caef --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/labels.tmp @@ -0,0 +1,29 @@ + + 2.1.12 + ATmega16 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display + + C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.asm + + C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\m16def.inc + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.obj + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.hex + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.map + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.asm14 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.asm24 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.asm51 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.asm57 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.asm59 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\LED_display\LED_display.asm61 + + diff --git a/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/led_display.aws b/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/led_display.aws new file mode 100644 index 0000000..e246495 --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/m16_LED_display/led_display.aws @@ -0,0 +1 @@ + diff --git a/ASM_OLD/OBSLUHA_PORTU/t13-Navesti_vlak/pokus.asm b/ASM_OLD/OBSLUHA_PORTU/t13-Navesti_vlak/pokus.asm new file mode 100644 index 0000000..fc7275b --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/t13-Navesti_vlak/pokus.asm @@ -0,0 +1,53 @@ +;pokus + +.include "tn13def.inc" + +.def POM=r16 + +.org 0x0000 ;zacatek kodu + RJMP RESET ;skok na start po resetu + + +.org 0x0010 ;zacatek vlastniho programu + +RESET: + LDI POM,low(RAMEND) ;nastavi stack pointer + OUT SPL,POM + + LDI POM,0B00000011 ;nastavi vystupni piny + OUT DDRB,POM + +LOOP: + SBI PORTB,0 ;PB0=0, PB1=1 + CBI PORTB,1 + RCALL CEKANI ;CEKACI SUB + CBI PORTB,0 ;PB0=1, PB1=0 + SBI PORTB,1 + RCALL CEKANI ;CEKACI SUB + RJMP LOOP ;znovu na navesti LOOP + +CEKANI: + PUSH r18 ;ulozit pouzivane registry do STACKU + PUSH r17 + PUSH r16 + + LDI r16, 100 +L1: DEC r16 + LDI r17, 100 +L2: DEC r17 + LDI r18, 125 +L3: DEC r18 + CPI r18, 0 + BRNE L3 + CPI r17, 0 + BRNE L2 + CPI r16, 0 + BRNE L1 + + POP r16 ;nacist puvodni obsah registru ze STACKU + POP r17 + POP r18 + + RET ;navrat do hlavniho programu + + diff --git a/ASM_OLD/OBSLUHA_PORTU/t13-Navesti_vlak/pokus.map b/ASM_OLD/OBSLUHA_PORTU/t13-Navesti_vlak/pokus.map new file mode 100644 index 0000000..a614916 --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/t13-Navesti_vlak/pokus.map @@ -0,0 +1,287 @@ + +AVRASM ver. 2.1.12 C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\pokus\pokus.asm Tue Sep 04 20:36:18 2007 + + +EQU SIGNATURE_000 0000001e +EQU SIGNATURE_001 00000090 +EQU SIGNATURE_002 00000007 +EQU SREG 0000003f +EQU SPL 0000003d +EQU GIMSK 0000003b +EQU GIFR 0000003a +EQU TIMSK0 00000039 +EQU TIFR0 00000038 +EQU SPMCSR 00000037 +EQU OCR0A 00000036 +EQU MCUCR 00000035 +EQU MCUSR 00000034 +EQU TCCR0B 00000033 +EQU TCNT0 00000032 +EQU OSCCAL 00000031 +EQU TCCR0A 0000002f +EQU DWDR 0000002e +EQU OCR0B 00000029 +EQU GTCCR 00000028 +EQU CLKPR 00000026 +EQU WDTCR 00000021 +EQU EEAR 0000001e +EQU EEDR 0000001d +EQU EECR 0000001c +EQU PORTB 00000018 +EQU DDRB 00000017 +EQU PINB 00000016 +EQU PCMSK 00000015 +EQU DIDR0 00000014 +EQU ACSR 00000008 +EQU ADMUX 00000007 +EQU ADCSRA 00000006 +EQU ADCH 00000005 +EQU ADCL 00000004 +EQU ADCSRB 00000003 +EQU MUX0 00000000 +EQU MUX1 00000001 +EQU ADLAR 00000005 +EQU REFS0 00000006 +EQU ADPS0 00000000 +EQU ADPS1 00000001 +EQU ADPS2 00000002 +EQU ADIE 00000003 +EQU ADIF 00000004 +EQU ADATE 00000005 +EQU ADSC 00000006 +EQU ADEN 00000007 +EQU ADCH0 00000000 +EQU ADCH1 00000001 +EQU ADCH2 00000002 +EQU ADCH3 00000003 +EQU ADCH4 00000004 +EQU ADCH5 00000005 +EQU ADCH6 00000006 +EQU ADCH7 00000007 +EQU ADCL0 00000000 +EQU ADCL1 00000001 +EQU ADCL2 00000002 +EQU ADCL3 00000003 +EQU ADCL4 00000004 +EQU ADCL5 00000005 +EQU ADCL6 00000006 +EQU ADCL7 00000007 +EQU ADTS0 00000000 +EQU ADTS1 00000001 +EQU ADTS2 00000002 +EQU ADC1D 00000002 +EQU ADC3D 00000003 +EQU ADC2D 00000004 +EQU ADC0D 00000005 +EQU ACME 00000006 +EQU ACIS0 00000000 +EQU ACIS1 00000001 +EQU ACIE 00000003 +EQU ACI 00000004 +EQU ACO 00000005 +EQU ACBG 00000006 +EQU AINBG 00000006 +EQU ACD 00000007 +EQU AIN0D 00000000 +EQU AIN1D 00000001 +EQU EEARL 0000001e +EQU EEAR0 00000000 +EQU EEAR1 00000001 +EQU EEAR2 00000002 +EQU EEAR3 00000003 +EQU EEAR4 00000004 +EQU EEAR5 00000005 +EQU EEDR0 00000000 +EQU EEDR1 00000001 +EQU EEDR2 00000002 +EQU EEDR3 00000003 +EQU EEDR4 00000004 +EQU EEDR5 00000005 +EQU EEDR6 00000006 +EQU EEDR7 00000007 +EQU EERE 00000000 +EQU EEWE 00000001 +EQU EEPE 00000001 +EQU EEMWE 00000002 +EQU EEMPE 00000002 +EQU EERIE 00000003 +EQU EEPM0 00000004 +EQU EEPM1 00000005 +EQU SREG_C 00000000 +EQU SREG_Z 00000001 +EQU SREG_N 00000002 +EQU SREG_V 00000003 +EQU SREG_S 00000004 +EQU SREG_H 00000005 +EQU SREG_T 00000006 +EQU SREG_I 00000007 +EQU SP0 00000000 +EQU SP1 00000001 +EQU SP2 00000002 +EQU SP3 00000003 +EQU SP4 00000004 +EQU SP5 00000005 +EQU SP6 00000006 +EQU SP7 00000007 +EQU ISC00 00000000 +EQU ISC01 00000001 +EQU SM0 00000003 +EQU SM1 00000004 +EQU SE 00000005 +EQU PUD 00000006 +EQU PORF 00000000 +EQU EXTRF 00000001 +EQU BORF 00000002 +EQU WDRF 00000003 +EQU CAL0 00000000 +EQU CAL1 00000001 +EQU CAL2 00000002 +EQU CAL3 00000003 +EQU CAL4 00000004 +EQU CAL5 00000005 +EQU CAL6 00000006 +EQU CLKPS0 00000000 +EQU CLKPS1 00000001 +EQU CLKPS2 00000002 +EQU CLKPS3 00000003 +EQU CLKPCE 00000007 +EQU DWDR0 00000000 +EQU DWDR1 00000001 +EQU DWDR2 00000002 +EQU DWDR3 00000003 +EQU DWDR4 00000004 +EQU DWDR5 00000005 +EQU DWDR6 00000006 +EQU DWDR7 00000007 +EQU SPMEN 00000000 +EQU PGERS 00000001 +EQU PGWRT 00000002 +EQU RFLB 00000003 +EQU CTPB 00000004 +EQU PORTB0 00000000 +EQU PB0 00000000 +EQU PORTB1 00000001 +EQU PB1 00000001 +EQU PORTB2 00000002 +EQU PB2 00000002 +EQU PORTB3 00000003 +EQU PB3 00000003 +EQU PORTB4 00000004 +EQU PB4 00000004 +EQU PORTB5 00000005 +EQU PB5 00000005 +EQU DDB0 00000000 +EQU DDB1 00000001 +EQU DDB2 00000002 +EQU DDB3 00000003 +EQU DDB4 00000004 +EQU DDB5 00000005 +EQU PINB0 00000000 +EQU PINB1 00000001 +EQU PINB2 00000002 +EQU PINB3 00000003 +EQU PINB4 00000004 +EQU PINB5 00000005 +EQU GICR 0000003b +EQU PCIE 00000005 +EQU INT0 00000006 +EQU PCIF 00000005 +EQU INTF0 00000006 +EQU PCINT0 00000000 +EQU PCINT1 00000001 +EQU PCINT2 00000002 +EQU PCINT3 00000003 +EQU PCINT4 00000004 +EQU PCINT5 00000005 +EQU TOIE0 00000001 +EQU OCIE0A 00000002 +EQU OCIE0B 00000003 +EQU TOV0 00000001 +EQU OCF0A 00000002 +EQU OCF0B 00000003 +EQU OCR0_0 00000000 +EQU OCR0_1 00000001 +EQU OCR0_2 00000002 +EQU OCR0_3 00000003 +EQU OCR0_4 00000004 +EQU OCR0_5 00000005 +EQU OCR0_6 00000006 +EQU OCR0_7 00000007 +EQU WGM00 00000000 +EQU WGM01 00000001 +EQU COM0B0 00000004 +EQU COM0B1 00000005 +EQU COM0A0 00000006 +EQU COM0A1 00000007 +EQU TCNT0_0 00000000 +EQU TCNT0_1 00000001 +EQU TCNT0_2 00000002 +EQU TCNT0_3 00000003 +EQU TCNT0_4 00000004 +EQU TCNT0_5 00000005 +EQU TCNT0_6 00000006 +EQU TCNT0_7 00000007 +EQU CS00 00000000 +EQU CS01 00000001 +EQU CS02 00000002 +EQU WGM02 00000003 +EQU FOC0B 00000006 +EQU FOC0A 00000007 +EQU PSR10 00000000 +EQU TSM 00000007 +EQU WDP0 00000000 +EQU WDP1 00000001 +EQU WDP2 00000002 +EQU WDE 00000003 +EQU WDCE 00000004 +EQU WDP3 00000005 +EQU WDTIE 00000006 +EQU WDTIF 00000007 +EQU LB1 00000000 +EQU LB2 00000001 +EQU CKSEL0 00000000 +EQU CKSEL1 00000001 +EQU SUT0 00000002 +EQU SUT1 00000003 +EQU CKDIV8 00000004 +EQU WDTON 00000005 +EQU EESAVE 00000006 +EQU SPIEN 00000007 +EQU RSTDISBL 00000000 +EQU BODLEVEL0 00000001 +EQU BODLEVEL1 00000002 +EQU DWEN 00000003 +EQU SELFPRGEN 00000004 +DEF XH r27 +DEF XL r26 +DEF YH r29 +DEF YL r28 +DEF ZH r31 +DEF ZL r30 +EQU FLASHEND 000001ff +EQU IOEND 0000003f +EQU SRAM_START 00000060 +EQU SRAM_SIZE 00000040 +EQU RAMEND 0000009f +EQU XRAMEND 00000000 +EQU E2END 0000003f +EQU EEPROMEND 0000003f +EQU EEADRBITS 00000006 +EQU PAGESIZE 00000010 +EQU INT0addr 00000001 +EQU PCI0addr 00000002 +EQU OVF0addr 00000003 +EQU ERDYaddr 00000004 +EQU ACIaddr 00000005 +EQU OC0Aaddr 00000006 +EQU OC0Baddr 00000007 +EQU WDTaddr 00000008 +EQU ADCCaddr 00000009 +EQU INT_VECTORS_SIZE 0000000a +DEF POM r16 +CSEG RESET 00000010 +CSEG LOOP 00000014 +CSEG CEKANI 0000001b +CSEG L1 0000001f +CSEG L2 00000021 +CSEG L3 00000023 diff --git a/ASM_OLD/OBSLUHA_PORTU/t13-Vstup_button/Vstup_button.asm b/ASM_OLD/OBSLUHA_PORTU/t13-Vstup_button/Vstup_button.asm new file mode 100644 index 0000000..6340e1f --- /dev/null +++ b/ASM_OLD/OBSLUHA_PORTU/t13-Vstup_button/Vstup_button.asm @@ -0,0 +1,53 @@ +.device attiny13 +.def CNT=r25 +.def LED=r24 + +.org 0x0000 ;zacatek kodu + RJMP RESET ;skok na start po resetu + +.org 0x0010 +RESET: + LDI r16,low(RAMEND) ;nastavi stack pointer + OUT SPL,r16 + + CLI ;zakazat vsechna preruseni + + LDI LED,0 + + LDI r16,0b11111110 ;PB2 je vystupni, PB0 vstupni + OUT DDRB,r16 + + LDI r16,0 ;vypnout PullUp + OUT PORTB,r16 + +LOOP: + IN r16,PINB ;dej do r16 stav PINB + ANDI r16,0b00000001 + CPI r16,1 ;neni-li to 1, jdi na STORNO + BRNE STORNO + INC CNT ;CNT+1 + CPI CNT,50 ;neni-li CNT=100, jdi na LOOP + BRNE LOOP + + IN r16,PORTB ;r16=PORTB (vystupni port) + LDI r17,0b00000100 ;vyxoruj r16 s 0b00000100 + EOR r16,R17 + OUT PORTB,R16 ;a vysledek dej na PORTB + +KONEC: + IN r16,PINB ;cekani na vstup nuly do PINB0 + ANDI r16,0b00000001 + CPI r16,0 + BRNE KONEC ;neni-li r16=0, jdi na KONEC + RJMP LOOP ;jdi na LOOP + +STORNO: + LDI CNT,0 ;do CNT dej 0 + RJMP LOOP ;jdi na LOOP + + + + + + + diff --git a/ASM_OLD/ONDIS displej 8 R-G ledek/ondis01.asm b/ASM_OLD/ONDIS displej 8 R-G ledek/ondis01.asm new file mode 100644 index 0000000..91b277a --- /dev/null +++ b/ASM_OLD/ONDIS displej 8 R-G ledek/ondis01.asm @@ -0,0 +1,97 @@ +.DEVICE ATtiny13 +.LIST +.LISTMAC +;běží na 9.6MHz, ckdiv8=0 (zapnuto) +;avrdude příkaz pro FUSEs: -U lfuse:w:0x6a:m -U hfuse:w:0xff:m +;LFUSE: 0x6A +;HFUSE: 0xFF + +;aliasy pointerů +.def ZH = r31 +.def ZL = r30 +.def YH = r29 +.def YL = r28 +.def XH = r27 +.def XL = r26 +.def A2 = r25 +.def A1 = r24 + + +; Z A C A T E K P R O G R A M U +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + + +; začátek kódu +.org 0x0010 +RESET: ldi r16,low(RAMEND) ;nastaví stack pointer + out SPL,r16 + + +;tělo programu + + +;věčná smyčka +F_LOOP: rjmp F_LOOP + + +SHOW: + ;A1,A2 obsahují bajty k zobrazení + ldi r16,8 +NextB: ror A1 + brcs A1on + cbi PORTB,PB0 + rjmp A2proc +A1on: sbi PORTB,PB0 +A2proc: ror A2 + brcs A2on + cbi PORTB,PB1 + rjmp shift +A1on: sbi PORTB,PB1 +shift: sbi PORTB,PB2 + nop + cbi PORTB,PB2 + dec r16 + brne NextB + sbi PORTB,PB3 + nop + cbi PORTB,PB3 + ret + +CharTbl: + ; GR GR GR GR + ; GR GR GR GR +.db 0b00000000, 0b00000000 + + + + +;cteni z EEPROM, v [r17 je ADRESA], v (r16 se objeví DATA) +;EEread: +; sbic EECR,1 +; rjmp EEread ;cekani dokud neni EEPROM ready +; +; out EEARL, r17 ;ulozit adresu z r17 +; sbi EECR,0 ;nastavenim EERE zacina cteni +; in r16,EEDR ;cteni dat z EEDR do r16 +; +; ret + + +;zapis do EEPROM, v [R17 je ADRESA], v [R16 jsou DATA] +;EEwrite: +; sbic EECR,EEPE +; rjmp EEwrite ;cekani dokud neni EEPROM ready +; +; cbi EECR,EEPM1 ;nastavit programovaci mod +; cbi EECR,EEPM0 +; +; out EEARL, r17 ;dej adresu z r17 do EEARL +; out EEDR, r16 ;dej data z r16 do EEDR +; sbi EECR,EEMPE ;master program enable +; sbi EECR,EEPE ;program enable +; +; ret + + + diff --git a/ASM_OLD/SETI/01/seti.asm b/ASM_OLD/SETI/01/seti.asm new file mode 100644 index 0000000..b041727 --- /dev/null +++ b/ASM_OLD/SETI/01/seti.asm @@ -0,0 +1,549 @@ +;SETI navigation module of extraterrestrial spaceship BY Ondrej Hruska (c) 2011 +;------------------------------------------------- +; Zapojeni: +; +------u------+ +; Vcc -> reset --+ /RST Vcc +-- napajeni +5V +; SW0 G --+ PD0 PB7 +-- wakepin +; SW1 G --+ PD1 PB6 +-- LED MAIN K +; --+ PA1 PB5 +-- LED 5 K +; speaker --+ PA0 PB4 +-- LED 4 K +; SW2 G --+ PD2 PB3 +-- LED 3 K +; SW3 G --+ PD3 PB2 +-- LED 2 K +; SW4 G --+ PD4 PB1 +-- LED 1 K +; SW5 G --+ PD5 PB0 +-- LED 0 K +; GND --+ GND PD6 +-- +; +-------------+ + +.device attiny2313 +;běží na 4MHz, ckdiv8=1 (vypnuto) + +;LFUSE: 0xE2 +;HFUSE: 0xDF + + + +;N A S T A V E N I V Y S I L A N E M O R S E O V K Y +.equ DelkaTecky = 5 ;delka tecky v desetinach +.equ DelkaCarky = 15 ;3x delka tecky = delka carky +.equ MezeraMorspart = 5 ;mezera mezi teckami/carkami v pismene +.equ MezeraZnak = 16 ;mezera za znakem (pripojena za vnitrni mezeru) +.equ FrekvenceMorse = 40 ;62500/tohle Hz + + + +;K O N S T A N T Y + P R E Z D I V K Y P O U R T U A P I N U +;PORTA +.equ SYSPORT = PORTA +.equ SYSPIN = PINA +.equ SYSDDR = DDRA + +.equ speak = 0 + + +;PORTB +.equ LEDPORT = PORTB +.equ LEDDDR = DDRB +.equ LEDPIN = PINB + +.equ led0 = 0 +.equ led1 = 1 +.equ led2 = 2 +.equ led3 = 3 +.equ led4 = 4 +.equ led5 = 5 +.equ ledm = 6 +.equ wakepin = 7 + + +;PORTD +.equ SWPORT = PORTD +.equ SWPIN = PIND +.equ SWDDR = DDRD + +.equ sw0 = 0 +.equ sw1 = 1 +.equ sw2 = 2 +.equ sw3 = 3 +.equ sw4 = 4 +.equ sw5 = 5 + + + +;A L I A S Y R E G I S T R U +.def cmatch = r19 +.def louter = r18 +.def linner = r17 +.def curchar = r25 +.def morspart = r24 + +;aliasy pointerů +.def ZH = r31 +.def ZL = r30 +.def YH = r29 +.def YL = r28 +.def XH = r27 +.def XL = r26 + + + +; M A K R A +;Reprak (zapnuti a vypnuti pipani 1kHz) +.MACRO BuzzOn + sbi SYSPORT,speak + ldi r16,(1< zhasni ledmain +L1Ib: + rjmp L1INNER + +L1DONE: + cbi LEDPORT, ledm ;rozsvit ledku (pro jistotu) + + + +;plynule zhasnuti ledm + klesajici ton + ldi cmatch,0 ;comparematch (cita se od 255 do 0, tady se ledka vypne) +L2NEXTSTEP: + inc cmatch ;sniz jas + cpi cmatch,255 ;je jas=0? pak L2DONE + breq L2DONE + mov r16,cmatch + SetTone + ldi louter,20 ;vnejsi smycka (pocet cyklu se stejnym jasem) +L2OUTER: + dec louter ;je konec louter? pak novy jas + breq L2NEXTSTEP + ldi linner,255 ;vnitrni smycka, stara se o regulaci jasu + cbi LEDPORT,ledm ;rozsvit ledmain +L2INNER: + dec linner + breq L2OUTER + cp linner,cmatch ;je cmatch? + brne L2Ib + sbi LEDPORT,ledm ;jo -> zhasni ledmain +L2Ib: + rjmp L2INNER + +L2DONE: + sbi LEDPORT, ledm ;zhasni ledm (pro jistotu) + ldi r16,1 ;prodleva, aby ton neznel tak uriznute + rcall delay + BuzzOff ;vypnout zvuk + + +;krouzeni cervenych ledek + pipani + ldi r18,24 ;celkem 24 preklopeni + ldi r17,0b11111110 ;posuvny registr - inicializace +KRUH1: + sbr r17,0b11000000 ;1 na nepouzite bity (ledky zhasnuty) + out LEDPORT, r17 ;vystup + lsr r17 ;rotuj doprava pres carry + brcs KRUH1b ;neni carry? preskoc + cbr r17,0b00100000 ;dopln mezeru na zacatek (emulace 6bitoveho posuvneho registru) +KRUH1b: + mov r16,r17 ;vypocet tonu pipnuti + com r16 + lsl r16 + eor r16,r18 + SetTone + BuzzOn ;pipni + ldi r16,2 + rcall delay + BuzzOff + dec r18 + brne KRUH1 ;neni-li to posledni preklopeni, opakuj +K1DONE: + DefaultTone ;nastav normalni ton = 1kHz (pro morseovku) + + +;zhasni vse + ldi r16,0b11111111 + out LEDPORT,r16 ;vypni ledky + ldi r16,4 + rcall delay ;cekej 0.4s + +;ukaz co je zapojeno (cervenymi ledkami) + in r25, SWPIN ;prijmout stav SWPORTu + andi r25,0b00111111 ;ignoruj nepouzite piny + mov r16,r25 + sbr r16,0b01000000 + out LEDPORT,r16 ;ukazat na LEDPORTu + +;cekej 2 sekundy + ldi r16,20 ;pauza 2s + rcall delay + +;spocitani zapnutych jumperu + ;r25 obsahuje stav SWPINu (bity 0-5) + sbr r25,0b11000000 ;zapni i nepouzite bity + com r25 ;neguj (jumpery jsou na zem) -> aktivni budou 1 + clr r24 ;priprav citac aktivnich jumperu + clr r16 ;nulovej registr pro ADC (aby procetl jen carry) +COUNTL: + lsr r25 ;(r25>>1) bit 0 do carry + adc r24,r16 ;pricti k citaci r24 carry (r16 je 0) + cpi r25,0 ;je r25 prazdny? ne -> opakuj COUNTL + brne COUNTL + + ;r24 obsahuje pocet zaplych bitu +COUNTDONE: + cpi r24,6 ;je 6 jumperu? + brne TEST5 + ldi ZH,high(TEXT6*2) + ldi ZL,low(TEXT6*2) + rjmp TESTDONE +TEST5: + cpi r24,5 ;je 5 jumperu? + brne TEST4 + ldi ZH,high(TEXT5*2) + ldi ZL,low(TEXT5*2) + rjmp TESTDONE +TEST4: + cpi r24,4 ;jsou 4 jumpery? + brne TEST3 + ldi ZH,high(TEXT4*2) + ldi ZL,low(TEXT4*2) + rjmp TESTDONE +TEST3: + cpi r24,3 ;jsou 3 jumpery? + brne TEST2 + ldi ZH,high(TEXT3*2) + ldi ZL,low(TEXT3*2) + rjmp TESTDONE +TEST2: + cpi r24,2 ;jsou 2 jumpery? + brne TEST1 + ldi ZH,high(TEXT2*2) + ldi ZL,low(TEXT2*2) + rjmp TESTDONE +TEST1: + cpi r24,1 ;je 1 jumper? + brne TEST0 + ldi ZH,high(TEXT1*2) + ldi ZL,low(TEXT1*2) + rjmp TESTDONE +TEST0: + ldi ZH,high(TEXT0*2) ;je 0 jumperu. + ldi ZL,low(TEXT0*2) +TESTDONE: + + +;Z obsahuje pointer na zacatek vysilaneho textu. +;Dalsi znak textu (nebo prvni) +NextCharTxt: + lpm curchar,Z+ ;do curchar nacti soucasny znak. Z je pointer na dalsi + cpi curchar,0 + breq EndCom ;curchar = 0? (konec komunikace) - jdi na konec + + push ZH ;uschovej Z pointer (bude pouzivan jako pointer tabulky) + push ZL + +;hledani znaku v tabulce + ldi ZH,high(MORSE*2) ;zacatek MORSE tabulky do Z + ldi ZL,low(MORSE*2) +NextCharTbl: + lpm morspart,Z+ ;precti znak z tabulky + + cpi morspart,0 ;je to 0? (= konec tabulky) + breq CharDone ;pak jdi na CharDone + + cp morspart,curchar ;je to hledany znak? + breq CharFound ;pak jdi na CharFound + adiw ZL,7 ;jinak jdi na nasledujici znak v tabulce (1 z postincrementu + 7 dalsich bytu) + rjmp NextCharTbl + +;znak nalezen v tabulce +CharFound: + lpm morspart,Z+ ;nacti MorsPart + cpi morspart,0 ;je to 0? (= konec znaku) + breq CharDone ;pak jdi na CharDone + + cpi morspart,1 ;je to tecka? (=1) - zustan tady + brne CARKA ;je to carka? (=3) - jdi na CARKA +;TECKA + cbi LEDPORT, ledm ;rozsvit ledm + BuzzOn ;zacni pipnuti + ldi r16,DelkaTecky ;cekej podle DelkaTecky + rcall delay + BuzzOff ;konec pipnuti + sbi LEDPORT, ledm ;zhasni ledm + rjmp MorsPartDone ;konec morspartu -> MorsPartDone + +;CARKA +CARKA: + cbi LEDPORT, ledm ;rozsvit ledm + BuzzOn ;zacni pipnuti + ldi r16,DelkaCarky ;cekej podle DelkaTecky + rcall delay + BuzzOff ;konec pipnuti + sbi LEDPORT, ledm ;zhasni ledm + +;tecka/carka dokoncena +MorsPartDone: ;konec morspartu (=tecka/carka) + ldi r16,MezeraMorspart ;udelej mezeru + rcall delay + rjmp CharFound ;jdi na dalsi cast morspartu + +;znak dokoncen +CharDone: + ldi r16,MezeraZnak ;mezera za znakem + rcall delay + + pop ZL ;obnov pointer pro text + pop ZH + + rjmp NextCharTxt ;jdi na dalsi znak textu + +EndCom: + ldi r16,10 + rcall delay + + + BuzzOn +;plynule zhasnuti ledm + klesajici ton + ldi cmatch,0 ;comparematch (cita se od 255 do 0, tady se ledka vypne) +L3NEXTSTEP: + inc cmatch ;sniz jas + cpi cmatch,255 ;je jas=0? pak L3DONE + breq L3DONE + mov r16,cmatch + lsr r16 + SetTone + ldi louter,25 ;vnejsi smycka (pocet cyklu se stejnym jasem) +L3OUTER: + dec louter ;je konec louter? pak novy jas + breq L3NEXTSTEP + ldi linner,255 ;vnitrni smycka, stara se o regulaci jasu + cbi LEDPORT,ledm ;rozsvit ledmain +L3INNER: + dec linner + breq L3OUTER + cp linner,cmatch ;je cmatch? + brne L3Ib + sbi LEDPORT,ledm ;jo -> zhasni ledmain +L3Ib: + rjmp L3INNER + +L3DONE: + sbi LEDPORT, ledm ;zhasni ledm (pro jistotu) + ldi r16,2 ;prodleva, aby ton neznel tak uriznute + rcall delay + BuzzOff ;vypnout zvuk + + + + + + +;SLEEP (a po probuzeni skok na RESET) + clr r16 + out SWPORT,r16 ;vypni pullupy jumperu + sbi SYSPORT, speak ;vypni speaker (pro jistotu) + ser r16 + out LEDPORT,r16 ;zhasni ledky, PB7 (ext. interrupt) pullup enabled + + ldi r16,(1< reset --+ /RST Vcc +-- napajeni +5V +; SW0 G --+ PD0 PB7 +---[wakeswitch]--GND +; SW1 G --+ PD1 PB6 +-- LED MAIN K +; --+ PA1 PB5 +-- LED 5 K +; Vcc-[speaker]----+ PA0 PB4 +-- LED 4 K +; SW2 G --+ PD2 PB3 +-- LED 3 K +; SW3 G --+ PD3 PB2 +-- LED 2 K +; SW4 G --+ PD4 PB1 +-- LED 1 K +; SW5 G --+ PD5 PB0 +-- LED 0 K +; GND --+ GND PD6 +-- +; +-------------+ +;"K" = katoda (ledka zapojena mezi Vcc a timhle pinem, aktivni je 0) +;"G" = ground (spinac mezi tomhle pionem a zemi, aktivni je 0) + +.device attiny2313 +;běží na 4MHz, ckdiv8=1 (vypnuto) + +;LFUSE: 0xE2 +;HFUSE: 0xDF + + + +;N A S T A V E N I V Y S I L A N E M O R S E O V K Y +.equ DelkaTecky = 4 ;delka tecky v desetinach +.equ DelkaCarky = 14 ;3x delka tecky = delka carky +.equ MezeraMorspart = 4 ;mezera mezi teckami/carkami v pismene +.equ MezeraZnak = 16 ;mezera za znakem (pripojena za vnitrni mezeru) +.equ FrekvenceMorse = 42 ;62500/tohle Hz + + + +;K O N S T A N T Y + P R E Z D I V K Y P O U R T U A P I N U +;PORTA +.equ SYSPORT = PORTA +.equ SYSPIN = PINA +.equ SYSDDR = DDRA + +.equ speak = 0 + + +;PORTB +.equ LEDPORT = PORTB +.equ LEDDDR = DDRB +.equ LEDPIN = PINB + +.equ led0 = 0 +.equ led1 = 1 +.equ led2 = 2 +.equ led3 = 3 +.equ led4 = 4 +.equ led5 = 5 +.equ ledm = 6 +.equ wakepin = 7 +.equ AFTER_SLEEP = 0b10101010 ;informace ze byl predtim sleep + + +;PORTD +.equ SWPORT = PORTD +.equ SWPIN = PIND +.equ SWDDR = DDRD + +.equ sw0 = 0 +.equ sw1 = 1 +.equ sw2 = 2 +.equ sw3 = 3 +.equ sw4 = 4 +.equ sw5 = 5 + + + +;A L I A S Y R E G I S T R U +.def cmatch = r19 +.def louter = r18 +.def linner = r17 +.def curchar = r25 +.def morspart = r24 + +;aliasy pointerů +.def ZH = r31 +.def ZL = r30 +.def YH = r29 +.def YL = r28 +.def XH = r27 +.def XL = r26 + + + +; M A K R A +;Reprak (zapnuti a vypnuti pipani 1kHz) +.MACRO BuzzOn + sbi SYSPORT,speak + ldi r16,(1< zhasni ledmain +L1Ib: + rjmp L1INNER + +L1DONE: + cbi LEDPORT, ledm ;rozsvit ledku (pro jistotu) + + + +;plynule zhasnuti ledm + klesajici ton + ldi cmatch,0 ;comparematch (cita se od 255 do 0, tady se ledka vypne) +L2NEXTSTEP: + inc cmatch ;sniz jas + cpi cmatch,255 ;je jas=0? pak L2DONE + breq L2DONE + mov r16,cmatch + SetTone + ldi louter,20 ;vnejsi smycka (pocet cyklu se stejnym jasem) +L2OUTER: + dec louter ;je konec louter? pak novy jas + breq L2NEXTSTEP + ldi linner,255 ;vnitrni smycka, stara se o regulaci jasu + cbi LEDPORT,ledm ;rozsvit ledmain +L2INNER: + dec linner + breq L2OUTER + cp linner,cmatch ;je cmatch? + brne L2Ib + sbi LEDPORT,ledm ;jo -> zhasni ledmain +L2Ib: + rjmp L2INNER + +L2DONE: + sbi LEDPORT, ledm ;zhasni ledm (pro jistotu) + ldi r16,1 ;prodleva, aby ton neznel tak uriznute + rcall delay + BuzzOff ;vypnout zvuk + + +;ted uz je dost douho po resetu, zepneme moznost uspani wakepinem + ;vymaz zasobnik ext. preruseni + in r16,EIFR + andi r16,(1< aktivni budou 1 + clr r24 ;priprav citac aktivnich jumperu + clr r16 ;nulovej registr pro ADC (aby procetl jen carry) +COUNTL: + lsr r25 ;(r25>>1) bit 0 do carry + adc r24,r16 ;pricti k citaci r24 carry (r16 je 0) + cpi r25,0 ;je r25 prazdny? ne -> opakuj COUNTL + brne COUNTL + + ;r24 obsahuje pocet zaplych bitu +COUNTDONE: + cpi r24,6 ;je 6 jumperu? + brne TEST5 + ldi ZH,high(TEXT6*2) + ldi ZL,low(TEXT6*2) + rjmp TESTDONE +TEST5: + cpi r24,5 ;je 5 jumperu? + brne TEST4 + ldi ZH,high(TEXT5*2) + ldi ZL,low(TEXT5*2) + rjmp TESTDONE +TEST4: + cpi r24,4 ;jsou 4 jumpery? + brne TEST3 + ldi ZH,high(TEXT4*2) + ldi ZL,low(TEXT4*2) + rjmp TESTDONE +TEST3: + cpi r24,3 ;jsou 3 jumpery? + brne TEST2 + ldi ZH,high(TEXT3*2) + ldi ZL,low(TEXT3*2) + rjmp TESTDONE +TEST2: + cpi r24,2 ;jsou 2 jumpery? + brne TEST1 + ldi ZH,high(TEXT2*2) + ldi ZL,low(TEXT2*2) + rjmp TESTDONE +TEST1: + cpi r24,1 ;je 1 jumper? + brne TEST0 + ldi ZH,high(TEXT1*2) + ldi ZL,low(TEXT1*2) + rjmp TESTDONE +TEST0: + ldi ZH,high(TEXT0*2) ;je 0 jumperu. + ldi ZL,low(TEXT0*2) +TESTDONE: + +;Z obsahuje pointer na zacatek vysilaneho textu. +;Dalsi znak textu (nebo prvni) +NextCharTxt: + lpm curchar,Z+ ;do curchar nacti soucasny znak. Z je pointer na dalsi + cpi curchar,0 + breq EndCom ;curchar = 0? (konec komunikace) - jdi na konec + + push ZH ;uschovej Z pointer (bude pouzivan jako pointer tabulky) + push ZL + +;hledani znaku v tabulce + ldi ZH,high(MORSE*2) ;zacatek MORSE tabulky do Z + ldi ZL,low(MORSE*2) +NextCharTbl: + lpm morspart,Z+ ;precti znak z tabulky + + cpi morspart,0 ;je to 0? (= konec tabulky) + breq CharDone ;pak jdi na CharDone + + cp morspart,curchar ;je to hledany znak? + breq CharFound ;pak jdi na CharFound + adiw ZL,7 ;jinak jdi na nasledujici znak v tabulce (1 z postincrementu + 7 dalsich bytu) + rjmp NextCharTbl + +;znak nalezen v tabulce +CharFound: + lpm morspart,Z+ ;nacti MorsPart + cpi morspart,0 ;je to 0? (= konec znaku) + breq CharDone ;pak jdi na CharDone + + cpi morspart,1 ;je to tecka? (=1) - zustan tady + brne CARKA ;je to carka? (=3) - jdi na CARKA +;TECKA + cbi LEDPORT, ledm ;rozsvit ledm + BuzzOn ;zacni pipnuti + ldi r16,DelkaTecky ;cekej podle DelkaTecky + rcall delay + BuzzOff ;konec pipnuti + sbi LEDPORT, ledm ;zhasni ledm + rjmp MorsPartDone ;konec morspartu -> MorsPartDone + +;CARKA +CARKA: + cbi LEDPORT, ledm ;rozsvit ledm + BuzzOn ;zacni pipnuti + ldi r16,DelkaCarky ;cekej podle DelkaTecky + rcall delay + BuzzOff ;konec pipnuti + sbi LEDPORT, ledm ;zhasni ledm + +;tecka/carka dokoncena +MorsPartDone: ;konec morspartu (=tecka/carka) + ldi r16,MezeraMorspart ;udelej mezeru + rcall delay + rjmp CharFound ;jdi na dalsi cast morspartu + +;znak dokoncen +CharDone: + ldi r16,MezeraZnak ;mezera za znakem + rcall delay + + pop ZL ;obnov pointer pro text + pop ZH + + rjmp NextCharTxt ;jdi na dalsi znak textu + +EndCom: + ldi r16,10 + rcall delay + + + BuzzOn +;plynule zhasnuti ledm + klesajici ton + ldi cmatch,0 ;comparematch (cita se od 255 do 0, tady se ledka vypne) +L3NEXTSTEP: + inc cmatch ;sniz jas + cpi cmatch,255 ;je jas=0? pak L3DONE + breq L3DONE + mov r16,cmatch + lsr r16 + SetTone + ldi louter,25 ;vnejsi smycka (pocet cyklu se stejnym jasem) +L3OUTER: + dec louter ;je konec louter? pak novy jas + breq L3NEXTSTEP + ldi linner,255 ;vnitrni smycka, stara se o regulaci jasu + cbi LEDPORT,ledm ;rozsvit ledmain +L3INNER: + dec linner + breq L3OUTER + cp linner,cmatch ;je cmatch? + brne L3Ib + sbi LEDPORT,ledm ;jo -> zhasni ledmain +L3Ib: + rjmp L3INNER + +L3DONE: + sbi LEDPORT, ledm ;zhasni ledm (pro jistotu) + ldi r16,2 ;prodleva, aby ton neznel tak uriznute + rcall delay + BuzzOff ;vypnout zvuk + + + + + +USNI: +;SLEEP (a po probuzeni skok na RESET) + clr r16 + out SWPORT,r16 ;vypni pullupy jumperu + sbi SYSPORT, speak ;vypni speaker (pro jistotu) + ser r16 + out LEDPORT,r16 ;zhasni ledky, PB7 (ext. interrupt) pullup enabled + + + ;nastavit navesti, ze jde o probuzeni po spanku + ldi r16,AFTER_SLEEP + mov r5,r16 + ;probudi se pinchange interruptem na PB7 + in r16, MCUCR + ori r16, (1< reset + ldi r16,2 ;cekej 0.2s + rcall delay + clr r5 ;vymazat navesti ze byl SLEEP + clr r16 + out GIMSK,r16 ;zakaz pinchange interrupt (general) + sei + rjmp RESET ;pri resetu se vynuluje SP a sei uz bylo, takze se reti delat nemusi. bude reset + +;preruseni normalniho behu programu tlacitkem -> usnout +NENIPOSLEEPU: + ser r16 ;zhasne ledky + out LEDPORT,r16 + BuzzOff ;pro jistotu vypne speaker + ldi r16,20 ;ceka 2 sekundy (aby se tlacitko urcite stihlo uvolnit -> jinak se udela dalsi "pinchange" a hned se to probudi) + rcall delay + sei ;povol preruseni (vypnuto pri interruptu) + rjmp USNI ;usnout (probudi se zmenou PB7) + + + +; T A B U L K A Z N A K U +MORSE: + .DB "A",1,3,0,0,0,0,0 ;A + .DB "B",3,1,1,1,0,0,0 ;B + .DB "C",3,1,3,1,0,0,0 ;C + .DB "D",3,1,1,0,0,0,0 ;D + .DB "E",1,0,0,0,0,0,0 ;E + .DB "F",1,1,3,1,0,0,0 ;F + .DB "G",3,3,1,0,0,0,0 ;G + .DB "H",1,1,1,1,0,0,0 ;H + .DB "I",1,1,0,0,0,0,0 ;I + .DB "J",1,3,3,3,0,0,0 ;J + .DB "K",3,1,3,0,0,0,0 ;K + .DB "L",1,3,1,1,0,0,0 ;L + .DB "M",3,3,0,0,0,0,0 ;M + .DB "N",3,1,0,0,0,0,0 ;N + .DB "O",3,3,3,0,0,0,0 ;O + .DB "P",1,3,3,1,0,0,0 ;P + .DB "Q",3,3,1,3,0,0,0 ;Q + .DB "R",1,3,1,0,0,0,0 ;R + .DB "S",1,1,1,0,0,0,0 ;S + .DB "T",3,0,0,0,0,0,0 ;T + .DB "U",1,1,3,0,0,0,0 ;U + .DB "V",1,1,1,3,0,0,0 ;V + .DB "W",1,3,3,0,0,0,0 ;W + .DB "X",3,1,1,3,0,0,0 ;X + .DB "Y",3,1,3,3,0,0,0 ;Y + .DB "Z",3,3,1,1,0,0,0 ;Z + .DB "0",3,3,3,3,3,0,0 ;0 + .DB "1",1,3,3,3,3,0,0 ;1 + .DB "2",1,1,3,3,3,0,0 ;2 + .DB "3",1,1,1,3,3,0,0 ;3 + .DB "4",1,1,1,1,3,0,0 ;4 + .DB "5",1,1,1,1,1,0,0 ;5 + .DB "6",3,1,1,1,1,0,0 ;6 + .DB "7",3,3,1,1,1,0,0 ;7 + .DB "8",3,3,3,1,1,0,0 ;8 + .DB "9",3,3,3,3,1,0,0 ;9 + .DB ".",1,3,1,3,1,3,0 ;. + .DB ",",3,3,1,1,3,3,0 ;, + .DB " ",0,0,0,0,0,0,0 ;space + .DB 0,0,0,0,0,0,0,0 ;nic + +;T E X T Y K O D V Y S I L A N I +TEXT0: +.db "TOTO JE NAVIGATOR CHYBI ANTENA BYLA ZAMERENA NA POZICI N 50S 12.345 E014S 12.345",0 +TEXT1: +.db "POTREBUJI ZESILOVAC LEZI TADY N 50S 12.345 E014S 12.345",0 +TEXT2: +.db "SLABY SIGNAL DALSI ANTENA JE ZDE N 50S 12.345 E 14S 12.345",0 +TEXT3: +.db "DOCHAZI ENERGIE IONTOVY GENERATOR DOPADL NA N 50S 12.345 E014S 12.345",0 +TEXT4: +.db "POLOHA DALSI ANTENY JE N 50S 12.345 E014S 12.345",0 +TEXT5: +.db "PRESNOST ZVYSI SUPERSENZOR N 50S 12.345 E014S 12.345",0 +TEXT6: +.db "VRAK MODULU LOKALIZOVAN NA N 50S 12.345 E014S 12.345 SLIZ JE NESKODNY",0 + diff --git a/ASM_OLD/SETI/03/seti3.asm b/ASM_OLD/SETI/03/seti3.asm new file mode 100644 index 0000000..0a8acad --- /dev/null +++ b/ASM_OLD/SETI/03/seti3.asm @@ -0,0 +1,605 @@ +;SETI navigation module of extraterrestrial spaceship BY Ondrej Hruska (c) 2011 +;------------------------------------------------- +;Co to dela: +;Po spusteni plynule rozsviti a zhasle LED MAIN, behem toho houka s promennou frekvenci +;Povoli uspani zmenou stavu pinu PB7 + + + + +; Zapojeni: +; +------u------+ +; Vcc -> reset --+ /RST Vcc +-- napajeni +5V +; SW0 G --+ PD0 PB7 +---[wakeswitch]--GND +; SW1 G --+ PD1 PB6 +-- LED MAIN K +; --+ PA1 PB5 +-- LED 5 K +; Vcc-[speaker]----+ PA0 PB4 +-- LED 4 K +; SW2 G --+ PD2 PB3 +-- LED 3 K +; SW3 G --+ PD3 PB2 +-- LED 2 K +; SW4 G --+ PD4 PB1 +-- LED 1 K +; SW5 G --+ PD5 PB0 +-- LED 0 K +; GND --+ GND PD6 +-- +; +-------------+ +;"K" = katoda (ledka zapojena mezi Vcc a timhle pinem, aktivni je 0) +;"G" = ground (spinac mezi tomhle pionem a zemi, aktivni je 0) + +.device attiny2313 +;běží na 4MHz, ckdiv8=1 (vypnuto) + +;LFUSE: 0xE2 +;HFUSE: 0xDF + + + +;N A S T A V E N I V Y S I L A N E M O R S E O V K Y +.equ DelkaTecky = 4 ;delka tecky v desetinach +.equ DelkaCarky = 13 ;3x delka tecky = delka carky +.equ MezeraMorspart = 4 ;mezera mezi teckami/carkami v pismene +.equ MezeraZnak = 14 ;mezera za znakem (pripojena za vnitrni mezeru) +.equ FrekvenceMorse = 43 ;62500/tohle Hz + + + +;K O N S T A N T Y + P R E Z D I V K Y P O U R T U A P I N U +;PORTA +.equ SYSPORT = PORTA +.equ SYSPIN = PINA +.equ SYSDDR = DDRA + +.equ speak = 0 + + +;PORTB +.equ LEDPORT = PORTB +.equ LEDDDR = DDRB +.equ LEDPIN = PINB + +.equ led0 = 0 +.equ led1 = 1 +.equ led2 = 2 +.equ led3 = 3 +.equ led4 = 4 +.equ led5 = 5 +.equ ledm = 6 +.equ wakepin = 7 +.equ AFTER_SLEEP = 0b10101010 ;informace ze byl predtim sleep + + +;PORTD +.equ SWPORT = PORTD +.equ SWPIN = PIND +.equ SWDDR = DDRD + +.equ sw0 = 0 +.equ sw1 = 1 +.equ sw2 = 2 +.equ sw3 = 3 +.equ sw4 = 4 +.equ sw5 = 5 + + + +;A L I A S Y R E G I S T R U +.def cmatch = r19 +.def louter = r18 +.def linner = r17 +.def curchar = r25 +.def morspart = r24 + +;aliasy pointerů +.def ZH = r31 +.def ZL = r30 +.def YH = r29 +.def YL = r28 +.def XH = r27 +.def XL = r26 + + + +; M A K R A +;Reprak (zapnuti a vypnuti pipani 1kHz) +.MACRO BuzzOn + sbi SYSPORT,speak + ldi r16,(1< zhasni ledmain +L1Ib: + rjmp L1INNER + +L1DONE: + cbi LEDPORT, ledm ;rozsvit ledku (pro jistotu) + + + +;plynule zhasnuti ledm + klesajici ton + ldi cmatch,0 ;comparematch (cita se od 255 do 0, tady se ledka vypne) +L2NEXTSTEP: + inc cmatch ;sniz jas + cpi cmatch,255 ;je jas=0? pak L2DONE + breq L2DONE + mov r16,cmatch + SetTone + ldi louter,20 ;vnejsi smycka (pocet cyklu se stejnym jasem) +L2OUTER: + dec louter ;je konec louter? pak novy jas + breq L2NEXTSTEP + ldi linner,255 ;vnitrni smycka, stara se o regulaci jasu + cbi LEDPORT,ledm ;rozsvit ledmain +L2INNER: + dec linner + breq L2OUTER + cp linner,cmatch ;je cmatch? + brne L2Ib + sbi LEDPORT,ledm ;jo -> zhasni ledmain +L2Ib: + rjmp L2INNER + +L2DONE: + sbi LEDPORT, ledm ;zhasni ledm (pro jistotu) + ldi r16,1 ;prodleva, aby ton neznel tak uriznute + rcall delay + BuzzOff ;vypnout zvuk + + +;ted uz je dost douho po resetu, zepneme moznost uspani wakepinem + ;vymaz zasobnik ext. preruseni + in r16,EIFR + andi r16,(1< aktivni budou 1 + clr r24 ;priprav citac aktivnich jumperu + clr r16 ;nulovej registr pro ADC (aby procetl jen carry) +COUNTL: + lsr r25 ;(r25>>1) bit 0 do carry + adc r24,r16 ;pricti k citaci r24 carry (r16 je 0) + cpi r25,0 ;je r25 prazdny? ne -> opakuj COUNTL + brne COUNTL + + ;r24 obsahuje pocet zaplych bitu +COUNTDONE: + cpi r24,6 ;je 6 jumperu? + brne TEST5 + ldi ZH,high(TEXT6*2) + ldi ZL,low(TEXT6*2) + rjmp TESTDONE +TEST5: + cpi r24,5 ;je 5 jumperu? + brne TEST4 + ldi ZH,high(TEXT5*2) + ldi ZL,low(TEXT5*2) + rjmp TESTDONE +TEST4: + cpi r24,4 ;jsou 4 jumpery? + brne TEST3 + ldi ZH,high(TEXT4*2) + ldi ZL,low(TEXT4*2) + rjmp TESTDONE +TEST3: + cpi r24,3 ;jsou 3 jumpery? + brne TEST2 + ldi ZH,high(TEXT3*2) + ldi ZL,low(TEXT3*2) + rjmp TESTDONE +TEST2: + cpi r24,2 ;jsou 2 jumpery? + brne TEST1 + ldi ZH,high(TEXT2*2) + ldi ZL,low(TEXT2*2) + rjmp TESTDONE +TEST1: + cpi r24,1 ;je 1 jumper? + brne TEST0 + ldi ZH,high(TEXT1*2) + ldi ZL,low(TEXT1*2) + rjmp TESTDONE +TEST0: + ldi ZH,high(TEXT0*2) ;je 0 jumperu. + ldi ZL,low(TEXT0*2) +TESTDONE: + +;Z obsahuje pointer na zacatek vysilaneho textu. +;Dalsi znak textu (nebo prvni) +NextCharTxt: + lpm curchar,Z+ ;do curchar nacti soucasny znak. Z je pointer na dalsi + cpi curchar,0 + breq EndCom ;curchar = 0? (konec komunikace) - jdi na konec + + push ZH ;uschovej Z pointer (bude pouzivan jako pointer tabulky) + push ZL + +;hledani znaku v tabulce + ldi ZH,high(MORSE*2) ;zacatek MORSE tabulky do Z + ldi ZL,low(MORSE*2) +NextCharTbl: + lpm morspart,Z+ ;precti znak z tabulky + + cpi morspart,0 ;je to 0? (= konec tabulky) + breq CharDone ;pak jdi na CharDone + + cp morspart,curchar ;je to hledany znak? + breq CharFound ;pak jdi na CharFound + adiw ZL,7 ;jinak jdi na nasledujici znak v tabulce (1 z postincrementu + 7 dalsich bytu) + rjmp NextCharTbl + +;znak nalezen v tabulce +CharFound: + lpm morspart,Z+ ;nacti MorsPart + cpi morspart,0 ;je to 0? (= konec znaku) + breq CharDone ;pak jdi na CharDone + + cpi morspart,1 ;je to tecka? (=1) - zustan tady + brne CARKA ;je to carka? (=3) - jdi na CARKA +;TECKA + cbi LEDPORT, ledm ;rozsvit ledm + BuzzOn ;zacni pipnuti + ldi r16,DelkaTecky ;cekej podle DelkaTecky + rcall delay + BuzzOff ;konec pipnuti + sbi LEDPORT, ledm ;zhasni ledm + rjmp MorsPartDone ;konec morspartu -> MorsPartDone + +;CARKA +CARKA: + cbi LEDPORT, ledm ;rozsvit ledm + BuzzOn ;zacni pipnuti + ldi r16,DelkaCarky ;cekej podle DelkaTecky + rcall delay + BuzzOff ;konec pipnuti + sbi LEDPORT, ledm ;zhasni ledm + +;tecka/carka dokoncena +MorsPartDone: ;konec morspartu (=tecka/carka) + ldi r16,MezeraMorspart ;udelej mezeru + rcall delay + rjmp CharFound ;jdi na dalsi cast morspartu + +;znak dokoncen +CharDone: + ldi r16,MezeraZnak ;mezera za znakem + rcall delay + + pop ZL ;obnov pointer pro text + pop ZH + + rjmp NextCharTxt ;jdi na dalsi znak textu + +EndCom: + ldi r16,10 + rcall delay + + + BuzzOn +;plynule zhasnuti ledm + klesajici ton + ldi cmatch,0 ;comparematch (cita se od 255 do 0, tady se ledka vypne) + in r24,LEDPORT ;uloz stav ledportu + cbr r24,0b01000000 ;zapni ledm + sbr r24,0b10000000 ;zapni pullup + ldi r23,0b11111111 ;priprav stav pro vypnute ledky +L3NEXTSTEP: + inc cmatch ;sniz jas + cpi cmatch,255 ;je jas=255? pak L3DONE + breq L3DONE + mov r16,cmatch + lsr r16 + SetTone + ldi louter,25 ;vnejsi smycka (pocet cyklu se stejnym jasem) +L3OUTER: + dec louter ;je konec louter? pak novy jas + breq L3NEXTSTEP + ldi linner,255 ;vnitrni smycka, stara se o regulaci jasu + ;cbi LEDPORT,ledm ;rozsvit ledmain + out LEDPORT,r24 ;zapni ledky +L3INNER: + dec linner + breq L3OUTER + cp linner,cmatch ;je cmatch? + brne L3Ib + ;sbi LEDPORT,ledm ;jo -> zhasni ledmain + out LEDPORT,r23 ;zhasni ledky +L3Ib: + rjmp L3INNER + +L3DONE: + sbi LEDPORT, ledm ;zhasni ledm (pro jistotu) + ldi r16,2 ;prodleva, aby ton neznel tak uriznute + rcall delay + BuzzOff ;vypnout zvuk + + + + + +USNI: +;SLEEP (a po probuzeni skok na RESET) + clr r16 + out SWPORT,r16 ;vypni pullupy jumperu + sbi SYSPORT, speak ;vypni speaker (pro jistotu) + ser r16 + out LEDPORT,r16 ;zhasni ledky, PB7 (ext. interrupt) pullup enabled + + + ;nastavit navesti, ze jde o probuzeni po spanku + ldi r16,AFTER_SLEEP + mov r5,r16 + ;probudi se pinchange interruptem na PB7 + in r16, MCUCR + ori r16, (1< reset + ldi r16,2 ;cekej 0.2s + rcall delay + clr r5 ;vymazat navesti ze byl SLEEP + clr r16 + out GIMSK,r16 ;zakaz pinchange interrupt (general) + sei + rjmp RESET ;pri resetu se vynuluje SP a sei uz bylo, takze se reti delat nemusi. bude reset + +;preruseni normalniho behu programu tlacitkem -> usnout +NENIPOSLEEPU: + ser r16 ;zhasne ledky + out LEDPORT,r16 + BuzzOff ;pro jistotu vypne speaker + ldi r16,20 ;ceka 2 sekundy (aby se tlacitko urcite stihlo uvolnit -> jinak se udela dalsi "pinchange" a hned se to probudi) + rcall delay + sei ;povol preruseni (vypnuto pri interruptu) + rjmp USNI ;usnout (probudi se zmenou PB7) + + + +; T A B U L K A Z N A K U +MORSE: + .DB "A",1,3,0,0,0,0,0 ;A + .DB "B",3,1,1,1,0,0,0 ;B + .DB "C",3,1,3,1,0,0,0 ;C + .DB "D",3,1,1,0,0,0,0 ;D + .DB "E",1,0,0,0,0,0,0 ;E + .DB "F",1,1,3,1,0,0,0 ;F + .DB "G",3,3,1,0,0,0,0 ;G + .DB "H",1,1,1,1,0,0,0 ;H + .DB "I",1,1,0,0,0,0,0 ;I + .DB "J",1,3,3,3,0,0,0 ;J + .DB "K",3,1,3,0,0,0,0 ;K + .DB "L",1,3,1,1,0,0,0 ;L + .DB "M",3,3,0,0,0,0,0 ;M + .DB "N",3,1,0,0,0,0,0 ;N + .DB "O",3,3,3,0,0,0,0 ;O + .DB "P",1,3,3,1,0,0,0 ;P + .DB "Q",3,3,1,3,0,0,0 ;Q + .DB "R",1,3,1,0,0,0,0 ;R + .DB "S",1,1,1,0,0,0,0 ;S + .DB "T",3,0,0,0,0,0,0 ;T + .DB "U",1,1,3,0,0,0,0 ;U + .DB "V",1,1,1,3,0,0,0 ;V + .DB "W",1,3,3,0,0,0,0 ;W + .DB "X",3,1,1,3,0,0,0 ;X + .DB "Y",3,1,3,3,0,0,0 ;Y + .DB "Z",3,3,1,1,0,0,0 ;Z + .DB "0",3,3,3,3,3,0,0 ;0 + .DB "1",1,3,3,3,3,0,0 ;1 + .DB "2",1,1,3,3,3,0,0 ;2 + .DB "3",1,1,1,3,3,0,0 ;3 + .DB "4",1,1,1,1,3,0,0 ;4 + .DB "5",1,1,1,1,1,0,0 ;5 + .DB "6",3,1,1,1,1,0,0 ;6 + .DB "7",3,3,1,1,1,0,0 ;7 + .DB "8",3,3,3,1,1,0,0 ;8 + .DB "9",3,3,3,3,1,0,0 ;9 + .DB ".",1,3,1,3,1,3,0 ;. + .DB ",",3,3,1,1,3,3,0 ;, + .DB " ",0,0,0,0,0,0,0 ;space + .DB 0,0,0,0,0,0,0,0 ;nic=konec tabulky + +;T E X T Y K O D V Y S I L A N I +; +; 0 1 2 3 4 5 6 7 8 minut9 +TEXT0: +.db "TOTO JE NAVIGATOR CHYBI ANTENA HLEDEJ TADY N 50S 12.345 E014S 12.345",0 +TEXT1: +.db "POTREBUJI ZESILOVAC LEZI TADY N 50S 12.345 E014S 12.345",0 +TEXT2: +.db "SLABY SIGNAL DALSI ANTENA JE ZDE N 50S 12.345 E 14S 12.345",0 +TEXT3: +.db "USMERNOVAC VYKONU DOPADL NA N 50S 12.345 E014S 12.345",0 +TEXT4: +.db "POLOHA DALSI ANTENY JE N 50S 12.345 E014S 12.345",0 +TEXT5: +.db "PRESNOST ZVYSI SUPERSENZOR N 50S 12.345 E014S 12.345",0 +TEXT6: +.db "VRAK MODULU ZAMEREN NA N 50S 12.345 E014S 12.345 SLIZ JE NESKODNY",0 + diff --git a/ASM_OLD/SETI/04/seti4.asm b/ASM_OLD/SETI/04/seti4.asm new file mode 100644 index 0000000..59d9536 --- /dev/null +++ b/ASM_OLD/SETI/04/seti4.asm @@ -0,0 +1,630 @@ +;SETI navigation module of extraterrestrial spaceship BY Ondrej Hruska (c) 2011 +;------------------------------------------------- +;Co to dela: +;Po spusteni plynule rozsviti a zhasle LED MAIN, behem toho houka s promennou frekvenci +;Povoli uspani zmenou stavu pinu PB7 + + +; Zapojeni: +; +------u------+ +; Vcc -> reset --+ /RST Vcc +-- napajeni +5V +; SW0 G --+ PD0 PB7 +---[wakeswitch]--GND +; SW1 G --+ PD1 PB6 +-- LED MAIN K +; --+ PA1 PB5 +-- LED 5 K +; Vcc-[speaker]----+ PA0 PB4 +-- LED 4 K +; SW2 G --+ PD2 PB3 +-- LED 3 K +; SW3 G --+ PD3 PB2 +-- LED 2 K +; SW4 G --+ PD4 PB1 +-- LED 1 K +; SW5 G --+ PD5 PB0 +-- LED 0 K +; GND --+ GND PD6 +-- +; +-------------+ +;"K" = katoda (ledka zapojena mezi Vcc a timhle pinem, aktivni je 0) +;"G" = ground (spinac mezi tomhle pionem a zemi, aktivni je 0) + +.device attiny2313 +;běží na 4MHz, ckdiv8=1 (vypnuto) + +;LFUSE: 0xE2 +;HFUSE: 0xDF + + + +;N A S T A V E N I V Y S I L A N E M O R S E O V K Y +;tecka mmorspart carka mznak mmezera mznak carka mmorspart +.equ DelkaTecky = 3 ;delka tecky v desetinach +.equ DelkaCarky = 14 ;3x delka tecky = delka carky +.equ DelkaMezery = 4 ;mznak+tohle+mznak +.equ MezeraMorspart = 3 ;mezera mezi teckami/carkami v pismene +.equ MezeraZnak = 19 ;mezera za znakem +.equ FrekvenceMorse = 50 ;62500/tohle Hz tón 42 + +;.equ DelkaTecky = 4 ;delka tecky v desetinach +;.equ DelkaCarky = 14 ;3x delka tecky = delka carky +;.equ MezeraMorspart = 3 ;mezera mezi teckami/carkami v pismene +;.equ MezeraZnak = 14 ;mezera za znakem (pripojena za vnitrni mezeru) +;.equ FrekvenceMorse = 43 ;62500/tohle Hz + + + +;K O N S T A N T Y + P R E Z D I V K Y P O U R T U A P I N U +;PORTA +.equ SYSPORT = PORTA +.equ SYSPIN = PINA +.equ SYSDDR = DDRA + +.equ speak = 0 + + +;PORTB +.equ LEDPORT = PORTB +.equ LEDDDR = DDRB +;.equ LEDPIN = PINB + +;.equ led0 = 0 +;.equ led1 = 1 +;.equ led2 = 2 +;.equ led3 = 3 +;.equ led4 = 4 +;.equ led5 = 5 +.equ ledm = 6 +;.equ wakepin = 7 +.equ AFTER_SLEEP = 0b10101010 ;informace ze byl predtim sleep + + +;PORTD +.equ SWPORT = PORTD +.equ SWPIN = PIND +.equ SWDDR = DDRD + +;.equ sw0 = 0 +;.equ sw1 = 1 +;.equ sw2 = 2 +;.equ sw3 = 3 +;.equ sw4 = 4 +;.equ sw5 = 5 + + + +;A L I A S Y R E G I S T R U +.def cmatch = r19 +.def louter = r18 +.def linner = r17 +.def curchar = r25 +.def morspart = r24 + +;aliasy pointerů +.def ZH = r31 +.def ZL = r30 +;.def YH = r29 +;.def YL = r28 +;.def XH = r27 +;.def XL = r26 + + + +; M A K R A +;Reprak (zapnuti a vypnuti pipani 1kHz) +.MACRO BuzzOn + sbi SYSPORT,speak + ldi r16,(1< zhasni ledmain +L1Ib: + rjmp L1INNER + +L1DONE: + cbi LEDPORT, ledm ;rozsvit ledku (pro jistotu) + + + +;plynule zhasnuti ledm + klesajici ton + ldi cmatch,0 ;comparematch (cita se od 255 do 0, tady se ledka vypne) +L2NEXTSTEP: + inc cmatch ;sniz jas + cpi cmatch,255 ;je jas=0? pak L2DONE + breq L2DONE + mov r16,cmatch + SetTone + ldi louter,20 ;vnejsi smycka (pocet cyklu se stejnym jasem) +L2OUTER: + dec louter ;je konec louter? pak novy jas + breq L2NEXTSTEP + ldi linner,255 ;vnitrni smycka, stara se o regulaci jasu + cbi LEDPORT,ledm ;rozsvit ledmain +L2INNER: + dec linner + breq L2OUTER + cp linner,cmatch ;je cmatch? + brne L2Ib + sbi LEDPORT,ledm ;jo -> zhasni ledmain +L2Ib: + rjmp L2INNER + +L2DONE: + sbi LEDPORT, ledm ;zhasni ledm (pro jistotu) + ldi r16,1 ;prodleva, aby ton neznel tak uriznute + rcall delay + BuzzOff ;vypnout zvuk + + +;ted uz je dost douho po resetu, zepneme moznost uspani wakepinem + ;vymaz zasobnik ext. preruseni + in r16,EIFR + andi r16,(1< aktivni budou 1 + clr r24 ;priprav citac aktivnich jumperu + clr r16 ;nulovej registr pro ADC (aby procetl jen carry) +COUNTL: + lsr r25 ;(r25>>1) bit 0 do carry + adc r24,r16 ;pricti k citaci r24 carry (r16 je 0) + cpi r25,0 ;je r25 prazdny? ne -> opakuj COUNTL + brne COUNTL + + ;r24 obsahuje pocet zaplych bitu +COUNTDONE: + cpi r24,6 ;je 6 jumperu? + brne TEST5 + ldi ZH,high(TEXT6*2) + ldi ZL,low(TEXT6*2) + rjmp TESTDONE +TEST5: + cpi r24,5 ;je 5 jumperu? + brne TEST4 + ldi ZH,high(TEXT5*2) + ldi ZL,low(TEXT5*2) + rjmp TESTDONE +TEST4: + cpi r24,4 ;jsou 4 jumpery? + brne TEST3 + ldi ZH,high(TEXT4*2) + ldi ZL,low(TEXT4*2) + rjmp TESTDONE +TEST3: + cpi r24,3 ;jsou 3 jumpery? + brne TEST2 + ldi ZH,high(TEXT3*2) + ldi ZL,low(TEXT3*2) + rjmp TESTDONE +TEST2: + cpi r24,2 ;jsou 2 jumpery? + brne TEST1 + ldi ZH,high(TEXT2*2) + ldi ZL,low(TEXT2*2) + rjmp TESTDONE +TEST1: + cpi r24,1 ;je 1 jumper? + brne TEST0 + ldi ZH,high(TEXT1*2) + ldi ZL,low(TEXT1*2) + rjmp TESTDONE +TEST0: + ldi ZH,high(TEXT0*2) ;je 0 jumperu. + ldi ZL,low(TEXT0*2) +TESTDONE: + +;Z obsahuje pointer na zacatek vysilaneho textu. +;Dalsi znak textu (nebo prvni) +NextCharTxt: + lpm curchar,Z+ ;do curchar nacti soucasny znak. Z je pointer na dalsi + cpi curchar,0 + breq EndCom ;curchar = 0? (konec komunikace) - jdi na konec + + push ZH ;uschovej Z pointer (bude pouzivan jako pointer tabulky) + push ZL + +;hledani znaku v tabulce + ldi ZH,high(MORSE*2) ;zacatek MORSE tabulky do Z + ldi ZL,low(MORSE*2) +NextCharTbl: + lpm morspart,Z+ ;precti znak z tabulky + + cpi morspart,0 ;je to 0? (= konec tabulky) + breq CharDone ;pak jdi na CharDone + + cp morspart,curchar ;je to hledany znak? + breq CharFound ;pak jdi na CharFound + adiw ZL,7 ;jinak jdi na nasledujici znak v tabulce (1 z postincrementu + 7 dalsich bytu) + rjmp NextCharTbl + +;znak nalezen v tabulce +CharFound: + lpm morspart,Z+ ;nacti MorsPart + cpi morspart,0 ;je to 0? (= konec znaku) + breq CharDone ;pak jdi na CharDone + + cpi morspart,1 ;je to tecka? (=1) - zustan tady + brne CARKA ;je to carka nebo mezera? (=3/4) - jdi na CARKA +;TECKA + cbi LEDPORT, ledm ;rozsvit ledm + BuzzOn ;zacni pipnuti + ldi r16,DelkaTecky ;cekej podle DelkaTecky + rcall delay + BuzzOff ;konec pipnuti + sbi LEDPORT, ledm ;zhasni ledm + rjmp MorsPartDone ;konec morspartu -> MorsPartDone + +;CARKA +CARKA: + cpi morspart,3 ;je to carka? (=3) - zustan tady + brne MEZERA ;je to mezera? (=4) - jdi na MEZERA + cbi LEDPORT, ledm ;rozsvit ledm + BuzzOn ;zacni pipnuti + ldi r16,DelkaCarky ;cekej podle DelkaCarky + rcall delay + BuzzOff ;konec pipnuti + sbi LEDPORT, ledm ;zhasni ledm + rjmp MorsPartDone ;konec morspartu -> MorsPartDone + +MEZERA: + ldi r16,DelkaMezery ;cekej podle DelkaMezery + rcall delay + rjmp CharFound + +;tecka/carka/mezera dokoncena +MorsPartDone: ;konec morspartu (=tecka/carka) + ldi r16,MezeraMorspart ;udelej mezeru + rcall delay + rjmp CharFound ;jdi na dalsi cast morspartu + +;znak dokoncen +CharDone: + ldi r16,MezeraZnak ;mezera za znakem + rcall delay + + pop ZL ;obnov pointer pro text + pop ZH + + rjmp NextCharTxt ;jdi na dalsi znak textu + +EndCom: + ldi r16,10 + rcall delay + + + BuzzOn +;plynule zhasnuti ledm + klesajici ton + ldi cmatch,0 ;comparematch (cita se od 255 do 0, tady se ledka vypne) + in r24,LEDPORT ;uloz stav ledportu + cbr r24,0b01000000 ;zapni ledm + sbr r24,0b10000000 ;zapni pullup + ldi r23,0b11111111 ;priprav stav pro vypnute ledky +L3NEXTSTEP: + inc cmatch ;sniz jas + cpi cmatch,255 ;je jas=255? pak L3DONE + breq L3DONE + mov r16,cmatch + lsr r16 + SetTone + ldi louter,25 ;vnejsi smycka (pocet cyklu se stejnym jasem) +L3OUTER: + dec louter ;je konec louter? pak novy jas + breq L3NEXTSTEP + ldi linner,255 ;vnitrni smycka, stara se o regulaci jasu + ;cbi LEDPORT,ledm ;rozsvit ledmain + out LEDPORT,r24 ;zapni ledky +L3INNER: + dec linner + breq L3OUTER + cp linner,cmatch ;je cmatch? + brne L3Ib + ;sbi LEDPORT,ledm ;jo -> zhasni ledmain + out LEDPORT,r23 ;zhasni ledky +L3Ib: + rjmp L3INNER + +L3DONE: + sbi LEDPORT, ledm ;zhasni ledm (pro jistotu) + ldi r16,2 ;prodleva, aby ton neznel tak uriznute + rcall delay + BuzzOff ;vypnout zvuk + + + + + +USNI: +;SLEEP (a po probuzeni skok na RESET) + + ldi r16,(1< reset + ldi r16,2 ;cekej 0.2s + rcall delay + clr r5 ;vymazat navesti ze byl SLEEP + + clr r16 + out GIMSK,r16 ;zakaz pinchange interrupt (general) + + sei + rjmp RESET ;pri resetu se vynuluje SP a sei uz bylo, takze se reti delat nemusi. bude reset + +;preruseni normalniho behu programu tlacitkem -> usnout +NENIPOSLEEPU: + ser r16 ;zhasne ledky + out LEDPORT,r16 + BuzzOff ;pro jistotu vypne speaker + ldi r16,15 ;ceka 1.5 sekundy (aby se tlacitko urcite stihlo uvolnit -> jinak se udela dalsi "pinchange" a hned se to probudi) + rcall delay + + in r16,EIFR ;vymaz zasobnik ext. preruseni (behem cekani bylo tlacitko pusteno -> vygenerovan dalsi interrupt) + andi r16,(1< reset --+ /RST Vcc +-- napajeni +5V +; SW0 G --+ PD0 PB7 +---[wakeswitch]--GND +; SW1 G --+ PD1 PB6 +-- LED MAIN K +; --+ PA1 PB5 +-- LED 5 K +; Vcc-[speaker]----+ PA0 PB4 +-- LED 4 K +; SW2 G --+ PD2 PB3 +-- LED 3 K +; SW3 G --+ PD3 PB2 +-- LED 2 K +; SW4 G --+ PD4 PB1 +-- LED 1 K +; SW5 G --+ PD5 PB0 +-- LED 0 K +; GND --+ GND PD6 +-- +; +-------------+ +;"K" = katoda (ledka zapojena mezi Vcc a timhle pinem, aktivni je 0) +;"G" = ground (spinac mezi tomhle pionem a zemi, aktivni je 0) + +.device attiny2313 +;běží na 4MHz, ckdiv8=1 (vypnuto) + +;LFUSE: 0xE2 +;HFUSE: 0xDF + + +.equ FrekvenceMorse = 50 ;62500/tohle Hz tón 42 + + +;K O N S T A N T Y + P R E Z D I V K Y P O U R T U A P I N U +;PORTA +.equ SYSPORT = PORTA +.equ SYSPIN = PINA +.equ SYSDDR = DDRA + +.equ speak = 0 +.equ inkey = 7 + + +;PORTB +.equ LEDPORT = PORTB +.equ LEDDDR = DDRB +.equ LEDPIN = PINB + +.equ ledm = 6 + + + +;PORTD +.equ SWPORT = PORTD +.equ SWPIN = PIND +.equ SWDDR = DDRD + + +;A L I A S Y R E G I S T R U +.def cmatch = r19 +.def louter = r18 +.def linner = r17 +.def curchar = r25 +.def morspart = r24 + +;aliasy pointerů +.def ZH = r31 +.def ZL = r30 +;.def YH = r29 +;.def YL = r28 +;.def XH = r27 +;.def XL = r26 + + + +; M A K R A +;Reprak (zapnuti a vypnuti pipani 1kHz) +.MACRO BuzzOn + sbi SYSPORT,speak + ldi r16,(1< loop + dec r16 ;jo -> dalsi test shodnosti, l1 + brne l1 + + mov r20,r19 ;uloz jako minulou hodnotu pinu + cpi r20,0b10000000 ;je nestisknuto? + breq beepoff ;vypni pip + ldi r16,0b10000000 + out LEDPORT,r16 ;rozsvit ledky + BuzzOn ;jinak pipej + rjmp loop +beepoff: + BuzzOff + ldi r16,0b11111111 + out LEDPORT, r16 ;zhasni ledky + out LEDPORT,r16 + rjmp loop diff --git a/ASM_OLD/SETI/seti5.asm b/ASM_OLD/SETI/seti5.asm new file mode 100644 index 0000000..aeb9df7 --- /dev/null +++ b/ASM_OLD/SETI/seti5.asm @@ -0,0 +1,630 @@ +;SETI navigation module of extraterrestrial spaceship BY Ondrej Hruska (c) 2011 +;------------------------------------------------- +;Co to dela: +;Po spusteni plynule rozsviti a zhasle LED MAIN, behem toho houka s promennou frekvenci +;Povoli uspani zmenou stavu pinu PB7 + + +; Zapojeni: +; +------u------+ +; Vcc -> reset --+ /RST Vcc +-- napajeni +5V +; SW0 G --+ PD0 PB7 +---[wakeswitch]--GND +; SW1 G --+ PD1 PB6 +-- LED MAIN K +; --+ PA1 PB5 +-- LED 5 K +; Vcc-[speaker]----+ PA0 PB4 +-- LED 4 K +; SW2 G --+ PD2 PB3 +-- LED 3 K +; SW3 G --+ PD3 PB2 +-- LED 2 K +; SW4 G --+ PD4 PB1 +-- LED 1 K +; SW5 G --+ PD5 PB0 +-- LED 0 K +; GND --+ GND PD6 +-- +; +-------------+ +;"K" = katoda (ledka zapojena mezi Vcc a timhle pinem, aktivni je 0) +;"G" = ground (spinac mezi tomhle pionem a zemi, aktivni je 0) + +.device attiny2313 +;běží na 4MHz, ckdiv8=1 (vypnuto) + +;LFUSE: 0xE2 +;HFUSE: 0xDF + + + +;N A S T A V E N I V Y S I L A N E M O R S E O V K Y +;tecka mmorspart carka mznak mmezera mznak carka mmorspart +.equ DelkaTecky = 3 ;delka tecky v desetinach +.equ DelkaCarky = 14 ;3x delka tecky = delka carky +.equ DelkaMezery = 6 ;mznak+tohle+mznak +.equ MezeraMorspart = 3 ;mezera mezi teckami/carkami v pismene +.equ MezeraZnak = 21 ;mezera za znakem +.equ FrekvenceMorse = 50 ;62500/tohle Hz tón 42 + +;.equ DelkaTecky = 4 ;delka tecky v desetinach +;.equ DelkaCarky = 14 ;3x delka tecky = delka carky +;.equ MezeraMorspart = 3 ;mezera mezi teckami/carkami v pismene +;.equ MezeraZnak = 14 ;mezera za znakem (pripojena za vnitrni mezeru) +;.equ FrekvenceMorse = 43 ;62500/tohle Hz + + + +;K O N S T A N T Y + P R E Z D I V K Y P O U R T U A P I N U +;PORTA +.equ SYSPORT = PORTA +.equ SYSPIN = PINA +.equ SYSDDR = DDRA + +.equ speak = 0 + + +;PORTB +.equ LEDPORT = PORTB +.equ LEDDDR = DDRB +;.equ LEDPIN = PINB + +;.equ led0 = 0 +;.equ led1 = 1 +;.equ led2 = 2 +;.equ led3 = 3 +;.equ led4 = 4 +;.equ led5 = 5 +.equ ledm = 6 +;.equ wakepin = 7 +.equ AFTER_SLEEP = 0b10101010 ;informace ze byl predtim sleep + + +;PORTD +.equ SWPORT = PORTD +.equ SWPIN = PIND +.equ SWDDR = DDRD + +;.equ sw0 = 0 +;.equ sw1 = 1 +;.equ sw2 = 2 +;.equ sw3 = 3 +;.equ sw4 = 4 +;.equ sw5 = 5 + + + +;A L I A S Y R E G I S T R U +.def cmatch = r19 +.def louter = r18 +.def linner = r17 +.def curchar = r25 +.def morspart = r24 + +;aliasy pointerů +.def ZH = r31 +.def ZL = r30 +;.def YH = r29 +;.def YL = r28 +;.def XH = r27 +;.def XL = r26 + + + +; M A K R A +;Reprak (zapnuti a vypnuti pipani 1kHz) +.MACRO BuzzOn + sbi SYSPORT,speak + ldi r16,(1< zhasni ledmain +L1Ib: + rjmp L1INNER + +L1DONE: + cbi LEDPORT, ledm ;rozsvit ledku (pro jistotu) + + + +;plynule zhasnuti ledm + klesajici ton + ldi cmatch,0 ;comparematch (cita se od 255 do 0, tady se ledka vypne) +L2NEXTSTEP: + inc cmatch ;sniz jas + cpi cmatch,255 ;je jas=0? pak L2DONE + breq L2DONE + mov r16,cmatch + SetTone + ldi louter,20 ;vnejsi smycka (pocet cyklu se stejnym jasem) +L2OUTER: + dec louter ;je konec louter? pak novy jas + breq L2NEXTSTEP + ldi linner,255 ;vnitrni smycka, stara se o regulaci jasu + cbi LEDPORT,ledm ;rozsvit ledmain +L2INNER: + dec linner + breq L2OUTER + cp linner,cmatch ;je cmatch? + brne L2Ib + sbi LEDPORT,ledm ;jo -> zhasni ledmain +L2Ib: + rjmp L2INNER + +L2DONE: + sbi LEDPORT, ledm ;zhasni ledm (pro jistotu) + ldi r16,1 ;prodleva, aby ton neznel tak uriznute + rcall delay + BuzzOff ;vypnout zvuk + + +;ted uz je dost douho po resetu, zepneme moznost uspani wakepinem + ;vymaz zasobnik ext. preruseni + in r16,EIFR + andi r16,(1< aktivni budou 1 + clr r24 ;priprav citac aktivnich jumperu + clr r16 ;nulovej registr pro ADC (aby procetl jen carry) +COUNTL: + lsr r25 ;(r25>>1) bit 0 do carry + adc r24,r16 ;pricti k citaci r24 carry (r16 je 0) + cpi r25,0 ;je r25 prazdny? ne -> opakuj COUNTL + brne COUNTL + + ;r24 obsahuje pocet zaplych bitu +COUNTDONE: + cpi r24,6 ;je 6 jumperu? + brne TEST5 + ldi ZH,high(TEXT6*2) + ldi ZL,low(TEXT6*2) + rjmp TESTDONE +TEST5: + cpi r24,5 ;je 5 jumperu? + brne TEST4 + ldi ZH,high(TEXT5*2) + ldi ZL,low(TEXT5*2) + rjmp TESTDONE +TEST4: + cpi r24,4 ;jsou 4 jumpery? + brne TEST3 + ldi ZH,high(TEXT4*2) + ldi ZL,low(TEXT4*2) + rjmp TESTDONE +TEST3: + cpi r24,3 ;jsou 3 jumpery? + brne TEST2 + ldi ZH,high(TEXT3*2) + ldi ZL,low(TEXT3*2) + rjmp TESTDONE +TEST2: + cpi r24,2 ;jsou 2 jumpery? + brne TEST1 + ldi ZH,high(TEXT2*2) + ldi ZL,low(TEXT2*2) + rjmp TESTDONE +TEST1: + cpi r24,1 ;je 1 jumper? + brne TEST0 + ldi ZH,high(TEXT1*2) + ldi ZL,low(TEXT1*2) + rjmp TESTDONE +TEST0: + ldi ZH,high(TEXT0*2) ;je 0 jumperu. + ldi ZL,low(TEXT0*2) +TESTDONE: + +;Z obsahuje pointer na zacatek vysilaneho textu. +;Dalsi znak textu (nebo prvni) +NextCharTxt: + lpm curchar,Z+ ;do curchar nacti soucasny znak. Z je pointer na dalsi + cpi curchar,0 + breq EndCom ;curchar = 0? (konec komunikace) - jdi na konec + + push ZH ;uschovej Z pointer (bude pouzivan jako pointer tabulky) + push ZL + +;hledani znaku v tabulce + ldi ZH,high(MORSE*2) ;zacatek MORSE tabulky do Z + ldi ZL,low(MORSE*2) +NextCharTbl: + lpm morspart,Z+ ;precti znak z tabulky + + cpi morspart,0 ;je to 0? (= konec tabulky) + breq CharDone ;pak jdi na CharDone + + cp morspart,curchar ;je to hledany znak? + breq CharFound ;pak jdi na CharFound + adiw ZL,7 ;jinak jdi na nasledujici znak v tabulce (1 z postincrementu + 7 dalsich bytu) + rjmp NextCharTbl + +;znak nalezen v tabulce +CharFound: + lpm morspart,Z+ ;nacti MorsPart + cpi morspart,0 ;je to 0? (= konec znaku) + breq CharDone ;pak jdi na CharDone + + cpi morspart,1 ;je to tecka? (=1) - zustan tady + brne CARKA ;je to carka nebo mezera? (=3/4) - jdi na CARKA +;TECKA + cbi LEDPORT, ledm ;rozsvit ledm + BuzzOn ;zacni pipnuti + ldi r16,DelkaTecky ;cekej podle DelkaTecky + rcall delay + BuzzOff ;konec pipnuti + sbi LEDPORT, ledm ;zhasni ledm + rjmp MorsPartDone ;konec morspartu -> MorsPartDone + +;CARKA +CARKA: + cpi morspart,3 ;je to carka? (=3) - zustan tady + brne MEZERA ;je to mezera? (=4) - jdi na MEZERA + cbi LEDPORT, ledm ;rozsvit ledm + BuzzOn ;zacni pipnuti + ldi r16,DelkaCarky ;cekej podle DelkaCarky + rcall delay + BuzzOff ;konec pipnuti + sbi LEDPORT, ledm ;zhasni ledm + rjmp MorsPartDone ;konec morspartu -> MorsPartDone + +MEZERA: + ldi r16,DelkaMezery ;cekej podle DelkaMezery + rcall delay + rjmp CharFound + +;tecka/carka/mezera dokoncena +MorsPartDone: ;konec morspartu (=tecka/carka) + ldi r16,MezeraMorspart ;udelej mezeru + rcall delay + rjmp CharFound ;jdi na dalsi cast morspartu + +;znak dokoncen +CharDone: + ldi r16,MezeraZnak ;mezera za znakem + rcall delay + + pop ZL ;obnov pointer pro text + pop ZH + + rjmp NextCharTxt ;jdi na dalsi znak textu + +EndCom: + ldi r16,10 + rcall delay + + + BuzzOn +;plynule zhasnuti ledm + klesajici ton + ldi cmatch,0 ;comparematch (cita se od 255 do 0, tady se ledka vypne) + in r24,LEDPORT ;uloz stav ledportu + cbr r24,0b01000000 ;zapni ledm + sbr r24,0b10000000 ;zapni pullup + ldi r23,0b11111111 ;priprav stav pro vypnute ledky +L3NEXTSTEP: + inc cmatch ;sniz jas + cpi cmatch,255 ;je jas=255? pak L3DONE + breq L3DONE + mov r16,cmatch + lsr r16 + SetTone + ldi louter,25 ;vnejsi smycka (pocet cyklu se stejnym jasem) +L3OUTER: + dec louter ;je konec louter? pak novy jas + breq L3NEXTSTEP + ldi linner,255 ;vnitrni smycka, stara se o regulaci jasu + ;cbi LEDPORT,ledm ;rozsvit ledmain + out LEDPORT,r24 ;zapni ledky +L3INNER: + dec linner + breq L3OUTER + cp linner,cmatch ;je cmatch? + brne L3Ib + ;sbi LEDPORT,ledm ;jo -> zhasni ledmain + out LEDPORT,r23 ;zhasni ledky +L3Ib: + rjmp L3INNER + +L3DONE: + sbi LEDPORT, ledm ;zhasni ledm (pro jistotu) + ldi r16,2 ;prodleva, aby ton neznel tak uriznute + rcall delay + BuzzOff ;vypnout zvuk + + + + + +USNI: +;SLEEP (a po probuzeni skok na RESET) + + ldi r16,(1< reset + ldi r16,2 ;cekej 0.2s + rcall delay + clr r5 ;vymazat navesti ze byl SLEEP + + clr r16 + out GIMSK,r16 ;zakaz pinchange interrupt (general) + + sei + rjmp RESET ;pri resetu se vynuluje SP a sei uz bylo, takze se reti delat nemusi. bude reset + +;preruseni normalniho behu programu tlacitkem -> usnout +NENIPOSLEEPU: + ser r16 ;zhasne ledky + out LEDPORT,r16 + BuzzOff ;pro jistotu vypne speaker + ldi r16,15 ;ceka 1.5 sekundy (aby se tlacitko urcite stihlo uvolnit -> jinak se udela dalsi "pinchange" a hned se to probudi) + rcall delay + + in r16,EIFR ;vymaz zasobnik ext. preruseni (behem cekani bylo tlacitko pusteno -> vygenerovan dalsi interrupt) + andi r16,(1<1, 1=1->2, 2=2->3 atd + + sei ;zapnout globalne interrupt + +LOOP: + rjmp LOOP ;skok na loop + +CASOVAC: + inc cnt ;cnt=cnt+1 + + cpi cnt,ColDepth ;cnt==ColorDepth? ANO->pokracovat, NE->skok na NOV + brne nov + + clr cnt ;CNT je ColorDepth -> vymazat CNT + ;vynulovat LED kanaly: + cbi portb,0 ; (0) + cbi portb,1 ; (1) + cbi portb,2 ; (2) + cbi portb,3 ; (3) + cbi portb,4 ; (4) + + inc chc ;Zvysime citac pro zmenu barvy CHC o 1 + cpi chc,CChDelay ;CHC==delay? ANO->pokracovat, NE->skok na NOV + brne nov + + clr chc ;MENI SE BARVY + + cpi faze,0 + brne FazeNot0 +;FAZE je 0 + inc P0 + dec P1 + cpi P1,0 + brne nov + inc faze + +FazeNot0: + cpi faze,1 + brne FazeNot1 + ;FAZE je 1 + inc P1 + dec P2 + cpi P2,0 + brne nov + inc faze + +FazeNot1: + cpi faze,2 + brne FazeNot2 + ;FAZE je 2 + inc P2 + dec P3 + cpi P3,0 + brne nov + inc faze +FazeNot2: + cpi faze,3 + brne FazeNot3 + ;FAZE je 3 + inc P3 + dec P4 + cpi P4,0 + brne nov + inc faze +FazeNot3: + ;FAZE je 4 + inc P4 + dec P0 + cpi P0,0 + brne nov + clr faze + +;sprava PWM kanalu - podminene zapnuti LED +nov: ;NOV label + cp cnt,P0 ;P0==CNT? ANO->zapni P0, NE->skok na NOT0 + brne not0 + sbi portb,0 +not0: ;NOTR label + cp cnt,P1 ;P1==CNT? ANO->zapni P1, NE->skok na NOT1 + brne not1 + sbi portb,1 +not1: ;NOTG label + cp cnt,P2 ;P2==CNT? ANO->zapni P2, NE->skok na NOT2 + brne not2 + sbi portb,2 +not2: ;NOTG label + cp cnt,P3 ;P3==CNT? ANO->zapni P3, NE->skok na NOT3 + brne not3 + sbi portb,4 +not3: ;NOTG label + cp cnt,P4 ;P4==CNT? ANO->zapni P4, NE->skok na NOT4 + brne endcounter + sbi portb,3 +endcounter: ;ENDCOUNTER label - konec preruseni + reti ;navrat z obsluhy preruseni + diff --git a/ASM_OLD/Světelné efekty/Blikač 2 led/pokus.asm b/ASM_OLD/Světelné efekty/Blikač 2 led/pokus.asm new file mode 100644 index 0000000..fc7275b --- /dev/null +++ b/ASM_OLD/Světelné efekty/Blikač 2 led/pokus.asm @@ -0,0 +1,53 @@ +;pokus + +.include "tn13def.inc" + +.def POM=r16 + +.org 0x0000 ;zacatek kodu + RJMP RESET ;skok na start po resetu + + +.org 0x0010 ;zacatek vlastniho programu + +RESET: + LDI POM,low(RAMEND) ;nastavi stack pointer + OUT SPL,POM + + LDI POM,0B00000011 ;nastavi vystupni piny + OUT DDRB,POM + +LOOP: + SBI PORTB,0 ;PB0=0, PB1=1 + CBI PORTB,1 + RCALL CEKANI ;CEKACI SUB + CBI PORTB,0 ;PB0=1, PB1=0 + SBI PORTB,1 + RCALL CEKANI ;CEKACI SUB + RJMP LOOP ;znovu na navesti LOOP + +CEKANI: + PUSH r18 ;ulozit pouzivane registry do STACKU + PUSH r17 + PUSH r16 + + LDI r16, 100 +L1: DEC r16 + LDI r17, 100 +L2: DEC r17 + LDI r18, 125 +L3: DEC r18 + CPI r18, 0 + BRNE L3 + CPI r17, 0 + BRNE L2 + CPI r16, 0 + BRNE L1 + + POP r16 ;nacist puvodni obsah registru ze STACKU + POP r17 + POP r18 + + RET ;navrat do hlavniho programu + + diff --git a/ASM_OLD/Světelné efekty/Blikač 2 led/pokus.map b/ASM_OLD/Světelné efekty/Blikač 2 led/pokus.map new file mode 100644 index 0000000..a614916 --- /dev/null +++ b/ASM_OLD/Světelné efekty/Blikač 2 led/pokus.map @@ -0,0 +1,287 @@ + +AVRASM ver. 2.1.12 C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\pokus\pokus.asm Tue Sep 04 20:36:18 2007 + + +EQU SIGNATURE_000 0000001e +EQU SIGNATURE_001 00000090 +EQU SIGNATURE_002 00000007 +EQU SREG 0000003f +EQU SPL 0000003d +EQU GIMSK 0000003b +EQU GIFR 0000003a +EQU TIMSK0 00000039 +EQU TIFR0 00000038 +EQU SPMCSR 00000037 +EQU OCR0A 00000036 +EQU MCUCR 00000035 +EQU MCUSR 00000034 +EQU TCCR0B 00000033 +EQU TCNT0 00000032 +EQU OSCCAL 00000031 +EQU TCCR0A 0000002f +EQU DWDR 0000002e +EQU OCR0B 00000029 +EQU GTCCR 00000028 +EQU CLKPR 00000026 +EQU WDTCR 00000021 +EQU EEAR 0000001e +EQU EEDR 0000001d +EQU EECR 0000001c +EQU PORTB 00000018 +EQU DDRB 00000017 +EQU PINB 00000016 +EQU PCMSK 00000015 +EQU DIDR0 00000014 +EQU ACSR 00000008 +EQU ADMUX 00000007 +EQU ADCSRA 00000006 +EQU ADCH 00000005 +EQU ADCL 00000004 +EQU ADCSRB 00000003 +EQU MUX0 00000000 +EQU MUX1 00000001 +EQU ADLAR 00000005 +EQU REFS0 00000006 +EQU ADPS0 00000000 +EQU ADPS1 00000001 +EQU ADPS2 00000002 +EQU ADIE 00000003 +EQU ADIF 00000004 +EQU ADATE 00000005 +EQU ADSC 00000006 +EQU ADEN 00000007 +EQU ADCH0 00000000 +EQU ADCH1 00000001 +EQU ADCH2 00000002 +EQU ADCH3 00000003 +EQU ADCH4 00000004 +EQU ADCH5 00000005 +EQU ADCH6 00000006 +EQU ADCH7 00000007 +EQU ADCL0 00000000 +EQU ADCL1 00000001 +EQU ADCL2 00000002 +EQU ADCL3 00000003 +EQU ADCL4 00000004 +EQU ADCL5 00000005 +EQU ADCL6 00000006 +EQU ADCL7 00000007 +EQU ADTS0 00000000 +EQU ADTS1 00000001 +EQU ADTS2 00000002 +EQU ADC1D 00000002 +EQU ADC3D 00000003 +EQU ADC2D 00000004 +EQU ADC0D 00000005 +EQU ACME 00000006 +EQU ACIS0 00000000 +EQU ACIS1 00000001 +EQU ACIE 00000003 +EQU ACI 00000004 +EQU ACO 00000005 +EQU ACBG 00000006 +EQU AINBG 00000006 +EQU ACD 00000007 +EQU AIN0D 00000000 +EQU AIN1D 00000001 +EQU EEARL 0000001e +EQU EEAR0 00000000 +EQU EEAR1 00000001 +EQU EEAR2 00000002 +EQU EEAR3 00000003 +EQU EEAR4 00000004 +EQU EEAR5 00000005 +EQU EEDR0 00000000 +EQU EEDR1 00000001 +EQU EEDR2 00000002 +EQU EEDR3 00000003 +EQU EEDR4 00000004 +EQU EEDR5 00000005 +EQU EEDR6 00000006 +EQU EEDR7 00000007 +EQU EERE 00000000 +EQU EEWE 00000001 +EQU EEPE 00000001 +EQU EEMWE 00000002 +EQU EEMPE 00000002 +EQU EERIE 00000003 +EQU EEPM0 00000004 +EQU EEPM1 00000005 +EQU SREG_C 00000000 +EQU SREG_Z 00000001 +EQU SREG_N 00000002 +EQU SREG_V 00000003 +EQU SREG_S 00000004 +EQU SREG_H 00000005 +EQU SREG_T 00000006 +EQU SREG_I 00000007 +EQU SP0 00000000 +EQU SP1 00000001 +EQU SP2 00000002 +EQU SP3 00000003 +EQU SP4 00000004 +EQU SP5 00000005 +EQU SP6 00000006 +EQU SP7 00000007 +EQU ISC00 00000000 +EQU ISC01 00000001 +EQU SM0 00000003 +EQU SM1 00000004 +EQU SE 00000005 +EQU PUD 00000006 +EQU PORF 00000000 +EQU EXTRF 00000001 +EQU BORF 00000002 +EQU WDRF 00000003 +EQU CAL0 00000000 +EQU CAL1 00000001 +EQU CAL2 00000002 +EQU CAL3 00000003 +EQU CAL4 00000004 +EQU CAL5 00000005 +EQU CAL6 00000006 +EQU CLKPS0 00000000 +EQU CLKPS1 00000001 +EQU CLKPS2 00000002 +EQU CLKPS3 00000003 +EQU CLKPCE 00000007 +EQU DWDR0 00000000 +EQU DWDR1 00000001 +EQU DWDR2 00000002 +EQU DWDR3 00000003 +EQU DWDR4 00000004 +EQU DWDR5 00000005 +EQU DWDR6 00000006 +EQU DWDR7 00000007 +EQU SPMEN 00000000 +EQU PGERS 00000001 +EQU PGWRT 00000002 +EQU RFLB 00000003 +EQU CTPB 00000004 +EQU PORTB0 00000000 +EQU PB0 00000000 +EQU PORTB1 00000001 +EQU PB1 00000001 +EQU PORTB2 00000002 +EQU PB2 00000002 +EQU PORTB3 00000003 +EQU PB3 00000003 +EQU PORTB4 00000004 +EQU PB4 00000004 +EQU PORTB5 00000005 +EQU PB5 00000005 +EQU DDB0 00000000 +EQU DDB1 00000001 +EQU DDB2 00000002 +EQU DDB3 00000003 +EQU DDB4 00000004 +EQU DDB5 00000005 +EQU PINB0 00000000 +EQU PINB1 00000001 +EQU PINB2 00000002 +EQU PINB3 00000003 +EQU PINB4 00000004 +EQU PINB5 00000005 +EQU GICR 0000003b +EQU PCIE 00000005 +EQU INT0 00000006 +EQU PCIF 00000005 +EQU INTF0 00000006 +EQU PCINT0 00000000 +EQU PCINT1 00000001 +EQU PCINT2 00000002 +EQU PCINT3 00000003 +EQU PCINT4 00000004 +EQU PCINT5 00000005 +EQU TOIE0 00000001 +EQU OCIE0A 00000002 +EQU OCIE0B 00000003 +EQU TOV0 00000001 +EQU OCF0A 00000002 +EQU OCF0B 00000003 +EQU OCR0_0 00000000 +EQU OCR0_1 00000001 +EQU OCR0_2 00000002 +EQU OCR0_3 00000003 +EQU OCR0_4 00000004 +EQU OCR0_5 00000005 +EQU OCR0_6 00000006 +EQU OCR0_7 00000007 +EQU WGM00 00000000 +EQU WGM01 00000001 +EQU COM0B0 00000004 +EQU COM0B1 00000005 +EQU COM0A0 00000006 +EQU COM0A1 00000007 +EQU TCNT0_0 00000000 +EQU TCNT0_1 00000001 +EQU TCNT0_2 00000002 +EQU TCNT0_3 00000003 +EQU TCNT0_4 00000004 +EQU TCNT0_5 00000005 +EQU TCNT0_6 00000006 +EQU TCNT0_7 00000007 +EQU CS00 00000000 +EQU CS01 00000001 +EQU CS02 00000002 +EQU WGM02 00000003 +EQU FOC0B 00000006 +EQU FOC0A 00000007 +EQU PSR10 00000000 +EQU TSM 00000007 +EQU WDP0 00000000 +EQU WDP1 00000001 +EQU WDP2 00000002 +EQU WDE 00000003 +EQU WDCE 00000004 +EQU WDP3 00000005 +EQU WDTIE 00000006 +EQU WDTIF 00000007 +EQU LB1 00000000 +EQU LB2 00000001 +EQU CKSEL0 00000000 +EQU CKSEL1 00000001 +EQU SUT0 00000002 +EQU SUT1 00000003 +EQU CKDIV8 00000004 +EQU WDTON 00000005 +EQU EESAVE 00000006 +EQU SPIEN 00000007 +EQU RSTDISBL 00000000 +EQU BODLEVEL0 00000001 +EQU BODLEVEL1 00000002 +EQU DWEN 00000003 +EQU SELFPRGEN 00000004 +DEF XH r27 +DEF XL r26 +DEF YH r29 +DEF YL r28 +DEF ZH r31 +DEF ZL r30 +EQU FLASHEND 000001ff +EQU IOEND 0000003f +EQU SRAM_START 00000060 +EQU SRAM_SIZE 00000040 +EQU RAMEND 0000009f +EQU XRAMEND 00000000 +EQU E2END 0000003f +EQU EEPROMEND 0000003f +EQU EEADRBITS 00000006 +EQU PAGESIZE 00000010 +EQU INT0addr 00000001 +EQU PCI0addr 00000002 +EQU OVF0addr 00000003 +EQU ERDYaddr 00000004 +EQU ACIaddr 00000005 +EQU OC0Aaddr 00000006 +EQU OC0Baddr 00000007 +EQU WDTaddr 00000008 +EQU ADCCaddr 00000009 +EQU INT_VECTORS_SIZE 0000000a +DEF POM r16 +CSEG RESET 00000010 +CSEG LOOP 00000014 +CSEG CEKANI 0000001b +CSEG L1 0000001f +CSEG L2 00000021 +CSEG L3 00000023 diff --git a/ASM_OLD/Světelné efekty/Pulzující LED/pulzovani.asm b/ASM_OLD/Světelné efekty/Pulzující LED/pulzovani.asm new file mode 100644 index 0000000..15b8b13 --- /dev/null +++ b/ASM_OLD/Světelné efekty/Pulzující LED/pulzovani.asm @@ -0,0 +1,100 @@ +.device attiny13 +.def cnt=r17 +.def P0=r20 +.def chc=r18 +.def faze=r19 + +;### NASTAVENI ### +.equ CChDelay=8 ;DELAY pro zmenu barev - cim min tim rychlejsi je zmena +.equ TIMEROV=2 ;porovnavaci hodnota pro timer - konstanta + +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu +.org 0x0006 ;TC0 overflow + rjmp CASOVAC +.org 0x0007 + rjmp casovac + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + ldi r16,0b00011111 ;výstupní = 1 + out DDRB,r16 + + ldi r16,0 ;vypnout PullUp + out PORTB,r16 + + ldi r16,0b00000001 ;TC0 prescaler na 1 - velice plynule, bez blikani + out TCCR0B,r16 ;4800000Hz + + ldi r16,0b00000010 ;TC0 je v rezimu CTC + out TCCR0A,r16 + + ldi r16,TIMEROV ;overflow 32 clks - upravuje rychlost prepinani (konstanta) + out OCR0A,r16 ; + + in r16, TIMSK0 ;interrupt enable + ori r16, 0b00000100 + out timsk0,r16 + + ldi P0,0 ;0 + clr cnt + clr chc + clr faze ;faze: 0 = nahoru 1=dolu + + sei ;zapnout globalne interrupt + +LOOP: + rjmp LOOP ;skok na loop + +CASOVAC: + inc cnt ;cnt=cnt+1 + + cpi cnt,128 ;cnt==128? ANO->pokracovat, NE->skok na NOV + brne nov + + clr cnt ;CNT je 128 -> vymazat CNT + ;vynulovat LED kanaly: + cbi portb,0 ; (0) + cbi portb,1 ; (1) + cbi portb,2 ; (2) + cbi portb,3 ; (3) + cbi portb,4 ; (4) + + inc chc ;Zvysime citac pro zmenu barvy CHC o 1 + cpi chc,CChDelay ;CHC==delay? ANO->pokracovat, NE->skok na NOV + brne nov + + clr chc ;MENI SE BARVY + + cpi faze,0 + brne FazeNot0 +;FAZE je 0 + inc P0 + cpi P0,85 + brne nov + inc faze + +FazeNot0: + ;FAZE je 1 + dec P0 + cpi P0,0 + brne nov + clr faze + +;sprava PWM kanalu - podminene zapnuti LED +nov: ;NOV label + cp cnt,P0 ;P0==CNT? ANO->zapni P0, NE->skok na NOT0 + brne endcounter + sbi portb,0 + sbi portb,1 + sbi portb,2 + sbi portb,3 + sbi portb,4 +endcounter: ;ENDCOUNTER label - konec preruseni + reti ;navrat z obsluhy preruseni + diff --git a/ASM_OLD/Světelné efekty/RGB LED efekty/prepinani nekolika odstinu/prepinani 12 barev/rgbdrivch12.asm b/ASM_OLD/Světelné efekty/RGB LED efekty/prepinani nekolika odstinu/prepinani 12 barev/rgbdrivch12.asm new file mode 100644 index 0000000..cd12d99 --- /dev/null +++ b/ASM_OLD/Světelné efekty/RGB LED efekty/prepinani nekolika odstinu/prepinani 12 barev/rgbdrivch12.asm @@ -0,0 +1,179 @@ +;pracuje jako 5-ti bitovy binarni citac +;vystupy 3 a 4 jsou prohozene kvuli desce +.device attiny13 +.def cnt=r18 +.def RED=r19 +.def GREEN=r20 +.def BLUE=r21 +.def chc=r22 +.def faze=r23 + +.org 0x0000 ;RESET + RJMP RESET ;skok na start po resetu +.org 0x0006 ;TC0 overflow + RJMP CASOVAC +.org 0x0007 + rjmp casovac + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + ldi r16,0b00011111 ;výstupní = 1 + out DDRB,r16 + + ldi r16,0 ;vypnout PullUp + out PORTB,r16 + + ldi r16,0b00000011 ;TC0 prescaler na 64 + out TCCR0B,r16 ;4800000/32 + + ldi r16,0b00000010 ;TC0 je v rezimu CTC + out TCCR0A,r16 + + ldi r16,20 ;overflow 22 + out OCR0A,r16 ; + + in r16, TIMSK0 ;interrupt enable + ORI r16, 0b00000100 + out timsk0,r16 + + ldi RED,0 ;R + ldi GREEN,16 ;G + ldi BLUE,32 ;B + clr cnt + clr chc + clr faze + + sei ;zapnout globalne interrupt + +LOOP: + rjmp LOOP ;skok na loop + +CASOVAC: + inc cnt + + cpi cnt,32 + breq ov0 + rjmp nov +ov0: + clr cnt + cbi portb,0 + cbi portb,1 + cbi portb,2 + + inc chc + cpi chc,30 + breq ov1 + rjmp nov +ov1: + inc faze + clr chc + cpi faze,12 + brne fazenov + clr faze +fazenov: + cpi faze,0 + breq f0 + cpi faze,1 + breq f1 + cpi faze,2 + breq f2 + cpi faze,3 + breq f3 + cpi faze,4 + breq f4 + cpi faze,5 + breq f5 + cpi faze,6 + breq f6 + cpi faze,7 + breq f7 + cpi faze,8 + breq f8 + cpi faze,9 + breq f9 + cpi faze,10 + breq f10 + cpi faze,11 + breq f11 +f0: + ldi RED,0 + ldi GREEN,32 + ldi BLUE,32 + rjmp nov +f1: + ldi RED,0 + ldi GREEN,16 + ldi BLUE,32 + rjmp nov +f2: + ldi RED,8 + ldi GREEN,8 + ldi BLUE,32 + rjmp nov +f3: + ldi RED,16 + ldi GREEN,0 + ldi BLUE,32 + rjmp nov +f4: + ldi RED,32 + ldi GREEN,0 + ldi BLUE,32 + rjmp nov +f5: + ldi RED,32 + ldi GREEN,0 + ldi BLUE,16 + rjmp nov +f6: + ldi RED,32 + ldi GREEN,8 + ldi BLUE,8 + rjmp nov +f7: + ldi RED,32 + ldi GREEN,16 + ldi BLUE,0 + rjmp nov + +f8: + ldi RED,32 + ldi GREEN,32 + ldi BLUE,0 + rjmp nov +f9: + ldi RED,16 + ldi GREEN,32 + ldi BLUE,0 + rjmp nov +f10: + ldi RED,8 + ldi GREEN,32 + ldi BLUE,8 + rjmp nov +f11: + ldi RED,0 + ldi GREEN,32 + ldi BLUE,16 + rjmp nov + +nov: + cp cnt,RED + brne notr + sbi portb,0 + +notr: cp cnt,GREEN + brne notg + sbi portb,1 + +notg: cp cnt,BLUE + brne notb + sbi portb,2 +notb: + reti + diff --git a/ASM_OLD/Světelné efekty/RGB LED efekty/prepinani nekolika odstinu/prepinani 6 barev/rgbdrivch.asm b/ASM_OLD/Světelné efekty/RGB LED efekty/prepinani nekolika odstinu/prepinani 6 barev/rgbdrivch.asm new file mode 100644 index 0000000..bdd0279 --- /dev/null +++ b/ASM_OLD/Světelné efekty/RGB LED efekty/prepinani nekolika odstinu/prepinani 6 barev/rgbdrivch.asm @@ -0,0 +1,133 @@ +;pracuje jako 5-ti bitovy binarni citac +;vystupy 3 a 4 jsou prohozene kvuli desce +.device attiny13 +.def cnt=r18 +.def RED=r19 +.def GREEN=r20 +.def BLUE=r21 +.def chc=r22 +.def faze=r23 + +.org 0x0000 ;RESET + RJMP RESET ;skok na start po resetu +.org 0x0006 ;TC0 overflow + RJMP CASOVAC +.org 0x0007 + rjmp casovac + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + ldi r16,0b00011111 ;výstupní = 1 + out DDRB,r16 + + ldi r16,0 ;vypnout PullUp + out PORTB,r16 + + ldi r16,0b00000011 ;TC0 prescaler na 64 + out TCCR0B,r16 ;4800000/32 + + ldi r16,0b00000010 ;TC0 je v rezimu CTC + out TCCR0A,r16 + + ldi r16,20 ;overflow 22 + out OCR0A,r16 ; + + in r16, TIMSK0 ;interrupt enable + ORI r16, 0b00000100 + out timsk0,r16 + + ldi RED,0 ;R + ldi GREEN,16 ;G + ldi BLUE,32 ;B + clr cnt + clr chc + clr faze + + sei ;zapnout globalne interrupt + +LOOP: + rjmp LOOP ;skok na loop + +CASOVAC: + inc cnt + + cpi cnt,32 + brne nov + + clr cnt + cbi portb,0 + cbi portb,1 + cbi portb,2 + + inc chc + cpi chc,255 + brne nov + inc faze + cpi faze,6 + brne fazenov + clr faze +fazenov: + cpi faze,0 + breq f0 + cpi faze,1 + breq f1 + cpi faze,2 + breq f2 + cpi faze,3 + breq f3 + cpi faze,4 + breq f4 + cpi faze,5 + breq f5 + +f0: + ldi RED,0 + ldi GREEN,32 + ldi BLUE,32 + rjmp nov +f1: + ldi RED,0 + ldi GREEN,0 + ldi BLUE,32 + rjmp nov +f2: + ldi RED,32 + ldi GREEN,0 + ldi BLUE,32 + rjmp nov +f3: + ldi RED,32 + ldi GREEN,0 + ldi BLUE,10 + rjmp nov +f4: + ldi RED,32 + ldi GREEN,32 + ldi BLUE,0 + rjmp nov +f5: + ldi RED,0 + ldi GREEN,32 + ldi BLUE,0 + rjmp nov + +nov: + cp cnt,RED + brne notr + sbi portb,0 + +notr: cp cnt,GREEN + brne notg + sbi portb,1 + +notg: cp cnt,BLUE + brne notb + sbi portb,2 +notb: + reti + diff --git a/ASM_OLD/Světelné efekty/RGB LED efekty/prepinani nekolika odstinu/prepinani 9 barev/rgbdrivch9.asm b/ASM_OLD/Světelné efekty/RGB LED efekty/prepinani nekolika odstinu/prepinani 9 barev/rgbdrivch9.asm new file mode 100644 index 0000000..9a37748 --- /dev/null +++ b/ASM_OLD/Světelné efekty/RGB LED efekty/prepinani nekolika odstinu/prepinani 9 barev/rgbdrivch9.asm @@ -0,0 +1,156 @@ +;pracuje jako 5-ti bitovy binarni citac +;vystupy 3 a 4 jsou prohozene kvuli desce +.device attiny13 +.def cnt=r18 +.def RED=r19 +.def GREEN=r20 +.def BLUE=r21 +.def chc=r22 +.def faze=r23 + +.org 0x0000 ;RESET + RJMP RESET ;skok na start po resetu +.org 0x0006 ;TC0 overflow + RJMP CASOVAC +.org 0x0007 + rjmp casovac + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + ldi r16,0b00011111 ;výstupní = 1 + out DDRB,r16 + + ldi r16,0 ;vypnout PullUp + out PORTB,r16 + + ldi r16,0b00000011 ;TC0 prescaler na 64 + out TCCR0B,r16 ;4800000/32 + + ldi r16,0b00000010 ;TC0 je v rezimu CTC + out TCCR0A,r16 + + ldi r16,20 ;overflow 22 + out OCR0A,r16 ; + + in r16, TIMSK0 ;interrupt enable + ORI r16, 0b00000100 + out timsk0,r16 + + ldi RED,0 ;R + ldi GREEN,16 ;G + ldi BLUE,32 ;B + clr cnt + clr chc + clr faze + + sei ;zapnout globalne interrupt + +LOOP: + rjmp LOOP ;skok na loop + +CASOVAC: + inc cnt + + cpi cnt,32 + breq ov + rjmp nov +ov: + clr cnt + cbi portb,0 + cbi portb,1 + cbi portb,2 + + inc chc + cpi chc,150 + brne nov + inc faze + cpi faze,9 + brne fazenov + clr faze +fazenov: + cpi faze,0 + breq f0 + cpi faze,1 + breq f1 + cpi faze,2 + breq f2 + cpi faze,3 + breq f3 + cpi faze,4 + breq f4 + cpi faze,5 + breq f5 + cpi faze,6 + breq f6 + cpi faze,7 + breq f7 + cpi faze,8 + breq f8 + +f0: + ldi RED,0 + ldi GREEN,32 + ldi BLUE,32 + rjmp nov +f1: + ldi RED,0 + ldi GREEN,16 + ldi BLUE,32 + rjmp nov +f2: + ldi RED,16 + ldi GREEN,0 + ldi BLUE,32 + rjmp nov +f3: + ldi RED,32 + ldi GREEN,0 + ldi BLUE,32 + rjmp nov +f4: + ldi RED,32 + ldi GREEN,0 + ldi BLUE,16 + rjmp nov +f5: + ldi RED,32 + ldi GREEN,16 + ldi BLUE,0 + rjmp nov + +f6: + ldi RED,32 + ldi GREEN,32 + ldi BLUE,0 + rjmp nov +f7: + ldi RED,16 + ldi GREEN,32 + ldi BLUE,0 + rjmp nov +f8: + ldi RED,0 + ldi GREEN,32 + ldi BLUE,16 + rjmp nov + +nov: + cp cnt,RED + brne notr + sbi portb,0 + +notr: cp cnt,GREEN + brne notg + sbi portb,1 + +notg: cp cnt,BLUE + brne notb + sbi portb,2 +notb: + reti + diff --git a/ASM_OLD/bomba/bomba.asm b/ASM_OLD/bomba/bomba.asm new file mode 100644 index 0000000..7554f8f --- /dev/null +++ b/ASM_OLD/bomba/bomba.asm @@ -0,0 +1,493 @@ +;Imitace sacovane bomby, Ondrej Hruska (c) 2010 +;------------------------------------------------- +; Zapojeni: +; +------u------+ +; reset --+ /RST Vcc +-- napajeni +5V +; Anoda jednotek --+ PD0 PB7 +-- segment D +; Anoda desitek --+ PD1 PB6 +-- sedment E +; (nezapojeno) --+ XT2 PB5 +-- segment C +; (nezapojeno) --+ XT1 PB4 +-- segment H +; Spatny drat 1 --+ PD2 PB3 +-- segment G +; Spatny drat 2 --+ PD3 PB2 +-- segment A +; Spatny drat 3 --+ PD4 PB1 +-- segment F +; Spatny drat 4 --+ PD5 PB0 +-- segment B +; GND --+ GND PD6 +-- Spravny drat +; +-------------+ +; +; Nazvy segmentu na displeji: +; ---A--- +; | | +; F B +; | | +; ---G--- +; | | +; E C +; | | +; ---D--- H +; + +.device attiny2313 +;běží na 8MHz, ckdiv8=1 (vypnuto) + +;LFUSE: 0xE4 +;HFUSE: 0xDF + +; A L I A S Y +.def numL = r20 +.def numH = r21 +.def dispL = r22 +.def dispH = r23 +.def wOld = r24 +.def InitLoopN = r25 + +.equ CUvodniIntervaly = 60 ;pocet intervalu cekani +.equ CInitLoopMax = 180 ;delka 1 intervalu cekani (s) +.equ CUnitSecs = 60 ;delka 1 jednotky (s) - zacina se s 99 jednotkami + +; M A K R A +.MACRO TimIntEnable + ldi r16,(1< r16 + ;v r16 jsou pouze rozpojene draty: 0b00010000 + in r17, PORTD ;do r17 stav portu D + mov r18,r16 + com r18 + and r17,r18 ;v r17 vynuluj bity patrici k rozpojenym dratum (ochrana X zkratu) + out PORTD,r17 ;dej na vystup + + in r17, DDRD ;do r17 DDRD + or r17, r16 ;k vystupnim pinum pridej prave rozpojeny drat + out DDRD,r17 + + ldi r19,CUnitSecs ;nastav citac intervalu pro hlavni odpocet + + ldi r16,0b11111111 ;255 na PORTB, vse zhasnuto + out PORTB, r16 + + sei ;zacina hlavni program s casovacem, bude se pouzivat preruseni + +loop: + rcall WireTest ;test dratku + + sbic PIND,2 ;pokud je spravny drat PD2 = 0, preskoc + rjmp CHCIP ;skoc na CHCIP + ;spravny drat je neprerusen + + rcall multiplex + + rjmp loop ;hlavni smycka se opakuje + +multiplex: + push r16 + push r17 +;DISPLEJ JEDNOTEK + ser r16 ;katody na +: vypnout vsechny segmenty + out PORTB,r16 + cbi PORTD,0 + sbi PORTD,1 ;PORTD = 01 - zapnout anodu pro jednotky + out PORTB,dispL ;PORTB = dispL (displej jednotek) + ldi r17,100 ;pocet smycek +dL: ;smycka + nop + nop + nop + dec r17 + brne dL + +;DISPLEJ DESITEK + ser r16 ;katody na +: vypnout vsechny segmenty + out PORTB,r16 + cbi PORTD,1 + sbi PORTD,0 ;PORTD 10 - zapnout anodu pro desitky + out PORTB,dispH ;PORTB = dispH (displej desitek) + ldi r17,100 ;pocet smycek +dH: + nop + nop + nop + dec r17 + brne dH + + ser r16 ;katody na +: vypnout vsechny segmenty + out PORTB,r16 + cbi PORTD,0 + cbi PORTD,1 + pop r17 + pop r16 + ret + +; r17 konvertuj do 7segmentovyho kodu pro displej se spol. anodou DECGAFB ---> r16 +dec7seg: ;dec7seg(r17) -> r16 + clr r16 ;do r16 budeme ukladat vystup, v r17 je vstupni cislo 0-9 + + cpi r17,9 ;je r17 = 9? + brne dec7segN8 ;neni -> pokracuj s 8 + ; DEChGAFB + ldi r16, 0b01000000 ;katody DCGAFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN8: + cpi r17,8 ;je r17 = 8? + brne dec7segN7 ;neni -> pokracuj s 7 + ; DEChGAFB + ldi r16, 0b00000000 ;katody DECGAFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN7: + cpi r17,7 ;je r17 = 7? + brne dec7segN6 ;neni -> pokracuj s 6 + ; DEChGAFB + ldi r16, 0b11001010 ;katody CAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN6: + cpi r17,6 ;je r17 = 6? + brne dec7segN5 ;neni -> pokracuj s 5 + ; DEChGAFB + ldi r16, 0b00000001 ;katody DECGAF na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN5: + cpi r17,5 ;je r17 = 5? + brne dec7segN4 ;neni -> pokracuj s 4 + ; DEChGAFB + ldi r16, 0b01000001 ;katody DCGAF na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN4: + cpi r17,4 ;je r17 = 4? + brne dec7segN3 ;neni -> pokracuj s 3 + ; DEChGAFB + ldi r16, 0b11000100 ;katody CGFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN3: + cpi r17,3 ;je r17 = 3? + brne dec7segN2 ;neni -> pokracuj s 2 + ; DEChGAFB + ldi r16, 0b01000010 ;katody DCGAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN2: + cpi r17,2 ;je r17 = 2? + brne dec7segN1 ;neni -> pokracuj s 1 + ; DEChGAFB + ldi r16, 0b00100010 ;katody DEGAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN1: + cpi r17,1 ;je r17 = 1? + brne dec7segN0 ;neni -> pokracuj s 0 + ; DEChGAFB + ldi r16, 0b11001110 ;katody CB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN0: + ; DEChGAFB + ldi r16, 0b00001000 ;katody DECAFB na 0; H = 0, ale bude prepsano pri vystupu +dec7segReturn: + ret + +; TEST DRATU (nutno volat rucne) +WireTest: + push r16 + push r17 + push r18 + in r16,PIND + andi r16, 0b01111000 ;odfiltrovat anody a spravny drat + ;test dratku + cp wOld,r16 ;porovnej r16 a wOld + brsh WireTestReturn ;wOld >= r16: nebyl prestrizen dratek, nebo byl nejaky spojen + ;dratek byl prestrizen + ;ODECTENI 3 desitek + cpi numH,3 ;jsou aspon 3 desitky? + brlo WireTestMaloCasu ;nejsou-li ani 3 desitky, vynuluj vse a vybuchni + subi numH,3 ;jinak odecti 3 desitky + + ;nedoslo k vynulovani? + brne WireTestReturn ;desitky > 0: odejdi bez vybuchu + cpi numL,0 ;desitky = 0. kolik je jednotek? + breq WireTestBum ;je-li 0 jednotek, vybuchni + ;0 desitek, n > 0 jednotek + rjmp WireTestReturn ;0 desitek ale n > 0 jednotek, odejdi bez vybuchu +WireTestMaloCasu: + clr numL ;vymazat jednotky + clr numH ;vymazat desitky +WireTestBum: + rcall BUM ;vybuchnout +WireTestReturn: + mov wOld, r16 ;uloz soucasny stav dratu do wOld + ;v r16 jsou pouze rozpojene draty: 0b00010000 + in r17, PORTD ;do r17 stav portu D + mov r18,r16 + com r18 + and r17,r18 ;v r17 vynuluj bity patrici k rozpojenym dratum (ochrana X zkratu) + out PORTD,r17 ;dej na vystup + + in r17, DDRD ;do r17 DDRD + or r17, r16 ;k vystupnim pinum prodej prave rozpojeny drat + out DDRD,r17 + + ;obnovit displej + mov r17,numL ;zpracovat numL + + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbrc dispL,4 ;neni-li H nastaven na displeji, preskoc + sbr r16,0b00010000 ;nastaveni H v novem stavu displeje + mov dispL,r16 ;presunout vysledek do vystupu displeje + + mov r17,numH ;zpracovat numL + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbrc dispH,4 ;neni-li H nastaven na displeji, preskoc + sbr r16,0b00010000 ;nastaveni H v novem stavu displeje + mov dispH,r16 ;presunout vysledek do vystupu displeje + + pop r18 + pop r17 + pop r16 + ret ;navrat + +; B U M +BUM: + ;vybuch - blikajici nuly + cli ;vypni preruseni + + ldi r17,0 ;0 jednotek + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbr r16,0b00010000 ;1 na katodu H - zhasnout tecku + mov dispL,r16 ;presunout vysledek do vystupu displeje + + + ldi r17,0 ;0 desitek + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbr r16,0b00010000 ;1 na katodu H - zhasnout tecku + mov dispH,r16 ;presunout vysledek do vystupu displeje + +BUMsmycka: ;nekonecna smycka, blikaji nuly + ldi r16, 255 +BUMsmyckaMX: + rcall multiplex + dec r16 + brne BUMsmyckaMX + + ldi r16, 255 +BUMsmyckaPAUZA: + ldi r17, 255 +BUMsmyckaPAUZAb: + dec r17 + brne BUMsmyckaPAUZAb + dec r16 + brne BUMsmyckaPAUZA + + rjmp BUMsmycka + +; C H C I P +CHCIP: + ;nekdo prestrihl spravny drat + cli + ;ldi dispL,0b00000000 + ;ldi dispH,0b00000000 + ldi r16,180 ; citac delky cekani +CHCIPsmycka: + mov r17,r16 + com r17 +CHCIPsmyckaMX: + rcall multiplex + dec r17 + brne CHCIPsmyckaMX + + mov r17,r16 ; nastaveni smycky cekani + inc r16 + cpi r16,255 + brsh CHCIPsleep ;uz je to moc dlouhy, usnout + +CHCIPsmyckaPAUZA: ;r17*200 + mov r18,r16 +CHCIPsmyckaPAUZAb: + dec r18 + brne CHCIPsmyckaPAUZAb + dec r17 + brne CHCIPsmyckaPAUZA + rjmp CHCIPsmycka + +CHCIPsleep: + cli + ldi r16,(1< 0, pouziva k tomu se r19) + cpi r19,0 ;je r19 = 0? + breq TIMERsnizitILN ;je-li r19 = 0, snizit InitLoopN: jdi na TIMERsnizitILN + dec r19 ;jinak o 1 sniz r19 (intervalovy citac) + ;out PORTB,r19 ;DEBUG test r19 + rjmp TIMERreturn ;a odejdi +TIMERsnizitILN: + dec InitLoopN ;snizit InitLoopN + ldi r19,CInitLoopMax ;nastaveni delky dalsiho intervalu + rjmp TIMERreturn ;odejdi + +TIMERnormal: + ;invertuj tecku (Low) + ldi r16,0b00010000 + eor dispL,r16 + cbr dispH,0b00010000 + sbrc dispL,4 ;do H zkopiruj tecku z L (tim se zajisti, ze budou vzdy stejne) + sbr dispH,0b00010000 + + ; r19 slouzi jako citac sekund + dec r19 ;sniz citac intervalu o 1 + brne TIMERreturn ;neni-li 0, odejdi + ldi r19,CUnitSecs ;nastaveni dalsiho intervalu + ; ODECTENI JEDNOTKY + cpi numL,0 ;je jednotek vic nez 0? + brne TIMERnOdectiJednotku ;jednotky > 0, jdi na odecteni jednotky + ;0 jednotek + cpi numH, 0 ;0 jednotek. je desitek vic nez 0? + brne TIMERnOdectiPresDesitku ;je-li desitek vic nez 0, jdi na odecteni desitky + ;0 jednotek i desitek + rcall BUM + +TIMERnOdectiPresDesitku: + ;0 jednotek, n > 0 desitek + dec numH ;odecti desitku + ldi numL,9 ;dej jednotky=9 + rjmp TIMERnOdecteniHotovo ;preskoc na TIMERnOdecteniHotovo + +TIMERnOdectiJednotku: + dec numL ;odecti jednotku + +TIMERnOdecteniHotovo: + cpi numH,0 ;test desitek + brne TIMERnHotovoNevybuch ; neni nula -> ok + cpi numL,0 ;test jednotek + brne TIMERnHotovoNevybuch ; neni nula -> ok + ;je nula + rcall BUM ;je nula: vybuchni + +TIMERnHotovoNevybuch: + ;uprav displej + mov r17,numL ;zpracovat numL + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbrc dispL,4 ;neni-li H nastaven na displeji, preskoc + sbr r16,0b00010000 ;nastaveni H v novem stavu displeje + mov dispL,r16 ;presunout vysledek do vystupu displeje + + mov r17,numH ;zpracovat numH + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbrc dispH,4 ;neni-li H nastaven na displeji, preskoc + sbr r16,0b00010000 ;nastaveni H v novem stavu displeje + mov dispH,r16 ;presunout vysledek do vystupu displeje + ;je obnoven stav displeje, konec preruseni + +TIMERreturn: + pop r18 ;navratit puvodni hodnoty registru + pop r17 + pop r16 + reti \ No newline at end of file diff --git a/ASM_OLD/bomba/tmp/bomba.asm b/ASM_OLD/bomba/tmp/bomba.asm new file mode 100644 index 0000000..832aa68 --- /dev/null +++ b/ASM_OLD/bomba/tmp/bomba.asm @@ -0,0 +1,233 @@ +.device attiny2313 +;běží na 8MHz, ckdiv8=1 (vypnuto) + +; A L I A S Y +.def numL = r19 +.def numH = r20 +.def dispL = r21 +.def dispH = r22 +.def wNow = r23 +.def wOld = r24 +.def InitLoopN = r25 + +; M A K R A +.MACRO TimIntEnable + ldi r16,(1< r16 +dec7seg: + clr r16 ;do r16 budeme ukladat vystup, v r17 je vstupni cislo 0-9 + + cpi r17,9 ;je r17 = 9? + brne dec7segN8 ;neni -> pokracuj s 8 + ; DEChGAFB + ldi r16, 0b01000000 ;katody DCGAFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn + +dec7segN8: + cpi r17,8 ;je r17 = 8? + brne dec7segN7 ;neni -> pokracuj s 7 + ; DEChGAFB + ldi r16, 0b00000000 ;katody DECGAFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn + +dec7segN7: + cpi r17,7 ;je r17 = 7? + brne dec7segN6 ;neni -> pokracuj s 6 + ; DEChGAFB + ldi r16, 0b11001010 ;katody CAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn + +dec7segN6: + cpi r17,6 ;je r17 = 6? + brne dec7segN5 ;neni -> pokracuj s 5 + ; DEChGAFB + ldi r16, 0b00000001 ;katody DECGAF na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn + +dec7segN5: + cpi r17,5 ;je r17 = 5? + brne dec7segN4 ;neni -> pokracuj s 4 + ; DEChGAFB + ldi r16, 0b01000001 ;katody DCGAF na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn + +dec7segN4: + cpi r17,4 ;je r17 = 4? + brne dec7segN3 ;neni -> pokracuj s 3 + ; DEChGAFB + ldi r16, 0b11000100 ;katody CGFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn + +dec7segN3: + cpi r17,3 ;je r17 = 3? + brne dec7segN2 ;neni -> pokracuj s 2 + ; DEChGAFB + ldi r16, 0b01000010 ;katody DCGAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn + +dec7segN2: + cpi r17,2 ;je r17 = 2? + brne dec7segN1 ;neni -> pokracuj s 1 + ; DEChGAFB + ldi r16, 0b00100010 ;katody DEGAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn + +dec7segN1: + cpi r17,1 ;je r17 = 1? + brne dec7segN0 ;neni -> pokracuj s 0 + ; DEChGAFB + ldi r16, 0b11001110 ;katody CB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn + +dec7segN0: + ; DEChGAFB + ldi r16, 0b00001000 ;katody DECAFB na 0; H = 0, ale bude prepsano pri vystupu + +dec7segReturn: + ret + + +; TEST DRATU (nutno volat rucne) +WireTest: + in r16,PORTD + andi r16, 0b11111100 ;odfiltrovat anody + mov wNow, r16 ;nastaveni soucasneho rozlozeni dratu + ;test dratku + cp wOld,wNow ;porovnej wOld a wNow + brge WireTestReturn ;wOld >= wNow: nebyl prestrizen dratek, nebo byl nejaky spojen + + ;dratek byl prestrizen + mov wOld, wNow ;nastaveni + ;ODECTENI CASU + cpi numH,3 ;jsou aspon 3 desitky? + brlo WireTestLowTens ;nejsou-li ani 3 desitky, vynuluj vse a vybuchni + subi numH,3 ;jinak odecti 3 desitky + ;nedoslo k vynulovani? + brne WireTestReturn ;desitky > 0: odejdi bez vybuchu + cpi numL,0 ;desitky = 0, kolik je jednotek? + breq WireTestBum ;je-li 0 jednotek, vybuchni + ;0 desitek, n > 0 jednotek + rjmp WireTestReturn ;0 desitek ale n > 0 jednotek, odejdi bez vybuchu +WireTestLowTens: + clr numL ;vymazat jednotky + clr numH ;vymazat desitky +WireTestBum: + rcall BUM +WireTestReturn: + ret + +; B U M +BUM: + ;nekonecna smycka, blikaji nuly + TimIntDisable ;vypni casovac (makro) +smycka: + rjmp smycka + + +; PRERUSENI OD CASOVACE f=1Hz + rjmp loop ; aby se TIMER nevykonal mimo preruseni, kdyby procesor zabloudil +TIMER: ; casovac ma frekvenci 1Hz + cpi InitLoopN,0 ;je InitLoopN = 0? + breq TIMERnormal ;Je-li InitLoopN = 0, pokracuj normalnim prerusenim + ; probiha uvodni cekani + ; test intervaloveho citace + cpi r17,0 ;je r17 = 0? + breq TIMERsnizitILN ;je-li r17 = 0, snizit InitLoopN: jdi na TIMERsnizitILN + dec r17 ;jinak o 1 sniz r17 (intervalovy citac) + ;out PORTB,r17 ;DEBUG test r17 + rjmp TIMERreturn ;a odejdi +TIMERsnizitILN: + dec InitLoopN ;snizit InitLoopN + mov r17,r18 ;nastaveni delky dalsiho intervalu + rjmp TIMERreturn ;odejdi +TIMERnormal: + ; STANDARTNI PRERUSENI (1s) + sbi PINB,4 ;toogle PB4 (tecka na displeji) +TIMERreturn: + reti \ No newline at end of file diff --git a/ASM_OLD/bomba/tmp/bomba2.asm b/ASM_OLD/bomba/tmp/bomba2.asm new file mode 100644 index 0000000..9de73c3 --- /dev/null +++ b/ASM_OLD/bomba/tmp/bomba2.asm @@ -0,0 +1,439 @@ +.device attiny2313 +;běží na 8MHz, ckdiv8=1 (vypnuto) + +; A L I A S Y +.def numL = r20 +.def numH = r21 +.def dispL = r22 +.def dispH = r23 +.def wOld = r24 +.def InitLoopN = r25 + +.equ CUvodniIntervaly = 1 ;pocet intervalu cekani +.equ CInitLoopMax = 10 ;delka 1 intervalu cekani (s) +.equ CUnitSecs = 1 ;delka 1 jednotky (s) - zacina se s 99 jednotkami + +; M A K R A +.MACRO TimIntEnable + ldi r16,(1< r16 + ;v r16 jsou pouze rozpojene draty: 0b00010000 + in r17, PORTD ;do r17 stav portu D + mov r18,r16 + com r18 + and r17,r18 ;v r17 vynuluj bity patrici k rozpojenym dratum (ochrana X zkratu) + out PORTD,r17 ;dej na vystup + + in r17, DDRD ;do r17 DDRD + or r17, r16 ;k vystupnim pinum pridej prave rozpojeny drat + out DDRD,r17 + + + mov r17,numL ;zpracovat numL + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + mov dispL,r16 ;presunout vysledek do vystupu displeje + + mov r17,numH ;zpracovat numH + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + mov dispH,r16 ;presunout vysledek do vystupu displeje + + ;dispL a dispH maji nastaveny obsah + + ldi r19,CUnitSecs ;nastav citac intervalu pro hlavni odpocet + + ldi r16,0b11111111 ;255 na PORTB, vse zhasnuto + out PORTB, r16 + + sei ;zacina hlavni program s casovacem, bude se pouzivat preruseni + +loop: + rcall WireTest ;test dratku + sbic PIND,2 ;pokud je spravny drat PD2 = 0, preskoc + rjmp CHCIP ;skoc na CHCIP + ;spravny drat je neprerusen + + rcall multiplex + + rjmp loop ;hlavni smycka se opakuje + +multiplex: + push r16 + push r17 +;DISPLEJ JEDNOTEK + ser r16 ;katody na +: vypnout vsechny segmenty + out PORTB,r16 + cbi PORTD,1 + sbi PORTD,0 ;PORTD = 01 - zapnout anodu pro jednotky + out PORTB,dispL ;PORTB = dispL (displej jednotek) + ldi r17,100 ;pocet smycek +dL: ;smycka + nop + nop + nop + dec r17 + brne dL + +;DISPLEJ DESITEK + ser r16 ;katody na +: vypnout vsechny segmenty + out PORTB,r16 + cbi PORTD,0 + sbi PORTD,1 ;PORTD 10 - zapnout anodu pro desitky + out PORTB,dispH ;PORTB = dispH (displej desitek) + ldi r17,100 ;pocet smycek +dH: + nop + nop + nop + dec r17 + brne dH + + ser r16 ;katody na +: vypnout vsechny segmenty + out PORTB,r16 + + pop r17 + pop r16 + ret + +; r17 konvertuj do 7segmentovyho kodu pro displej se spol. anodou DECGAFB ---> r16 +dec7seg: ;dec7seg(r17) -> r16 + clr r16 ;do r16 budeme ukladat vystup, v r17 je vstupni cislo 0-9 + + cpi r17,9 ;je r17 = 9? + brne dec7segN8 ;neni -> pokracuj s 8 + ; DEChGAFB + ldi r16, 0b01000000 ;katody DCGAFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN8: + cpi r17,8 ;je r17 = 8? + brne dec7segN7 ;neni -> pokracuj s 7 + ; DEChGAFB + ldi r16, 0b00000000 ;katody DECGAFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN7: + cpi r17,7 ;je r17 = 7? + brne dec7segN6 ;neni -> pokracuj s 6 + ; DEChGAFB + ldi r16, 0b11001010 ;katody CAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN6: + cpi r17,6 ;je r17 = 6? + brne dec7segN5 ;neni -> pokracuj s 5 + ; DEChGAFB + ldi r16, 0b00000001 ;katody DECGAF na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN5: + cpi r17,5 ;je r17 = 5? + brne dec7segN4 ;neni -> pokracuj s 4 + ; DEChGAFB + ldi r16, 0b01000001 ;katody DCGAF na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN4: + cpi r17,4 ;je r17 = 4? + brne dec7segN3 ;neni -> pokracuj s 3 + ; DEChGAFB + ldi r16, 0b11000100 ;katody CGFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN3: + cpi r17,3 ;je r17 = 3? + brne dec7segN2 ;neni -> pokracuj s 2 + ; DEChGAFB + ldi r16, 0b01000010 ;katody DCGAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN2: + cpi r17,2 ;je r17 = 2? + brne dec7segN1 ;neni -> pokracuj s 1 + ; DEChGAFB + ldi r16, 0b00100010 ;katody DEGAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN1: + cpi r17,1 ;je r17 = 1? + brne dec7segN0 ;neni -> pokracuj s 0 + ; DEChGAFB + ldi r16, 0b11001110 ;katody CB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN0: + ; DEChGAFB + ldi r16, 0b00001000 ;katody DECAFB na 0; H = 0, ale bude prepsano pri vystupu +dec7segReturn: + ret + +; TEST DRATU (nutno volat rucne) +WireTest: + push r16 + push r17 + push r18 + in r16,PIND + andi r16, 0b01111000 ;odfiltrovat anody a spravny drat + ;test dratku + cp wOld,r16 ;porovnej r16 a wOld + brsh WireTestReturn ;wOld >= r16: nebyl prestrizen dratek, nebo byl nejaky spojen + ;dratek byl prestrizen + ;ODECTENI 3 desitek + cpi numH,3 ;jsou aspon 3 desitky? + brlo WireTestMaloCasu ;nejsou-li ani 3 desitky, vynuluj vse a vybuchni + subi numH,3 ;jinak odecti 3 desitky + ;nedoslo k vynulovani? + brne WireTestReturn ;desitky > 0: odejdi bez vybuchu + cpi numL,0 ;desitky = 0. kolik je jednotek? + breq WireTestBum ;je-li 0 jednotek, vybuchni + ;0 desitek, n > 0 jednotek + rjmp WireTestReturn ;0 desitek ale n > 0 jednotek, odejdi bez vybuchu +WireTestMaloCasu: + clr numL ;vymazat jednotky + clr numH ;vymazat desitky +WireTestBum: + rcall BUM ;vybuchnout +WireTestReturn: + mov wOld, r16 ;uloz soucasny stav dratu do wOld + ;v r16 jsou pouze rozpojene draty: 0b00010000 + in r17, PORTD ;do r17 stav portu D + mov r18,r16 + com r18 + and r17,r18 ;v r17 vynuluj bity patrici k rozpojenym dratum (ochrana X zkratu) + out PORTD,r17 ;dej na vystup + + in r17, DDRD ;do r17 DDRD + or r17, r16 ;k vystupnim pinum prodej prave rozpojeny drat + out DDRD,r17 + + pop r18 + pop r17 + pop r16 + ret ;navrat + +; B U M +BUM: + ;vybuch - blikajici nuly + cli ;vypni preruseni + + ldi r17,0 ;0 jednotek + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbr r16,0b00010000 ;1 na katodu H - zhasnout tecku + mov dispL,r16 ;presunout vysledek do vystupu displeje + + + ldi r17,0 ;0 desitek + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbr r16,0b00010000 ;1 na katodu H - zhasnout tecku + mov dispH,r16 ;presunout vysledek do vystupu displeje + +BUMsmycka: ;nekonecna smycka, blikaji nuly + ldi r16, 255 +BUMsmyckaMX: + rcall multiplex + dec r16 + brne BUMsmyckaMX + + ldi r16, 255 +BUMsmyckaPAUZA: + ldi r17, 255 +BUMsmyckaPAUZAb: + dec r17 + brne BUMsmyckaPAUZAb + dec r16 + brne BUMsmyckaPAUZA + + rjmp BUMsmycka + +; C H C I P +CHCIP: + ;nekdo prestrihl spravny drat + cli + ldi dispL,0b00000000 + ldi dispH,0b00000000 + clr r16 ; citac delky cekani +CHCIPsmycka: + ldi r17, 120 ;smycka multiplexu +CHCIPsmyckaMX: + rcall multiplex + dec r17 + brne CHCIPsmyckaMX + + mov r17,r16 ; nastaveni smycky cekani + inc r16 + cpi r16,255 + brsh CHCIPsleep ;uz je to moc dlouhy, usnout + +CHCIPsmyckaPAUZA: ;r17*200 + ldi r18,255 +CHCIPsmyckaPAUZAb: + dec r18 + brne CHCIPsmyckaPAUZAb + dec r17 + brne CHCIPsmyckaPAUZA + rjmp CHCIPsmycka + +CHCIPsleep: + cli + ldi r16,(1< 0, pouziva k tomu se r19) + cpi r19,0 ;je r19 = 0? + breq TIMERsnizitILN ;je-li r19 = 0, snizit InitLoopN: jdi na TIMERsnizitILN + dec r19 ;jinak o 1 sniz r19 (intervalovy citac) + ;out PORTB,r19 ;DEBUG test r19 + rjmp TIMERreturn ;a odejdi +TIMERsnizitILN: + dec InitLoopN ;snizit InitLoopN + ldi r19,CInitLoopMax ;nastaveni delky dalsiho intervalu + rjmp TIMERreturn ;odejdi + +TIMERnormal: + ;invertuj tecky + ldi r16,0b00010000 + eor dispL,r16 + eor dispH,r16 + + ; r19 slouzi jako citac sekund + dec r19 ;sniz citac intervalu o 1 + brne TIMERreturn ;neni-li 0, odejdi + ldi r19,CUnitSecs ;nastaveni dalsiho intervalu + ; ODECTENI JEDNOTKY + cpi numL,0 ;je jednotek vic nez 0? + brne TIMERnOdectiJednotku ;jednotky > 0, jdi na odecteni jednotky + ;0 jednotek + cpi numH, 0 ;0 jednotek. je desitek vic nez 0? + brne TIMERnOdectiPresDesitku ;je-li desitek vic nez 0, jdi na odecteni desitky + ;0 jednotek i desitek + rcall BUM + +TIMERnOdectiPresDesitku: + ;0 jednotek, n > 0 desitek + dec numH ;odecti desitku + ldi numL,9 ;dej jednotky=9 + rjmp TIMERnOdecteniHotovo ;preskoc na TIMERnOdecteniHotovo + +TIMERnOdectiJednotku: + dec numL ;odecti jednotku + +TIMERnOdecteniHotovo: + cpi numH,0 ;test desitek + brne TIMERnHotovoNevybuch ; neni nula -> ok + cpi numL,0 ;test jednotek + brne TIMERnHotovoNevybuch ; neni nula -> ok + ;je nula + rcall BUM ;je nula: vybuchni + +TIMERnHotovoNevybuch: + ;uprav displej + mov r17,numL ;zpracovat numL + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbrc dispL,4 ;neni-li H nastaven na displeji, preskoc + sbr r16,0b00010000 ;nastaveni H v novem stavu displeje + mov dispL,r16 ;presunout vysledek do vystupu displeje + + mov r17,numH ;zpracovat numH + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbrc dispH,4 ;neni-li H nastaven na displeji, preskoc + sbr r16,0b00010000 ;nastaveni H v novem stavu displeje + mov dispH,r16 ;presunout vysledek do vystupu displeje + ;je obnoven stav displeje, konec preruseni + +TIMERreturn: + pop r18 ;navratit puvodni hodnoty registru + pop r17 + pop r16 + reti \ No newline at end of file diff --git a/ASM_OLD/bomba/tmp/bomba2b.asm b/ASM_OLD/bomba/tmp/bomba2b.asm new file mode 100644 index 0000000..40f69bb --- /dev/null +++ b/ASM_OLD/bomba/tmp/bomba2b.asm @@ -0,0 +1,462 @@ +.device attiny2313 +;běží na 8MHz, ckdiv8=1 (vypnuto) + +; A L I A S Y +.def numL = r20 +.def numH = r21 +.def dispL = r22 +.def dispH = r23 +.def wOld = r24 +.def InitLoopN = r25 + +.equ CUvodniIntervaly = 60 ;pocet intervalu cekani +.equ CInitLoopMax = 180 ;delka 1 intervalu cekani (s) +.equ CUnitSecs = 60 ;delka 1 jednotky (s) - zacina se s 99 jednotkami + +; M A K R A +.MACRO TimIntEnable + ldi r16,(1< r16 + ;v r16 jsou pouze rozpojene draty: 0b00010000 + in r17, PORTD ;do r17 stav portu D + mov r18,r16 + com r18 + and r17,r18 ;v r17 vynuluj bity patrici k rozpojenym dratum (ochrana X zkratu) + out PORTD,r17 ;dej na vystup + + in r17, DDRD ;do r17 DDRD + or r17, r16 ;k vystupnim pinum pridej prave rozpojeny drat + out DDRD,r17 + + ldi r19,CUnitSecs ;nastav citac intervalu pro hlavni odpocet + + ldi r16,0b11111111 ;255 na PORTB, vse zhasnuto + out PORTB, r16 + + sei ;zacina hlavni program s casovacem, bude se pouzivat preruseni + +loop: + rcall WireTest ;test dratku + + sbic PIND,2 ;pokud je spravny drat PD2 = 0, preskoc + rjmp CHCIP ;skoc na CHCIP + ;spravny drat je neprerusen + + rcall multiplex + + rjmp loop ;hlavni smycka se opakuje + +multiplex: + push r16 + push r17 +;DISPLEJ JEDNOTEK + ser r16 ;katody na +: vypnout vsechny segmenty + out PORTB,r16 + cbi PORTD,0 + sbi PORTD,1 ;PORTD = 01 - zapnout anodu pro jednotky + out PORTB,dispL ;PORTB = dispL (displej jednotek) + ldi r17,100 ;pocet smycek +dL: ;smycka + nop + nop + nop + dec r17 + brne dL + +;DISPLEJ DESITEK + ser r16 ;katody na +: vypnout vsechny segmenty + out PORTB,r16 + cbi PORTD,1 + sbi PORTD,0 ;PORTD 10 - zapnout anodu pro desitky + out PORTB,dispH ;PORTB = dispH (displej desitek) + ldi r17,100 ;pocet smycek +dH: + nop + nop + nop + dec r17 + brne dH + + ser r16 ;katody na +: vypnout vsechny segmenty + out PORTB,r16 + cbi PORTD,0 + cbi PORTD,1 + pop r17 + pop r16 + ret + +; r17 konvertuj do 7segmentovyho kodu pro displej se spol. anodou DECGAFB ---> r16 +dec7seg: ;dec7seg(r17) -> r16 + clr r16 ;do r16 budeme ukladat vystup, v r17 je vstupni cislo 0-9 + + cpi r17,9 ;je r17 = 9? + brne dec7segN8 ;neni -> pokracuj s 8 + ; DEChGAFB + ldi r16, 0b01000000 ;katody DCGAFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN8: + cpi r17,8 ;je r17 = 8? + brne dec7segN7 ;neni -> pokracuj s 7 + ; DEChGAFB + ldi r16, 0b00000000 ;katody DECGAFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN7: + cpi r17,7 ;je r17 = 7? + brne dec7segN6 ;neni -> pokracuj s 6 + ; DEChGAFB + ldi r16, 0b11001010 ;katody CAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN6: + cpi r17,6 ;je r17 = 6? + brne dec7segN5 ;neni -> pokracuj s 5 + ; DEChGAFB + ldi r16, 0b00000001 ;katody DECGAF na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN5: + cpi r17,5 ;je r17 = 5? + brne dec7segN4 ;neni -> pokracuj s 4 + ; DEChGAFB + ldi r16, 0b01000001 ;katody DCGAF na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN4: + cpi r17,4 ;je r17 = 4? + brne dec7segN3 ;neni -> pokracuj s 3 + ; DEChGAFB + ldi r16, 0b11000100 ;katody CGFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN3: + cpi r17,3 ;je r17 = 3? + brne dec7segN2 ;neni -> pokracuj s 2 + ; DEChGAFB + ldi r16, 0b01000010 ;katody DCGAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN2: + cpi r17,2 ;je r17 = 2? + brne dec7segN1 ;neni -> pokracuj s 1 + ; DEChGAFB + ldi r16, 0b00100010 ;katody DEGAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN1: + cpi r17,1 ;je r17 = 1? + brne dec7segN0 ;neni -> pokracuj s 0 + ; DEChGAFB + ldi r16, 0b11001110 ;katody CB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN0: + ; DEChGAFB + ldi r16, 0b00001000 ;katody DECAFB na 0; H = 0, ale bude prepsano pri vystupu +dec7segReturn: + ret + +; TEST DRATU (nutno volat rucne) +WireTest: + push r16 + push r17 + push r18 + in r16,PIND + andi r16, 0b01111000 ;odfiltrovat anody a spravny drat + ;test dratku + cp wOld,r16 ;porovnej r16 a wOld + brsh WireTestReturn ;wOld >= r16: nebyl prestrizen dratek, nebo byl nejaky spojen + ;dratek byl prestrizen + ;ODECTENI 3 desitek + cpi numH,3 ;jsou aspon 3 desitky? + brlo WireTestMaloCasu ;nejsou-li ani 3 desitky, vynuluj vse a vybuchni + subi numH,3 ;jinak odecti 3 desitky + + ;nedoslo k vynulovani? + brne WireTestReturn ;desitky > 0: odejdi bez vybuchu + cpi numL,0 ;desitky = 0. kolik je jednotek? + breq WireTestBum ;je-li 0 jednotek, vybuchni + ;0 desitek, n > 0 jednotek + rjmp WireTestReturn ;0 desitek ale n > 0 jednotek, odejdi bez vybuchu +WireTestMaloCasu: + clr numL ;vymazat jednotky + clr numH ;vymazat desitky +WireTestBum: + rcall BUM ;vybuchnout +WireTestReturn: + mov wOld, r16 ;uloz soucasny stav dratu do wOld + ;v r16 jsou pouze rozpojene draty: 0b00010000 + in r17, PORTD ;do r17 stav portu D + mov r18,r16 + com r18 + and r17,r18 ;v r17 vynuluj bity patrici k rozpojenym dratum (ochrana X zkratu) + out PORTD,r17 ;dej na vystup + + in r17, DDRD ;do r17 DDRD + or r17, r16 ;k vystupnim pinum prodej prave rozpojeny drat + out DDRD,r17 + + ;obnovit displej + mov r17,numL ;zpracovat numL + + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbrc dispL,4 ;neni-li H nastaven na displeji, preskoc + sbr r16,0b00010000 ;nastaveni H v novem stavu displeje + mov dispL,r16 ;presunout vysledek do vystupu displeje + + mov r17,numH ;zpracovat numL + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbrc dispH,4 ;neni-li H nastaven na displeji, preskoc + sbr r16,0b00010000 ;nastaveni H v novem stavu displeje + mov dispH,r16 ;presunout vysledek do vystupu displeje + + pop r18 + pop r17 + pop r16 + ret ;navrat + +; B U M +BUM: + ;vybuch - blikajici nuly + cli ;vypni preruseni + + ldi r17,0 ;0 jednotek + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbr r16,0b00010000 ;1 na katodu H - zhasnout tecku + mov dispL,r16 ;presunout vysledek do vystupu displeje + + + ldi r17,0 ;0 desitek + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbr r16,0b00010000 ;1 na katodu H - zhasnout tecku + mov dispH,r16 ;presunout vysledek do vystupu displeje + +BUMsmycka: ;nekonecna smycka, blikaji nuly + ldi r16, 255 +BUMsmyckaMX: + rcall multiplex + dec r16 + brne BUMsmyckaMX + + ldi r16, 255 +BUMsmyckaPAUZA: + ldi r17, 255 +BUMsmyckaPAUZAb: + dec r17 + brne BUMsmyckaPAUZAb + dec r16 + brne BUMsmyckaPAUZA + + rjmp BUMsmycka + +; C H C I P +CHCIP: + ;nekdo prestrihl spravny drat + cli + ;ldi dispL,0b00000000 + ;ldi dispH,0b00000000 + ldi r16,180 ; citac delky cekani +CHCIPsmycka: + mov r17,r16 + com r17 +CHCIPsmyckaMX: + rcall multiplex + dec r17 + brne CHCIPsmyckaMX + + mov r17,r16 ; nastaveni smycky cekani + inc r16 + cpi r16,255 + brsh CHCIPsleep ;uz je to moc dlouhy, usnout + +CHCIPsmyckaPAUZA: ;r17*200 + mov r18,r16 +CHCIPsmyckaPAUZAb: + dec r18 + brne CHCIPsmyckaPAUZAb + dec r17 + brne CHCIPsmyckaPAUZA + rjmp CHCIPsmycka + +CHCIPsleep: + cli + ldi r16,(1< 0, pouziva k tomu se r19) + cpi r19,0 ;je r19 = 0? + breq TIMERsnizitILN ;je-li r19 = 0, snizit InitLoopN: jdi na TIMERsnizitILN + dec r19 ;jinak o 1 sniz r19 (intervalovy citac) + ;out PORTB,r19 ;DEBUG test r19 + rjmp TIMERreturn ;a odejdi +TIMERsnizitILN: + dec InitLoopN ;snizit InitLoopN + ldi r19,CInitLoopMax ;nastaveni delky dalsiho intervalu + rjmp TIMERreturn ;odejdi + +TIMERnormal: + ;invertuj tecku (Low) + ldi r16,0b00010000 + eor dispL,r16 + cbr dispH,0b00010000 + sbrc dispL,4 ;do H zkopiruj tecku z L (tim se zajisti, ze budou vzdy stejne) + sbr dispH,0b00010000 + + ; r19 slouzi jako citac sekund + dec r19 ;sniz citac intervalu o 1 + brne TIMERreturn ;neni-li 0, odejdi + ldi r19,CUnitSecs ;nastaveni dalsiho intervalu + ; ODECTENI JEDNOTKY + cpi numL,0 ;je jednotek vic nez 0? + brne TIMERnOdectiJednotku ;jednotky > 0, jdi na odecteni jednotky + ;0 jednotek + cpi numH, 0 ;0 jednotek. je desitek vic nez 0? + brne TIMERnOdectiPresDesitku ;je-li desitek vic nez 0, jdi na odecteni desitky + ;0 jednotek i desitek + rcall BUM + +TIMERnOdectiPresDesitku: + ;0 jednotek, n > 0 desitek + dec numH ;odecti desitku + ldi numL,9 ;dej jednotky=9 + rjmp TIMERnOdecteniHotovo ;preskoc na TIMERnOdecteniHotovo + +TIMERnOdectiJednotku: + dec numL ;odecti jednotku + +TIMERnOdecteniHotovo: + cpi numH,0 ;test desitek + brne TIMERnHotovoNevybuch ; neni nula -> ok + cpi numL,0 ;test jednotek + brne TIMERnHotovoNevybuch ; neni nula -> ok + ;je nula + rcall BUM ;je nula: vybuchni + +TIMERnHotovoNevybuch: + ;uprav displej + mov r17,numL ;zpracovat numL + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbrc dispL,4 ;neni-li H nastaven na displeji, preskoc + sbr r16,0b00010000 ;nastaveni H v novem stavu displeje + mov dispL,r16 ;presunout vysledek do vystupu displeje + + mov r17,numH ;zpracovat numH + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + sbrc dispH,4 ;neni-li H nastaven na displeji, preskoc + sbr r16,0b00010000 ;nastaveni H v novem stavu displeje + mov dispH,r16 ;presunout vysledek do vystupu displeje + ;je obnoven stav displeje, konec preruseni + +TIMERreturn: + pop r18 ;navratit puvodni hodnoty registru + pop r17 + pop r16 + reti \ No newline at end of file diff --git a/ASM_OLD/bomba/tmp/bomba_mx.asm b/ASM_OLD/bomba/tmp/bomba_mx.asm new file mode 100644 index 0000000..45e5e2b --- /dev/null +++ b/ASM_OLD/bomba/tmp/bomba_mx.asm @@ -0,0 +1,180 @@ +.device attiny2313 +;běží na 8MHz, ckdiv8=1 (vypnuto) + +; A L I A S Y +.def numL = r20 +.def numH = r21 +.def dispL = r22 +.def dispH = r23 +.def wOld = r24 +.def InitLoopN = r25 + +.equ CUvodniIntervaly = 1 ;pocet intervalu cekani +.equ CInitLoopMax = 10 ;delka 1 intervalu cekani (s) +.equ CUnitSecs = 1 ;delka 1 jednotky (s) - zacina se s 99 jednotkami + +; Z A C A T E K P R O G R A M U +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu + +.org 0x0010 +; NASTAVENI PO RESETU +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + +; Nastaveni portu + ldi r16,0b11111111 ;smer portu B - vystupni: segmenty (=katody) + out DDRB,r16 + ldi r16,0b11111111 ;vse v PORTB na 1, segmenty zhasnuty + out PORTB,r16 + + ldi r16,0b00000011 ;smer portu D - PB0 a PB1 jsou vystupni - spinaji anody displeje. Zbytek: dratky. PB2 je spravny drat + out DDRD,r16 + ldi r16,0b11111100 ;enable pullup, 0 na anody = zhasnout. dratky pripojeny na GND, s pullupy + out PORTD,r16 + + sei ;Global Interrupt Enable + +; P R I P R A V Y N A H L A V N I P R O G R A M + cli ;vypni preruseni - skoncila uvodni smycka, zacina odpocitavani + ;vycisteni registru + clr r16 ;vynuluj registry + clr r17 + clr r18 + clr r19 + + ;cas 99 + ldi numL,3 + ldi numH,7 + + ;vychozi rozlozeni dratu + in wOld,PORTD ;nastaveni stareho rozlozeni dratu + andi wOld,0b01111000 ;ignorovat anody a spravny drat + + mov r17,numL ;zpracovat numL + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + mov dispL,r16 ;presunout vysledek do vystupu displeje + + + mov r17,numH ;zpracovat numH + rcall dec7seg ;najdi 7seg. reprezentaci jednotek a dej ji do r16 + mov dispH,r16 ;presunout vysledek do vystupu displeje + + ;dispL a dispH maji nastaveny obsah + + ldi r19,CUnitSecs ;nastav citac intervalu pro hlavni odpocet + + ldi r16,0b111111 ;255 na PORTB, vse zhasnuto + out PORTB, r16 + + sei ;zacina hlavni program s casovacem, bude se pouzivat preruseni + +loop: + rcall multiplex + + rjmp loop ;hlavni smycka se opakuje + +multiplex: + push r16 + push r17 +;DISPLEJ JEDNOTEK + ser r16 ;katody na +: vypnout vsechny segmenty + out PORTB,r16 + + cbi PORTD,1 + sbi PORTD,0 ;PORTD = 01 - zapnout anodu pro jednotky + out PORTB,dispL ;PORTB = dispL (displej jednotek) + ldi r17,100 ;pocet smycek +dL: ;smycka + nop + nop + nop + dec r17 + brne dL + +;DISPLEJ DESITEK + ser r16 ;katody na +: vypnout vsechny segmenty + out PORTB,r16 + cbi PORTD,0 + sbi PORTD,1 ;PORTD 10 - zapnout anodu pro desitky + out PORTB,dispH ;PORTB = dispH (displej desitek) + ldi r17,100 ;pocet smycek +dH: + nop + nop + nop + dec r17 + brne dH + + ser r16 ;katody na +: vypnout vsechny segmenty + out PORTB,r16 + + pop r17 + pop r16 + ret + +; r17 konvertuj do 7segmentovyho kodu pro displej se spol. anodou DECGAFB ---> r16 +dec7seg: ;dec7seg(r17) -> r16 + clr r16 ;do r16 budeme ukladat vystup, v r17 je vstupni cislo 0-9 + + cpi r17,9 ;je r17 = 9? + brne dec7segN8 ;neni -> pokracuj s 8 + ; DEChGAFB + ldi r16, 0b01000000 ;katody DCGAFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN8: + cpi r17,8 ;je r17 = 8? + brne dec7segN7 ;neni -> pokracuj s 7 + ; DEChGAFB + ldi r16, 0b00000000 ;katody DECGAFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN7: + cpi r17,7 ;je r17 = 7? + brne dec7segN6 ;neni -> pokracuj s 6 + ; DEChGAFB + ldi r16, 0b11001010 ;katody CAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN6: + cpi r17,6 ;je r17 = 6? + brne dec7segN5 ;neni -> pokracuj s 5 + ; DEChGAFB + ldi r16, 0b00000001 ;katody DECGAF na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN5: + cpi r17,5 ;je r17 = 5? + brne dec7segN4 ;neni -> pokracuj s 4 + ; DEChGAFB + ldi r16, 0b01000001 ;katody DCGAF na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN4: + cpi r17,4 ;je r17 = 4? + brne dec7segN3 ;neni -> pokracuj s 3 + ; DEChGAFB + ldi r16, 0b11000100 ;katody CGFB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN3: + cpi r17,3 ;je r17 = 3? + brne dec7segN2 ;neni -> pokracuj s 2 + ; DEChGAFB + ldi r16, 0b01000010 ;katody DCGAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN2: + cpi r17,2 ;je r17 = 2? + brne dec7segN1 ;neni -> pokracuj s 1 + ; DEChGAFB + ldi r16, 0b00100010 ;katody DEGAB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN1: + cpi r17,1 ;je r17 = 1? + brne dec7segN0 ;neni -> pokracuj s 0 + ; DEChGAFB + ldi r16, 0b11001110 ;katody CB na 0; H = 0, ale bude prepsano pri vystupu + rjmp dec7segReturn +dec7segN0: + ; DEChGAFB + ldi r16, 0b00001000 ;katody DECAFB na 0; H = 0, ale bude prepsano pri vystupu +dec7segReturn: + ret \ No newline at end of file diff --git a/ASM_OLD/pokusy/slowdown/sldn.asm b/ASM_OLD/pokusy/slowdown/sldn.asm new file mode 100644 index 0000000..bb2d8f6 --- /dev/null +++ b/ASM_OLD/pokusy/slowdown/sldn.asm @@ -0,0 +1,80 @@ +;pracuje jako 5-ti bitovy binarni citac +;vystupy 3 a 4 jsou prohozene kvuli desce +.device attiny13 +.def i=r20 ;prvni citac, cita jen pro zpomaleni +.def j=r21 ;druhy citac, cita az do TOP, pak se oba vynulujou +.def top=r22 ;maximalni hodnota pro citac j -> vynulovani a dalsi faze + +.org 0x0000 ;RESET + rjmp RESET ;skok na start po resetu +.org 0x0006 ;TC0 overflow A + rjmp CASOVAC + + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + LDI r16,0b11111110 ;smer pinu + OUT DDRB,r16 + + LDI r16,0 ;PullUpy + OUT PORTB,r16 + + ldi r16,(1< jdi na loop + ldi top,1 ;ANO -> nastavit top na 1 a vymazat citace + clr i + clr j +CEKANI: + ldi top,2 ;cekame na uvolneni, zatim se prepina s top=2 + in r16,PINB ;kontrola jestli je PINB0 vypnutej + sbrc r16, 0 + rjmp CEKANI + rjmp LOOP ;skok na loop + +CASOVAC: + cpi top,40 + breq CASEND + inc i + cpi i,10 + brne CASEND + clr i + inc j + cp j,top + brne CASEND + inc top + clr i + clr j + in r16,PORTB + sbrs r16,1 + sbi PORTB,1 + sbrc r16,1 + cbi PORTB,1 +CASEND: + RETI + diff --git a/ASM_OLD/t13_PASTICKA_morse/t13_PASTICKA_morse.asm b/ASM_OLD/t13_PASTICKA_morse/t13_PASTICKA_morse.asm new file mode 100644 index 0000000..907e65b --- /dev/null +++ b/ASM_OLD/t13_PASTICKA_morse/t13_PASTICKA_morse.asm @@ -0,0 +1,96 @@ +;pokus + +.include "tn13def.inc" + +.def CNTt=r24 ;CNTt pro tecku +.def CNTc=r25 ;CNTc pro carku +.def POM=r16 + +.org 0x0000 ;zacatek kodu + RJMP RESET ;skok na start po resetu + + +.org 0x0010 ;zacatek vlastniho programu + +RESET: + LDI POM,low(RAMEND) ;nastavi stack pointer + OUT SPL,POM + + LDI POM,0B00000100 ;nastavi vystupni piny + OUT DDRB,POM + ;PB0 tecka + ;PB1 carka + ;PB2 vystup + +LOOP: + IN r16,PINB ;dej do r16 stav PINB + SBRS r16,0 ;neni-li bit 0 v r16 1, vymaz CNTt + CLR CNTt ; + INC CNTt ;CNTt+1 + + IN r16,PINB ;dej do r16 stav PINB + SBRS r16,1 ;neni-li bit 1 v r16 1, vymaz CNTc + CLR CNTc ; + INC CNTc ;CNTc+1 + + CPI CNTt,100 ;je-li CNTt=100, + BREQ TECKA ;jdi na TECKA + + CPI CNTc,100 ;je-li CNTc=100, + BREQ CARKA + + RJMP LOOP ;jsi na LOOP + + +KONEC: + IN r16,PINB ;r16=PINB + SBRC r16,0b00000001 ;je-li bit 0 v r16 0, praskoc nasledujici + RJMP KONEC ;jdi na KONEC + RJMP LOOP ;jdi na LOOP + + +TECKA: + LDI r16,0b00000100 ;na PORTB dej 0b00000100 + OUT PORTB,r16 + RCALL CEKANI ;udelej 1x cekani + CLR r16 ;vynuluj r16 a dej ho do PORTB + OUT PORTB,r16 + RCALL CEKANI ;udelej 1x cekani - mezera mezi znaky + RJMP LOOP ;navrat na LOOP + +CARKA: + LDI r16,0b00000100 ;na PORTB dej 0b00000100 + OUT PORTB,r16 + RCALL CEKANI ;udelej 3x cekani + RCALL CEKANI + RCALL CEKANI + CLR r16 ;vymaz r16 a dej ho na PORTB + OUT PORTB,r16 + RCALL CEKANI ;udelej 1x cekani - mezera mezi znaky + RJMP LOOP + + +CEKANI: + PUSH r18 ;ulozit pouzivane registry do STACKU + PUSH r17 + PUSH r16 + + LDI r16, 30 +L1: DEC r16 ;cekaci smycka vnejsi - zacatek + LDI r17, 100 +L2: DEC r17 ; cekaci smycka stredni - zacatek + LDI r18, 130 +L3: DEC r18 ; cekaci smycka vnitrni - zacatek + CPI r18, 0 + BRNE L3 ; cekaci smycka vnitrni - konec + CPI r17, 0 + BRNE L2 ; cekaci smycka stredni - konec + CPI r16, 0 + BRNE L1 ;cekaci smycka vnejsi - konec + + POP r16 ;nacist puvodni obsah registru ze STACKU + POP r17 + POP r18 + + RET ;navrat z rutiny do kodu + diff --git a/ASM_OLD/timer/citac/timer.asm b/ASM_OLD/timer/citac/timer.asm new file mode 100644 index 0000000..4284444 --- /dev/null +++ b/ASM_OLD/timer/citac/timer.asm @@ -0,0 +1,130 @@ +;pracuje jako 5-ti bitovy binarni citac +;vystupy 3 a 4 jsou prohozene kvuli desce +.device attiny13 +.def ze=r19 +.def pr=r20 +.def sc=r21 +.def te=r22 +.def kv=r23 +.def qi=r24 +.def divf=r25 + +.org 0x0000 ;RESET + RJMP RESET ;skok na start po resetu +.org 0x0006 ;TC0 overflow + RJMP CASOVAC +.org 0x0007 + rjmp casovac + +.org 0x0010 +RESET: + LDI r16,low(RAMEND) ;nastavi stack pointer + OUT SPL,r16 + + CLI ;zakazat vsechna preruseni + + LDI r16,0b00011111 ;výstupní = 1 + OUT DDRB,r16 + + LDI r16,0 ;vypnout PullUp + OUT PORTB,r16 + + ldi r16,0b00000011 ;TC0 prescaler na 64 + out TCCR0B,r16 ;4800000/64=75000Hz + + ldi r16,0b00000010 ;TC0 je v rezimu CTC + out TCCR0A,r16 + + ldi r16,75 ;Compare Match 0 A je 75 + out OCR0A,r16 ;75000/75=1000Hz interrupt + + in r16, TIMSK0 + ORI r16, 0b00000100 + out timsk0,r16 + + clr r16 + clr r17 + clr r18 + clr pr + clr sc + clr te + clr kv + ldi divf,2 + SEI ;Global Interrupt Enable + +LOOP: + rjmp LOOP ;skok na loop + +CASOVAC: + inc ze + cpi ze,100 + BRNE CASEND + clr ze + INC pr + CPi pr,10 + BRNE CASEND + clr pr + INC sc + + in r16,PORTB + SBRC r16,0 + cbi portb,0 + SBRS r16,0 + sbi portb,0 + + CP sc,divf + BRNE CASEND + clr pr + clr sc + inc te + + in r16,PORTB + SBRC r16,1 + cbi portb,1 + SBRS r16,1 + sbi portb,1 + + CP te,divf + BRNE CASEND + clr pr + clr sc + clr te + inc kv + + in r16,PORTB + SBRC r16,2 + cbi portb,2 + SBRS r16,2 + sbi portb,2 + + CP kv,divf + BRNE CASEND + clr pr + clr sc + clr te + clr kv + inc qi + + in r16,PORTB + SBRC r16,4 + cbi portb,4 + SBRS r16,4 + sbi portb,4 + CP qi,divf + BRNE CASEND + clr pr + clr sc + clr te + clr kv + clr qi + + in r16,PORTB + SBRC r16,3 + cbi portb,3 + SBRS r16,3 + sbi portb,3 + + +CASEND: + RETI + diff --git a/ASM_OLD/utility/3-kanalova simulovana PWM/rgbdriv.asm b/ASM_OLD/utility/3-kanalova simulovana PWM/rgbdriv.asm new file mode 100644 index 0000000..c54e7f1 --- /dev/null +++ b/ASM_OLD/utility/3-kanalova simulovana PWM/rgbdriv.asm @@ -0,0 +1,87 @@ +;pracuje jako 5-ti bitovy binarni citac +;vystupy 3 a 4 jsou prohozene kvuli desce +.device attiny13 +.def cnt=r18 +.def cm0=r19 +.def cm1=r20 +.def cm2=r21 +.def chc=r22 +.def dirs=r23 + +.org 0x0000 ;RESET + RJMP RESET ;skok na start po resetu +.org 0x0006 ;TC0 overflow + RJMP CASOVAC +.org 0x0007 + rjmp casovac + +.org 0x0010 +RESET: + ldi r16,low(RAMEND) ;nastavi stack pointer + out SPL,r16 + + cli ;zakazat vsechna preruseni + + ldi r16,0b00011111 ;výstupní = 1 + out DDRB,r16 + + ldi r16,0 ;vypnout PullUp + out PORTB,r16 + + ldi r16,0b00000011 ;TC0 prescaler na 64 + out TCCR0B,r16 ;4800000/32 + + ldi r16,0b00000010 ;TC0 je v rezimu CTC + out TCCR0A,r16 + + ldi r16,20 ;overflow 22 + out OCR0A,r16 ; + + in r16, TIMSK0 ;interrupt enable + ORI r16, 0b00000100 + out timsk0,r16 + + ldi cm0,32 ;R + ldi cm1,16 ;G + ldi cm2,0 ;B + clr cnt + clr chc + ldi dirs,0b00000000 + + sei ;zapnout globalne interrupt + +LOOP: + rjmp LOOP ;skok na loop + +CASOVAC: + inc cnt + + cpi cnt,32 + brne nov + + clr cnt + cbi portb,0 + cbi portb,1 + cbi portb,2 + inc chc + cpi chc,255 + brne nov + + + +nov: + cp cnt,cm0 + brne not0 + sbi portb,0 + +not0: cp cnt,cm1 + brne not1 + sbi portb,1 + +not1: cp cnt,cm2 + brne not2 + sbi portb,2 +not2: +CASEND: + reti + diff --git a/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/AvrBuild.bat b/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/AvrBuild.bat new file mode 100644 index 0000000..b7bbed5 --- /dev/null +++ b/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/AvrBuild.bat @@ -0,0 +1,2 @@ +@ECHO OFF +"C:\Program Files\Atmel\AVR Tools\AvrAssembler2\avrasm2.exe" -S "C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\labels.tmp" -fI -W+ie -o "C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.hex" -d "C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.obj" -e "C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.eep" -m "C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.map" "C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm" diff --git a/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.aps b/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.aps new file mode 100644 index 0000000..2309df2 --- /dev/null +++ b/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.aps @@ -0,0 +1 @@ +EEPROM_nahoda14-Sep-2007 21:26:1514-Sep-2007 21:30:30208014-Sep-2007 21:26:1544, 13, 0, 528Atmel AVR AssemblerEEPROM_nahoda.objC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_nahoda\EEPROM_nahoda.asmC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_nahoda\AVR SimulatorATtiny13.xmlfalseR00R01R02R03R04R05R06R07R08R09R10R11R12R13R14R15R16R17R18R19R20R21R22R23R24R25R26R27R28R29R30R31Auto000C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_nahoda\EEPROM_nahoda.asmC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_nahoda\EEPROM_nahoda.asmC:\Program Files\Atmel\AVR Tools\AvrAssembler\AppnotesIEEPROM_nahoda00100,C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\EEPROM_nahoda.asm00000EEPROM_nahoda.asm1197 71 1026 566108 0Maximized diff --git a/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.asm b/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.asm new file mode 100644 index 0000000..c86d924 --- /dev/null +++ b/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.asm @@ -0,0 +1,108 @@ +;EEPROM_nahoda +;pokud je stisknuto tlacitko PB0, +;hrozne rychle se z EEPROM ctou hodnoty na adresach 0-3 +;a davaji se na PORTB +;Vypada to pak, jako by se pri drzeni tlacitka rozsvitily obe ledky PB1 a PB2, +;ale po pusteni tlacitka na nich zustane 'nahodna' kombinace 1 a 0. + +.include "tn13def.inc" + +.def CNT=r20 + +.cseg +.org 0x0000 ;zacatek kodu + RJMP RESET ;skok na start po resetu + + +.org 0x0010 ;zacatek vlastniho programu + +RESET: + LDI r16,low(RAMEND) ;nastavi stack pointer + OUT SPL,r16 + + LDI r16,0b00000110 ;nastavi I/O nohy + OUT DDRB,r16 + +LOOP: + RCALL cekani ;zavolej cekani pro zpomaleni celeho programu + IN r16,PINB ;Porovnej stav PB0 s 1 a pokud neni rovno, jdi na LOOP + ANDI r16,0b00000001 + CPI r16,0b00000001 + BRNE LOOP + + MOV r17,CNT ;do r17 (adresovy registr) dej CNT + RCALL EEread ;zavolej cteni z EEPROM - adresa je r17, do r16 se ulozi data. + OUT PORTB,r16 ;posli data z r16 ven + + INC CNT ;inkrementuj CNT + CPI CNT,4 ;pokud je mensi nez 4, jdi na loop + BRLT LOOP + CLR CNT ;jinak vynuluj CNT + RJMP LOOP ;a jdi na LOOP + +; +;EEread je funkce pro cteni z EEPROM +;do r17 se ulozi adresa, +;zavola se EEread +;a v r16 se objevi hodnota, ktera je na adrese. +EEread: + SBIC EECR,1 + RJMP EEread ;cekani dokud neni EEPROM ready + + OUT EEARL, r17 ;ulozit adresu z r17 + SBI EECR,0 ;nastavenim EERE zacina cteni + IN r16,EEDR ;cteni dat z EEDR do r16 + + RET +;zapis do EEPROM, v R17 je ADRESA, v R16 jsou DATA +EEwrite: + SBIC EECR,EEPE + RJMP EEwrite ;cekani dokud neni EEPROM ready + + LDI r16, 0 ;nastavit programovaci mod + OUT EECR, r16 + + OUT EEARL, r17 ;dej adresu z r17 do EEARL + OUT EEDR, r16 ;dej data z r16 do EEDR + SBI EECR,EEMPE ;master program enable + SBI EECR,EEPE ;program enable + + RET + +;cekaci cyklus +CEKANI: + PUSH r18 ;ulozit pouzivane registry do STACKU + PUSH r17 + PUSH r16 + + LDI r16, 3 +L1: DEC r16 ;cekaci smycka vnejsi - zacatek + LDI r17, 3 +L2: DEC r17 ; cekaci smycka stredni - zacatek + LDI r18, 3 +L3: DEC r18 ; cekaci smycka vnitrni - zacatek + CPI r18, 0 + BRNE L3 ; cekaci smycka vnitrni - konec + CPI r17, 0 + BRNE L2 ; cekaci smycka stredni - konec + CPI r16, 0 + BRNE L1 ;cekaci smycka vnejsi - konec + + POP r16 ;nacist puvodni obsah registru ze STACKU + POP r17 + POP r18 + + RET ;navrat do hlavniho programu + +.eseg ;zacatek ESEGu +.org 0x00 ;adresa 0 +.DB 0b00000000 ;tady se definujou byty postupne podle adres. +.DB 0b00000010 +.DB 0b00000100 +.DB 0b00000110 + + + + + + diff --git a/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.eep b/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.eep new file mode 100644 index 0000000..5a68132 --- /dev/null +++ b/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.eep @@ -0,0 +1,2 @@ +:0400000000020406F0 +:00000001FF diff --git a/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.map b/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.map new file mode 100644 index 0000000..de3cef2 --- /dev/null +++ b/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/EEPROM_nahoda.map @@ -0,0 +1,289 @@ + +AVRASM ver. 2.1.12 C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm Fri Sep 14 21:26:55 2007 + + +EQU SIGNATURE_000 0000001e +EQU SIGNATURE_001 00000090 +EQU SIGNATURE_002 00000007 +EQU SREG 0000003f +EQU SPL 0000003d +EQU GIMSK 0000003b +EQU GIFR 0000003a +EQU TIMSK0 00000039 +EQU TIFR0 00000038 +EQU SPMCSR 00000037 +EQU OCR0A 00000036 +EQU MCUCR 00000035 +EQU MCUSR 00000034 +EQU TCCR0B 00000033 +EQU TCNT0 00000032 +EQU OSCCAL 00000031 +EQU TCCR0A 0000002f +EQU DWDR 0000002e +EQU OCR0B 00000029 +EQU GTCCR 00000028 +EQU CLKPR 00000026 +EQU WDTCR 00000021 +EQU EEAR 0000001e +EQU EEDR 0000001d +EQU EECR 0000001c +EQU PORTB 00000018 +EQU DDRB 00000017 +EQU PINB 00000016 +EQU PCMSK 00000015 +EQU DIDR0 00000014 +EQU ACSR 00000008 +EQU ADMUX 00000007 +EQU ADCSRA 00000006 +EQU ADCH 00000005 +EQU ADCL 00000004 +EQU ADCSRB 00000003 +EQU MUX0 00000000 +EQU MUX1 00000001 +EQU ADLAR 00000005 +EQU REFS0 00000006 +EQU ADPS0 00000000 +EQU ADPS1 00000001 +EQU ADPS2 00000002 +EQU ADIE 00000003 +EQU ADIF 00000004 +EQU ADATE 00000005 +EQU ADSC 00000006 +EQU ADEN 00000007 +EQU ADCH0 00000000 +EQU ADCH1 00000001 +EQU ADCH2 00000002 +EQU ADCH3 00000003 +EQU ADCH4 00000004 +EQU ADCH5 00000005 +EQU ADCH6 00000006 +EQU ADCH7 00000007 +EQU ADCL0 00000000 +EQU ADCL1 00000001 +EQU ADCL2 00000002 +EQU ADCL3 00000003 +EQU ADCL4 00000004 +EQU ADCL5 00000005 +EQU ADCL6 00000006 +EQU ADCL7 00000007 +EQU ADTS0 00000000 +EQU ADTS1 00000001 +EQU ADTS2 00000002 +EQU ADC1D 00000002 +EQU ADC3D 00000003 +EQU ADC2D 00000004 +EQU ADC0D 00000005 +EQU ACME 00000006 +EQU ACIS0 00000000 +EQU ACIS1 00000001 +EQU ACIE 00000003 +EQU ACI 00000004 +EQU ACO 00000005 +EQU ACBG 00000006 +EQU AINBG 00000006 +EQU ACD 00000007 +EQU AIN0D 00000000 +EQU AIN1D 00000001 +EQU EEARL 0000001e +EQU EEAR0 00000000 +EQU EEAR1 00000001 +EQU EEAR2 00000002 +EQU EEAR3 00000003 +EQU EEAR4 00000004 +EQU EEAR5 00000005 +EQU EEDR0 00000000 +EQU EEDR1 00000001 +EQU EEDR2 00000002 +EQU EEDR3 00000003 +EQU EEDR4 00000004 +EQU EEDR5 00000005 +EQU EEDR6 00000006 +EQU EEDR7 00000007 +EQU EERE 00000000 +EQU EEWE 00000001 +EQU EEPE 00000001 +EQU EEMWE 00000002 +EQU EEMPE 00000002 +EQU EERIE 00000003 +EQU EEPM0 00000004 +EQU EEPM1 00000005 +EQU SREG_C 00000000 +EQU SREG_Z 00000001 +EQU SREG_N 00000002 +EQU SREG_V 00000003 +EQU SREG_S 00000004 +EQU SREG_H 00000005 +EQU SREG_T 00000006 +EQU SREG_I 00000007 +EQU SP0 00000000 +EQU SP1 00000001 +EQU SP2 00000002 +EQU SP3 00000003 +EQU SP4 00000004 +EQU SP5 00000005 +EQU SP6 00000006 +EQU SP7 00000007 +EQU ISC00 00000000 +EQU ISC01 00000001 +EQU SM0 00000003 +EQU SM1 00000004 +EQU SE 00000005 +EQU PUD 00000006 +EQU PORF 00000000 +EQU EXTRF 00000001 +EQU BORF 00000002 +EQU WDRF 00000003 +EQU CAL0 00000000 +EQU CAL1 00000001 +EQU CAL2 00000002 +EQU CAL3 00000003 +EQU CAL4 00000004 +EQU CAL5 00000005 +EQU CAL6 00000006 +EQU CLKPS0 00000000 +EQU CLKPS1 00000001 +EQU CLKPS2 00000002 +EQU CLKPS3 00000003 +EQU CLKPCE 00000007 +EQU DWDR0 00000000 +EQU DWDR1 00000001 +EQU DWDR2 00000002 +EQU DWDR3 00000003 +EQU DWDR4 00000004 +EQU DWDR5 00000005 +EQU DWDR6 00000006 +EQU DWDR7 00000007 +EQU SPMEN 00000000 +EQU PGERS 00000001 +EQU PGWRT 00000002 +EQU RFLB 00000003 +EQU CTPB 00000004 +EQU PORTB0 00000000 +EQU PB0 00000000 +EQU PORTB1 00000001 +EQU PB1 00000001 +EQU PORTB2 00000002 +EQU PB2 00000002 +EQU PORTB3 00000003 +EQU PB3 00000003 +EQU PORTB4 00000004 +EQU PB4 00000004 +EQU PORTB5 00000005 +EQU PB5 00000005 +EQU DDB0 00000000 +EQU DDB1 00000001 +EQU DDB2 00000002 +EQU DDB3 00000003 +EQU DDB4 00000004 +EQU DDB5 00000005 +EQU PINB0 00000000 +EQU PINB1 00000001 +EQU PINB2 00000002 +EQU PINB3 00000003 +EQU PINB4 00000004 +EQU PINB5 00000005 +EQU GICR 0000003b +EQU PCIE 00000005 +EQU INT0 00000006 +EQU PCIF 00000005 +EQU INTF0 00000006 +EQU PCINT0 00000000 +EQU PCINT1 00000001 +EQU PCINT2 00000002 +EQU PCINT3 00000003 +EQU PCINT4 00000004 +EQU PCINT5 00000005 +EQU TOIE0 00000001 +EQU OCIE0A 00000002 +EQU OCIE0B 00000003 +EQU TOV0 00000001 +EQU OCF0A 00000002 +EQU OCF0B 00000003 +EQU OCR0_0 00000000 +EQU OCR0_1 00000001 +EQU OCR0_2 00000002 +EQU OCR0_3 00000003 +EQU OCR0_4 00000004 +EQU OCR0_5 00000005 +EQU OCR0_6 00000006 +EQU OCR0_7 00000007 +EQU WGM00 00000000 +EQU WGM01 00000001 +EQU COM0B0 00000004 +EQU COM0B1 00000005 +EQU COM0A0 00000006 +EQU COM0A1 00000007 +EQU TCNT0_0 00000000 +EQU TCNT0_1 00000001 +EQU TCNT0_2 00000002 +EQU TCNT0_3 00000003 +EQU TCNT0_4 00000004 +EQU TCNT0_5 00000005 +EQU TCNT0_6 00000006 +EQU TCNT0_7 00000007 +EQU CS00 00000000 +EQU CS01 00000001 +EQU CS02 00000002 +EQU WGM02 00000003 +EQU FOC0B 00000006 +EQU FOC0A 00000007 +EQU PSR10 00000000 +EQU TSM 00000007 +EQU WDP0 00000000 +EQU WDP1 00000001 +EQU WDP2 00000002 +EQU WDE 00000003 +EQU WDCE 00000004 +EQU WDP3 00000005 +EQU WDTIE 00000006 +EQU WDTIF 00000007 +EQU LB1 00000000 +EQU LB2 00000001 +EQU CKSEL0 00000000 +EQU CKSEL1 00000001 +EQU SUT0 00000002 +EQU SUT1 00000003 +EQU CKDIV8 00000004 +EQU WDTON 00000005 +EQU EESAVE 00000006 +EQU SPIEN 00000007 +EQU RSTDISBL 00000000 +EQU BODLEVEL0 00000001 +EQU BODLEVEL1 00000002 +EQU DWEN 00000003 +EQU SELFPRGEN 00000004 +DEF XH r27 +DEF XL r26 +DEF YH r29 +DEF YL r28 +DEF ZH r31 +DEF ZL r30 +EQU FLASHEND 000001ff +EQU IOEND 0000003f +EQU SRAM_START 00000060 +EQU SRAM_SIZE 00000040 +EQU RAMEND 0000009f +EQU XRAMEND 00000000 +EQU E2END 0000003f +EQU EEPROMEND 0000003f +EQU EEADRBITS 00000006 +EQU PAGESIZE 00000010 +EQU INT0addr 00000001 +EQU PCI0addr 00000002 +EQU OVF0addr 00000003 +EQU ERDYaddr 00000004 +EQU ACIaddr 00000005 +EQU OC0Aaddr 00000006 +EQU OC0Baddr 00000007 +EQU WDTaddr 00000008 +EQU ADCCaddr 00000009 +EQU INT_VECTORS_SIZE 0000000a +DEF CNT r20 +CSEG RESET 00000010 +CSEG LOOP 00000014 +CSEG cekani 00000030 +CSEG EEread 00000021 +CSEG EEwrite 00000027 +CSEG L1 00000034 +CSEG L2 00000036 +CSEG L3 00000038 diff --git a/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/eeprom_nahoda.aws b/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/eeprom_nahoda.aws new file mode 100644 index 0000000..fa4a469 --- /dev/null +++ b/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/eeprom_nahoda.aws @@ -0,0 +1 @@ + diff --git a/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/labels.tmp b/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/labels.tmp new file mode 100644 index 0000000..56bc899 --- /dev/null +++ b/ASM_OLD/utility/EEPROM/t13-EEPROM_nahoda/labels.tmp @@ -0,0 +1,32 @@ + + 2.1.12 + ATtiny13 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda + + C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes + + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm + + C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\tn13def.inc + + + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.obj + + + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.hex + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.eep + + + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.map + + + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm19 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm26 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm73 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm48 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm58 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm79 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm81 + C:\Documents and Settings\Ondra\Plocha\AVR\EEPROM_nahoda\EEPROM_nahoda.asm83 + + diff --git a/ASM_OLD/utility/EEPROM/t13-EEPROM_read/AvrBuild.bat b/ASM_OLD/utility/EEPROM/t13-EEPROM_read/AvrBuild.bat new file mode 100644 index 0000000..f8d9506 --- /dev/null +++ b/ASM_OLD/utility/EEPROM/t13-EEPROM_read/AvrBuild.bat @@ -0,0 +1,2 @@ +@ECHO OFF +"C:\Program Files\Atmel\AVR Tools\AvrAssembler2\avrasm2.exe" -S "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\labels.tmp" -fI -W+ie -o "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.hex" -d "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.obj" -e "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.eep" -m "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.map" "C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asm" diff --git a/ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.aps b/ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.aps new file mode 100644 index 0000000..16b6aa7 --- /dev/null +++ b/ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.aps @@ -0,0 +1 @@ +06-Sep-2007 20:57:1313-Sep-2007 21:45:27006-Sep-2007 20:57:1344, 13, 0, 52808-Sep-2007 21:57:3208-Sep-2007 21:57:32008-Sep-2007 21:57:3244, 13, 0, 528Atmel AVR Assembler208EEPROM_access10-Sep-2007 21:52:0110-Sep-2007 21:52:01208010-Sep-2007 21:52:0144, 13, 0, 528Atmel AVR AssemblerEEPROM_access.objC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asmC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\ATtiny13falseR00R01R02R03R04R05R06R07R08R09R10R11R12R13R14R15R16R17R18R19R20R21R22R23R24R25R26R27R28R29R30R31ATmega16.xmlAVR SimulatorAVR SimulatorATtiny13.xmlAuto000C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asmC:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asmC:\Program Files\Atmel\AVR Tools\AvrAssembler\AppnotesIEEPROM_access00100,C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\EEPROM_access.asm00000EEPROM_access.asm257197 71 1026 566100 0Maximized diff --git a/ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.asm b/ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.asm new file mode 100644 index 0000000..9a31283 --- /dev/null +++ b/ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.asm @@ -0,0 +1,49 @@ +;program cyklicky nacita z EEPROM adresy:0x00 hodnotu (0b00000101) a dava ji do PORTB. + +.include "tn13def.inc" + +.cseg +.org 0x0000 ;zacatek kodu + RJMP RESET ;skok na start po resetu + + +.org 0x0010 ;zacatek vlastniho programu + +RESET: + LDI r16,low(RAMEND) ;nastavi stack pointer + OUT SPL,r16 + + LDI r16,0b00000111 + OUT DDRB,r16 + +LOOP: + LDI r17,0 + RCALL EEread + OUT PORTB,r16 + RJMP LOOP + +; +;EEread je funkce pro cteni z EEPROM +;do r17 se ulozi adresa, +;zavola se EEread +;a v r16 se objevi hodnota, ktera je na adrese. +EEread: + SBIC EECR,1 + RJMP EEread ;cekani dokud neni EEPROM ready + + OUT EEARL, r17 ;ulozit adresu z r17 + SBI EECR,0 ;nastavenim EERE zacina cteni + IN r16,EEDR ;cteni dat z EEDR do r16 + + RET + + +.eseg +.org 0x00 +.DB 0b00000101 + + + + + + diff --git a/ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.eep b/ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.eep new file mode 100644 index 0000000..e08e63e --- /dev/null +++ b/ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.eep @@ -0,0 +1,2 @@ +:0100000005FA +:00000001FF diff --git a/ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.map b/ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.map new file mode 100644 index 0000000..d0b1aaa --- /dev/null +++ b/ASM_OLD/utility/EEPROM/t13-EEPROM_read/EEPROM_read.map @@ -0,0 +1,283 @@ + +AVRASM ver. 2.1.12 C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asm Thu Sep 13 21:48:45 2007 + + +EQU SIGNATURE_000 0000001e +EQU SIGNATURE_001 00000090 +EQU SIGNATURE_002 00000007 +EQU SREG 0000003f +EQU SPL 0000003d +EQU GIMSK 0000003b +EQU GIFR 0000003a +EQU TIMSK0 00000039 +EQU TIFR0 00000038 +EQU SPMCSR 00000037 +EQU OCR0A 00000036 +EQU MCUCR 00000035 +EQU MCUSR 00000034 +EQU TCCR0B 00000033 +EQU TCNT0 00000032 +EQU OSCCAL 00000031 +EQU TCCR0A 0000002f +EQU DWDR 0000002e +EQU OCR0B 00000029 +EQU GTCCR 00000028 +EQU CLKPR 00000026 +EQU WDTCR 00000021 +EQU EEAR 0000001e +EQU EEDR 0000001d +EQU EECR 0000001c +EQU PORTB 00000018 +EQU DDRB 00000017 +EQU PINB 00000016 +EQU PCMSK 00000015 +EQU DIDR0 00000014 +EQU ACSR 00000008 +EQU ADMUX 00000007 +EQU ADCSRA 00000006 +EQU ADCH 00000005 +EQU ADCL 00000004 +EQU ADCSRB 00000003 +EQU MUX0 00000000 +EQU MUX1 00000001 +EQU ADLAR 00000005 +EQU REFS0 00000006 +EQU ADPS0 00000000 +EQU ADPS1 00000001 +EQU ADPS2 00000002 +EQU ADIE 00000003 +EQU ADIF 00000004 +EQU ADATE 00000005 +EQU ADSC 00000006 +EQU ADEN 00000007 +EQU ADCH0 00000000 +EQU ADCH1 00000001 +EQU ADCH2 00000002 +EQU ADCH3 00000003 +EQU ADCH4 00000004 +EQU ADCH5 00000005 +EQU ADCH6 00000006 +EQU ADCH7 00000007 +EQU ADCL0 00000000 +EQU ADCL1 00000001 +EQU ADCL2 00000002 +EQU ADCL3 00000003 +EQU ADCL4 00000004 +EQU ADCL5 00000005 +EQU ADCL6 00000006 +EQU ADCL7 00000007 +EQU ADTS0 00000000 +EQU ADTS1 00000001 +EQU ADTS2 00000002 +EQU ADC1D 00000002 +EQU ADC3D 00000003 +EQU ADC2D 00000004 +EQU ADC0D 00000005 +EQU ACME 00000006 +EQU ACIS0 00000000 +EQU ACIS1 00000001 +EQU ACIE 00000003 +EQU ACI 00000004 +EQU ACO 00000005 +EQU ACBG 00000006 +EQU AINBG 00000006 +EQU ACD 00000007 +EQU AIN0D 00000000 +EQU AIN1D 00000001 +EQU EEARL 0000001e +EQU EEAR0 00000000 +EQU EEAR1 00000001 +EQU EEAR2 00000002 +EQU EEAR3 00000003 +EQU EEAR4 00000004 +EQU EEAR5 00000005 +EQU EEDR0 00000000 +EQU EEDR1 00000001 +EQU EEDR2 00000002 +EQU EEDR3 00000003 +EQU EEDR4 00000004 +EQU EEDR5 00000005 +EQU EEDR6 00000006 +EQU EEDR7 00000007 +EQU EERE 00000000 +EQU EEWE 00000001 +EQU EEPE 00000001 +EQU EEMWE 00000002 +EQU EEMPE 00000002 +EQU EERIE 00000003 +EQU EEPM0 00000004 +EQU EEPM1 00000005 +EQU SREG_C 00000000 +EQU SREG_Z 00000001 +EQU SREG_N 00000002 +EQU SREG_V 00000003 +EQU SREG_S 00000004 +EQU SREG_H 00000005 +EQU SREG_T 00000006 +EQU SREG_I 00000007 +EQU SP0 00000000 +EQU SP1 00000001 +EQU SP2 00000002 +EQU SP3 00000003 +EQU SP4 00000004 +EQU SP5 00000005 +EQU SP6 00000006 +EQU SP7 00000007 +EQU ISC00 00000000 +EQU ISC01 00000001 +EQU SM0 00000003 +EQU SM1 00000004 +EQU SE 00000005 +EQU PUD 00000006 +EQU PORF 00000000 +EQU EXTRF 00000001 +EQU BORF 00000002 +EQU WDRF 00000003 +EQU CAL0 00000000 +EQU CAL1 00000001 +EQU CAL2 00000002 +EQU CAL3 00000003 +EQU CAL4 00000004 +EQU CAL5 00000005 +EQU CAL6 00000006 +EQU CLKPS0 00000000 +EQU CLKPS1 00000001 +EQU CLKPS2 00000002 +EQU CLKPS3 00000003 +EQU CLKPCE 00000007 +EQU DWDR0 00000000 +EQU DWDR1 00000001 +EQU DWDR2 00000002 +EQU DWDR3 00000003 +EQU DWDR4 00000004 +EQU DWDR5 00000005 +EQU DWDR6 00000006 +EQU DWDR7 00000007 +EQU SPMEN 00000000 +EQU PGERS 00000001 +EQU PGWRT 00000002 +EQU RFLB 00000003 +EQU CTPB 00000004 +EQU PORTB0 00000000 +EQU PB0 00000000 +EQU PORTB1 00000001 +EQU PB1 00000001 +EQU PORTB2 00000002 +EQU PB2 00000002 +EQU PORTB3 00000003 +EQU PB3 00000003 +EQU PORTB4 00000004 +EQU PB4 00000004 +EQU PORTB5 00000005 +EQU PB5 00000005 +EQU DDB0 00000000 +EQU DDB1 00000001 +EQU DDB2 00000002 +EQU DDB3 00000003 +EQU DDB4 00000004 +EQU DDB5 00000005 +EQU PINB0 00000000 +EQU PINB1 00000001 +EQU PINB2 00000002 +EQU PINB3 00000003 +EQU PINB4 00000004 +EQU PINB5 00000005 +EQU GICR 0000003b +EQU PCIE 00000005 +EQU INT0 00000006 +EQU PCIF 00000005 +EQU INTF0 00000006 +EQU PCINT0 00000000 +EQU PCINT1 00000001 +EQU PCINT2 00000002 +EQU PCINT3 00000003 +EQU PCINT4 00000004 +EQU PCINT5 00000005 +EQU TOIE0 00000001 +EQU OCIE0A 00000002 +EQU OCIE0B 00000003 +EQU TOV0 00000001 +EQU OCF0A 00000002 +EQU OCF0B 00000003 +EQU OCR0_0 00000000 +EQU OCR0_1 00000001 +EQU OCR0_2 00000002 +EQU OCR0_3 00000003 +EQU OCR0_4 00000004 +EQU OCR0_5 00000005 +EQU OCR0_6 00000006 +EQU OCR0_7 00000007 +EQU WGM00 00000000 +EQU WGM01 00000001 +EQU COM0B0 00000004 +EQU COM0B1 00000005 +EQU COM0A0 00000006 +EQU COM0A1 00000007 +EQU TCNT0_0 00000000 +EQU TCNT0_1 00000001 +EQU TCNT0_2 00000002 +EQU TCNT0_3 00000003 +EQU TCNT0_4 00000004 +EQU TCNT0_5 00000005 +EQU TCNT0_6 00000006 +EQU TCNT0_7 00000007 +EQU CS00 00000000 +EQU CS01 00000001 +EQU CS02 00000002 +EQU WGM02 00000003 +EQU FOC0B 00000006 +EQU FOC0A 00000007 +EQU PSR10 00000000 +EQU TSM 00000007 +EQU WDP0 00000000 +EQU WDP1 00000001 +EQU WDP2 00000002 +EQU WDE 00000003 +EQU WDCE 00000004 +EQU WDP3 00000005 +EQU WDTIE 00000006 +EQU WDTIF 00000007 +EQU LB1 00000000 +EQU LB2 00000001 +EQU CKSEL0 00000000 +EQU CKSEL1 00000001 +EQU SUT0 00000002 +EQU SUT1 00000003 +EQU CKDIV8 00000004 +EQU WDTON 00000005 +EQU EESAVE 00000006 +EQU SPIEN 00000007 +EQU RSTDISBL 00000000 +EQU BODLEVEL0 00000001 +EQU BODLEVEL1 00000002 +EQU DWEN 00000003 +EQU SELFPRGEN 00000004 +DEF XH r27 +DEF XL r26 +DEF YH r29 +DEF YL r28 +DEF ZH r31 +DEF ZL r30 +EQU FLASHEND 000001ff +EQU IOEND 0000003f +EQU SRAM_START 00000060 +EQU SRAM_SIZE 00000040 +EQU RAMEND 0000009f +EQU XRAMEND 00000000 +EQU E2END 0000003f +EQU EEPROMEND 0000003f +EQU EEADRBITS 00000006 +EQU PAGESIZE 00000010 +EQU INT0addr 00000001 +EQU PCI0addr 00000002 +EQU OVF0addr 00000003 +EQU ERDYaddr 00000004 +EQU ACIaddr 00000005 +EQU OC0Aaddr 00000006 +EQU OC0Baddr 00000007 +EQU WDTaddr 00000008 +EQU ADCCaddr 00000009 +EQU INT_VECTORS_SIZE 0000000a +CSEG RESET 00000010 +CSEG LOOP 00000014 +CSEG EEread 00000018 diff --git a/ASM_OLD/utility/EEPROM/t13-EEPROM_read/eeprom_read.aws b/ASM_OLD/utility/EEPROM/t13-EEPROM_read/eeprom_read.aws new file mode 100644 index 0000000..489cc81 --- /dev/null +++ b/ASM_OLD/utility/EEPROM/t13-EEPROM_read/eeprom_read.aws @@ -0,0 +1 @@ + diff --git a/ASM_OLD/utility/EEPROM/t13-EEPROM_read/labels.tmp b/ASM_OLD/utility/EEPROM/t13-EEPROM_read/labels.tmp new file mode 100644 index 0000000..707b692 --- /dev/null +++ b/ASM_OLD/utility/EEPROM/t13-EEPROM_read/labels.tmp @@ -0,0 +1,27 @@ + + 2.1.12 + ATtiny13 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access + + C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asm + + C:\Program Files\Atmel\AVR Tools\AvrAssembler2\Appnotes\tn13def.inc + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.obj + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.hex + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.eep + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.map + + + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asm12 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asm19 + C:\Documents and Settings\Ondra\Dokumenty\AVR projekty\t13-EEPROM_access\EEPROM_access.asm30 + + diff --git a/ASM_OLD/utility/citac/timer.asm b/ASM_OLD/utility/citac/timer.asm new file mode 100644 index 0000000..4284444 --- /dev/null +++ b/ASM_OLD/utility/citac/timer.asm @@ -0,0 +1,130 @@ +;pracuje jako 5-ti bitovy binarni citac +;vystupy 3 a 4 jsou prohozene kvuli desce +.device attiny13 +.def ze=r19 +.def pr=r20 +.def sc=r21 +.def te=r22 +.def kv=r23 +.def qi=r24 +.def divf=r25 + +.org 0x0000 ;RESET + RJMP RESET ;skok na start po resetu +.org 0x0006 ;TC0 overflow + RJMP CASOVAC +.org 0x0007 + rjmp casovac + +.org 0x0010 +RESET: + LDI r16,low(RAMEND) ;nastavi stack pointer + OUT SPL,r16 + + CLI ;zakazat vsechna preruseni + + LDI r16,0b00011111 ;výstupní = 1 + OUT DDRB,r16 + + LDI r16,0 ;vypnout PullUp + OUT PORTB,r16 + + ldi r16,0b00000011 ;TC0 prescaler na 64 + out TCCR0B,r16 ;4800000/64=75000Hz + + ldi r16,0b00000010 ;TC0 je v rezimu CTC + out TCCR0A,r16 + + ldi r16,75 ;Compare Match 0 A je 75 + out OCR0A,r16 ;75000/75=1000Hz interrupt + + in r16, TIMSK0 + ORI r16, 0b00000100 + out timsk0,r16 + + clr r16 + clr r17 + clr r18 + clr pr + clr sc + clr te + clr kv + ldi divf,2 + SEI ;Global Interrupt Enable + +LOOP: + rjmp LOOP ;skok na loop + +CASOVAC: + inc ze + cpi ze,100 + BRNE CASEND + clr ze + INC pr + CPi pr,10 + BRNE CASEND + clr pr + INC sc + + in r16,PORTB + SBRC r16,0 + cbi portb,0 + SBRS r16,0 + sbi portb,0 + + CP sc,divf + BRNE CASEND + clr pr + clr sc + inc te + + in r16,PORTB + SBRC r16,1 + cbi portb,1 + SBRS r16,1 + sbi portb,1 + + CP te,divf + BRNE CASEND + clr pr + clr sc + clr te + inc kv + + in r16,PORTB + SBRC r16,2 + cbi portb,2 + SBRS r16,2 + sbi portb,2 + + CP kv,divf + BRNE CASEND + clr pr + clr sc + clr te + clr kv + inc qi + + in r16,PORTB + SBRC r16,4 + cbi portb,4 + SBRS r16,4 + sbi portb,4 + CP qi,divf + BRNE CASEND + clr pr + clr sc + clr te + clr kv + clr qi + + in r16,PORTB + SBRC r16,3 + cbi portb,3 + SBRS r16,3 + sbi portb,3 + + +CASEND: + RETI + diff --git a/ASM_OLD/utility/osetreni zakmitu tlacitek/Vstup_button.asm b/ASM_OLD/utility/osetreni zakmitu tlacitek/Vstup_button.asm new file mode 100644 index 0000000..6340e1f --- /dev/null +++ b/ASM_OLD/utility/osetreni zakmitu tlacitek/Vstup_button.asm @@ -0,0 +1,53 @@ +.device attiny13 +.def CNT=r25 +.def LED=r24 + +.org 0x0000 ;zacatek kodu + RJMP RESET ;skok na start po resetu + +.org 0x0010 +RESET: + LDI r16,low(RAMEND) ;nastavi stack pointer + OUT SPL,r16 + + CLI ;zakazat vsechna preruseni + + LDI LED,0 + + LDI r16,0b11111110 ;PB2 je vystupni, PB0 vstupni + OUT DDRB,r16 + + LDI r16,0 ;vypnout PullUp + OUT PORTB,r16 + +LOOP: + IN r16,PINB ;dej do r16 stav PINB + ANDI r16,0b00000001 + CPI r16,1 ;neni-li to 1, jdi na STORNO + BRNE STORNO + INC CNT ;CNT+1 + CPI CNT,50 ;neni-li CNT=100, jdi na LOOP + BRNE LOOP + + IN r16,PORTB ;r16=PORTB (vystupni port) + LDI r17,0b00000100 ;vyxoruj r16 s 0b00000100 + EOR r16,R17 + OUT PORTB,R16 ;a vysledek dej na PORTB + +KONEC: + IN r16,PINB ;cekani na vstup nuly do PINB0 + ANDI r16,0b00000001 + CPI r16,0 + BRNE KONEC ;neni-li r16=0, jdi na KONEC + RJMP LOOP ;jdi na LOOP + +STORNO: + LDI CNT,0 ;do CNT dej 0 + RJMP LOOP ;jdi na LOOP + + + + + + + diff --git a/README.md b/README.md new file mode 100644 index 0000000..4fc8407 --- /dev/null +++ b/README.md @@ -0,0 +1,5 @@ +# AVR Projects + +This repository holds my AVR projects. They're mostly too small to be worth a separate repository. + +Any code here is free to use in your projects, according to the MIT license. \ No newline at end of file diff --git a/bomb-countdown/main.c b/bomb-countdown/main.c new file mode 100644 index 0000000..c67bdb8 --- /dev/null +++ b/bomb-countdown/main.c @@ -0,0 +1,437 @@ +//Imitace casovane bomby, Ondrej Hruska (c) 2010-2012 +//------------------------------------------------- +// Zapojeni: +// +------u------+ +// reset --+ /RST Vcc +-- napajeni +5V +// Anoda jednotek --+ PD0 PB7 +-- segment D +// Anoda desitek --+ PD1 PB6 +-- sedment E +// (nezapojeno) --+ XT2 PB5 +-- segment C +// (nezapojeno) --+ XT1 PB4 +-- segment H +// drat --+ PD2 PB3 +-- segment G +// drat --+ PD3 PB2 +-- segment A +// drat --+ PD4 PB1 +-- segment F +// drat --+ PD5 PB0 +-- segment B +// GND --+ GND PD6 +-- output signal (vybuch) +// +-------------+ +// +// Nazvy segmentu na displeji: +// ---A--- +// | | +// F B +// | | +// ---G--- +// | | +// E C +// | | +// ---D--- H +// + +/* + +Ports: + +PORTB - segments + +PD0 anode L +PD1 anode H +PD2 w0 +PD3 w1 +PD4 w2 +PD5 w3 +PD6 BOMB +PD7 -nc- + + +*/ + + +#include +#include +#include +#include +#include +#include +#include + +void updateDisplayBuffer(); +void ports_init(); +void timer_init(); +void multiplex(uint8_t times); +void boom(); +void halt(); +void init_animation(); + + +/*FUSES = +{ + .low = 0xE4, + .high = 0xDF +};*/ + + +/** initial number of intervals */ +#define INIT_MINS 60 + +/** seconds in one interval */ +#define INIT_MAX 60 + + +/** seconds in one interval */ +#define RAPID_MAX 8 + +/** seconds in one interval */ +#define RAPID_COUNT 5 + + + +/* MACROS */ + +/** set one hertz interrupt */ +#define timer_enable() TIMSK=(1< 7seg translation + +#define BLANK 0 + + +/* VARIABLES */ + +uint8_t EEMEM wireset; + +uint8_t volatile cnt; // time counter (countdown) +uint8_t volatile cnt_interval; // current interval counter in seconds +uint8_t volatile cnt_interval_max; // length of current interval in seconds + +uint8_t disp_H; // display buffer - ones +uint8_t disp_L; // tens + +uint8_t volatile wires; +uint8_t volatile last_wires; +uint8_t is_one_digit; + +uint8_t wire_shutdown; //absolute mask +uint8_t wire_boom; +//remaining two are for RAPID COUNTDOWN (30 s) + + + + +/* INTERRUPT VECTORS */ + +/** one second interrupt */ +ISR(TIMER1_COMPA_vect){ + + cnt_interval++; + + if(!is_one_digit) disp_H ^= H; + disp_L ^= H; + + if(cnt_interval >= cnt_interval_max){ + cnt_interval=0; + cnt--; + //to tens and ones + updateDisplayBuffer(); + } + + //time over? + if(cnt==0){ + boom(); + } +} + +/** MAIN */ +int main() +{ + cnt = INIT_MINS; + cnt_interval = 0; + cnt_interval_max = INIT_MAX; + is_one_digit = 0; + disp_H = 0; + disp_L = 0; + last_wires = WIRES; + + + num2seg[0] = A|B|C|D|E|F; + num2seg[1] = B|C; + num2seg[2] = A|B|G|E|D; + num2seg[3] = A|B|G|C|D; + num2seg[4] = F|G|B|C; + num2seg[5] = A|F|G|C|D; + num2seg[6] = A|C|D|E|F|G; + num2seg[7] = A|B|C; + num2seg[8] = A|B|C|D|E|F|G; + num2seg[9] = A|B|C|D|F|G; + + timer_disable(); + timer_init(); + timer_reset(); + + ports_init(); + init_animation(); + + last_wires = ~(PIND & WIRES); + + //wire set + //read wireset number + uint8_t wireset_r = eeprom_read_byte((uint8_t*)&wireset); + //go to next one, reset if >11 + wireset_r++; + if(wireset_r>=12) wireset_r=0; + //store new value + eeprom_write_byte((uint8_t*)&wireset,wireset_r); + + //select wires for wireset + uint8_t ws_boom[12] = {(1< RAPID_MAX){ + cnt_interval_max = RAPID_MAX; + + if(cnt>RAPID_COUNT){ + cnt = RAPID_COUNT; + cnt_interval = 0; + timer_reset(); + updateDisplayBuffer(); + } + + } + } + + } + last_wires = wires; + } + + cli(); + return 0; +} + + + + +/** put corrent segments into display buffer (from cnt) */ +void updateDisplayBuffer(){ + div_t foo = div((int)cnt,10); + //keep decimal dots + disp_H = (disp_L & H) | num2seg[foo.quot]; + disp_L = (disp_L & H) | num2seg[foo.rem]; + if(foo.quot == 0){ + disp_H = 0; + is_one_digit = 1; + }else{ + is_one_digit = 0; + } +} + + +/** show display, repeat "times" x */ +void multiplex(uint8_t times){ + for(; times>0; times--){ + + PORTB = ~disp_L; // TENS segments; common anode, needs invert + PORTD &= ~ANODES; // reset anodes + PORTD |= (1<0; times--){ + + PORTB = ~disp_L; // TENS segments; common anode, needs invert + PORTD &= ~ANODES; // reset anodes + PORTD |= (1<0; i--){ + multiplex(250); + } +} + +/** animation on startup */ +void init_animation(){ + + disp_H = BLANK; + disp_L = BLANK; + for(uint8_t i=0; i<10; i++){ + disp_H ^= 0xff; + disp_L ^= 0xff; + + longmpx(); + } + + disp_H = BLANK; + disp_L = BLANK; + + _delay_loop_1(255); +} diff --git a/bomb-countdown/makefile b/bomb-countdown/makefile new file mode 100644 index 0000000..e15a790 --- /dev/null +++ b/bomb-countdown/makefile @@ -0,0 +1,74 @@ +PRG = main + +MCU_TARGET = attiny2313 +OPTIMIZE = 2 + + +LFUSE = 0xE4 +HFUSE = 0xDF + + + + +DEFS =-std=gnu99 -funsigned-char -funsigned-bitfields -ffunction-sections -fpack-struct -fshort-enums -ffreestanding --combine -fwhole-program -fno-inline-small-functions -fno-split-wide-types -fno-tree-scev-cprop -Wl,--relax,--gc-sections +CC = avr-gcc +OBJCOPY = avr-objcopy +OBJDUMP = avr-objdump + +OBJ = $(PRG).o + +override CFLAGS = -g2 -Wall -O$(OPTIMIZE) -mmcu=$(MCU_TARGET) $(DEFS) + +# program: $(PRG).elf lst hex +# + +all: $(PRG).elf lst eeprom + +$(PRG).elf: $(OBJ) + $(CC) $(CFLAGS) -o $@ $^ $(LIBS) + avr-size -C -d --mcu=$(MCU_TARGET) $(PRG).elf + +lst: $(PRG).lst + +%.lst: %.elf + $(OBJDUMP) -h -S $< > $@ + +hex: $(PRG).hex lst + +%.hex: %.elf + $(OBJCOPY) -j .text -j .data -O ihex $< $@ + + +install: wflash wfuses + +install_ee: weeprom +install_fl: wflash +install_fu: wfuses + + +wflash: $(PRG).hex + avrdude -P usb -c dragon_isp -p $(MCU_TARGET) -B 16 -U flash:w:$(PRG).hex + + +wfuses: + avrdude -P usb -c dragon_isp -p $(MCU_TARGET) -B 16 -U lfuse:w:$(LFUSE):m -U hfuse:w:$(HFUSE):m + + +weeprom: ehex + avrdude -P usb -c dragon_isp -p $(MCU_TARGET) -B 16 -U lfuse:w:$(LFUSE):m -U eeprom:w:$(PRG)_eeprom.hex + +ee: ehex +eeprom: ehex + +ehex: $(PRG)_eeprom.hex + +%_eeprom.hex: %.elf + $(OBJCOPY) -j .eeprom --change-section-lma .eeprom=0 -O ihex $< $@ + + +clean: + rm -f *.o $(PRG).elf *.hex *.lst *~ + +term: + avrdude -P usb -c dragon_isp -p $(MCU_TARGET) -B 16 -t + diff --git a/dice/Makefile b/dice/Makefile new file mode 100644 index 0000000..e99de9b --- /dev/null +++ b/dice/Makefile @@ -0,0 +1,69 @@ +PRG = main + +MCU_TARGET = attiny13 +OPTIMIZE = 2 + +HZ = 9600000UL + + +LFUSE = 0x7A +HFUSE = 0xFF + + + + +DEFS =-std=gnu99 -funsigned-char -funsigned-bitfields -ffunction-sections -fpack-struct -fshort-enums -ffreestanding -fwhole-program -fno-inline-small-functions -fno-split-wide-types -fno-tree-scev-cprop -Wl,--relax,--gc-sections +CC = avr-gcc +OBJCOPY = avr-objcopy +OBJDUMP = avr-objdump + +OBJ = $(PRG).o + +override CFLAGS = -g2 -Wall -O$(OPTIMIZE) -mmcu=$(MCU_TARGET) $(DEFS) -DF_CPU=$(HZ) + +# program: $(PRG).elf lst hex +# + +$(PRG).elf: $(OBJ) + $(CC) $(CFLAGS) -o $@ $^ $(LIBS) + avr-size -C -d --mcu=$(MCU_TARGET) $(PRG).elf + +lst: $(PRG).lst + +%.lst: %.elf + $(OBJDUMP) -h -S $< > $@ + +hex: $(PRG).hex lst + +build: hex ehex + +%.hex: %.elf + $(OBJCOPY) -j .text -j .data -O ihex $< $@ + + +wflash: hex + sudo avrdude -P usb -c dragon_isp -p $(MCU_TARGET) -U flash:w:$(PRG).hex + + +wfuses: + sudo avrdude -P usb -c dragon_isp -p $(MCU_TARGET) -U lfuse:w:$(LFUSE):m -U hfuse:w:$(HFUSE):m + + +weeprom: ehex + sudo avrdude -P usb -c dragon_isp -p $(MCU_TARGET) -U lfuse:w:$(LFUSE):m -U eeprom:w:$(PRG)_eeprom.hex + +ee: ehex +eeprom: ehex + +ehex: $(PRG)_eeprom.hex + +%_eeprom.hex: %.elf + $(OBJCOPY) -j .eeprom --change-section-lma .eeprom=0 -O ihex $< $@ + + +clean: + rm -f *.o $(PRG).elf *.hex *.lst *~ + +term: + sudo avrdude -P usb -c dragon_isp -p $(MCU_TARGET) -t + diff --git a/dice/README.md b/dice/README.md new file mode 100644 index 0000000..957e996 --- /dev/null +++ b/dice/README.md @@ -0,0 +1,139 @@ +# Electronic Dice with AVR + +The idea is pretty straightforward, hardware is simple, but it's still darn +cool! + +The project is built around ATTiny13, but you can of course use any bigger chip +or even arduino, but it'll really be overkill. It's not making full use even of +the few peripherals the ATTiny13 provides! + + +## What it does? + +Really simple, you have a couple LEDs that represent dots on a dice, and one +button. + +When the button is down, the numbers quickly spin, and when you release +it, they slow down to a crawl, then the number blinks a few times and that's an +indication that your roll is complete. + +When the dice is idle for 5 seconds, it goes to sleep (can be woken by pressing +the button). The delay can be customized in the source file. + + +## Hardware + +The dice is not really challenging on the hardware side. + +All you need is 7 LEDs with resistors, one button, and obviously the AVR. +You can also add a power switch, but it's not really needed, since the dice +is smart enough to sleep when it's not used. + +It can be powered by any DC source, 3..5V. Three AA batteries in series can do +the trick, four AA's won't hurt anything as well - the chip is quite durable +if you don't overdo it. + +Cunsumption when lights are on is (with my LEDs and 180R resistors) somewhat +around 50 mA, in sleep mode it's negligible (< 1 uA if my meter can be trusted). + + +### Pinout + +``` + +--u--+ + RST --| |-- Vcc + SEG_DIAG2 : PB3 --| t13 |-- PB2 : SEG_DIAG1 + SEG_HORIZ : PB4 --| |-- PB1 : SEG_DOT + GND --| |-- PB0 : BUTTON + +-----+ +``` + + +### Placement of the LED segments + +One could think that using 7 pins for 7 leds is needed, but in fact, you can use +just four - which is great, since ATTiny13 has only 5 I/O pins (unless you use +the reset pin as I/O, but that's quite uncommon). + +``` + + (DIAG1) (DIAG2) + + (HORIZ) (DOT) (HORIZ) + + (DIAG2) (DIAG1) + +``` + + +### Wiring of the segments + +In the project, LEDs are connected by anodes to the pins. It's okay, +since the chip can handle it just fine, but you may want to connect them by +cathode instead (useful for greater loads). + +To do so, adjust the `show()` routine accordingly (there's an explanatory +comment included). Basically, just negate the output states, and you're good. + +``` + DOT sengment: + + PIN ---> LED -> RESISTOR ---> GND + + + Other segments: + + PIN -+-> LED -> RESISTOR -+-> GND + | | + '-> LED -> RESISTOR -' + + Button: + + PIN --> BUTTON --> GND +``` + + +## The program + +Now that you have your dice hardware assembled, let's move on to the fun part - +the software. + +You can of course just grab it from the repository, compile, flash and be done +with it, but I think it's useful to explain how it works. + +Feel free to tinker with the source, add extra effects etc, it's fun! + + +### Generating random numbers + +Firstly, we don't use any hardware entropy, or even a random number generator. +That may sound odd, but it's in fact not really needed. + +The core idea is that the button is never pressed at the same time and for the +same duration. So, we can easily spin a counter when the button is down, and +when it's released, we pretty much already have our random number. + +To add more randomness, there's also a second, "entropy" counter, that spins all +the time (unless, of course, the dice is sleeping), and when the button is +pushed, the value from this "entropy" counter is copied to the main counter. +The idea is that people can't cheat by somehow managing to press the button +for the exact same time. + +Note, that those counters are just ints incrememnted and wrapped by the program +- we don't have to use of the hardware timers for this. + + +### Sleep mode + +When the dice is inactive for five seconds (that is, no animation and +interaction), it automatically enters a POWER DOWN sleep mode. + +Clearly, going to sleep without a way to wake up would be silly. Therefore, it +also enables a Pin Change Interrupt right before entering the sleep mode, so a +button press will wake it up. The cool thing is that it immediately starts +spinning the numbers and resumes normal operation. + +Note, that the dice also starts into the sleep mode, so when you power it on, +it will sleep until you press the button. This is important, because I didn't +like the idea of showing the same number it starts - this way, you randomize it +right away by the first button press. diff --git a/dice/main.c b/dice/main.c new file mode 100644 index 0000000..8f855d6 --- /dev/null +++ b/dice/main.c @@ -0,0 +1,281 @@ +#include +#include +#include +#include +#include + +/** + * Fuses are defined in makefile. + * + * Led segments: + * + * (DIAG1) (DIAG2) + * (HORIZ) (DOT) (HORIZ) + * (DIAG2) (DIAG1) + * + * + * Wiring: + * + * +--u--+ + * RST --| |-- Vcc + * SEG_DIAG2 : PB3 --| t13 |-- PB2 : SEG_DIAG1 + * SEG_HORIZ : PB4 --| |-- PB1 : SEG_DOT + * GND --| |-- PB0 : BUTTON + * +-----+ + * + * SEG: PIN -> LED -> RESISTOR -> GND + * BTN: PIN -> BUTTON -> GND + * + */ + + +// general macros +#define SECTION(pos) __attribute__((naked, used, section(pos))) + +#define true 1 +#define false 0 +typedef uint8_t bool; + + + +// individual segment pins +#define SEG_DOT _BV(PB1) +#define SEG_DIAG1 _BV(PB2) +#define SEG_DIAG2 _BV(PB3) +#define SEG_HORIZ _BV(PB4) + +// button pin +#define PIN_BUTTON _BV(PINB0) + +#define OUT_PINS (SEG_DOT | SEG_DIAG1 | SEG_DIAG2 | SEG_HORIZ) +#define IN_PINS (BUTTON) + +// test if button is down +#define BUTTON_DOWN() ((PINB & PIN_BUTTON) == 0) + + +void init_io() SECTION(".init8"); + + +/** + * Initialize IO ports. + */ +void init_io() +{ + DDRB = OUT_PINS; + PORTB = PIN_BUTTON; // pullup +} + + +/** + * Consume interrupt used to wake from sleep + */ +ISR(PCINT0_vect) +{ + // do nothing +} + + +/** + * Show dice segments. + * + * @param segments to show + */ +void show(uint8_t bits) +{ + // PIN -> LED -> GND + PORTB = (PORTB & ~OUT_PINS) | (bits & OUT_PINS); + + // VCC -> LED -> PIN + //PORTB = (PORTB & ~OUT_PINS) | ~(bits & OUT_PINS); +} + + +/** + * Show number using segments. + * 0=one, 5=six. + * + * @param number to show. MUST BE IN RANGE 0..5 + */ +void show_number(const uint8_t number) +{ + if(number > 5) return; + + static const uint8_t num2seg[] = { + /*1*/ SEG_DOT, + /*2*/ SEG_DIAG1, + /*3*/ SEG_DIAG2 | SEG_DOT, + /*4*/ SEG_DIAG1 | SEG_DIAG2, + /*5*/ SEG_DIAG1 | SEG_DIAG2 | SEG_DOT, + /*6*/ SEG_DIAG1 | SEG_DIAG2 | SEG_HORIZ, + }; + + show( num2seg[number] ); +} + + +/** + * Enter power down mode and wait for pin change to wake up. + */ +void go_sleep() +{ + set_sleep_mode(SLEEP_MODE_PWR_DOWN); + cli(); + + GIMSK = _BV(PCIE); + PCMSK = _BV(PCINT0); + + sleep_enable(); + sei(); + sleep_cpu(); + cli(); + sleep_disable(); + + GIMSK = 0; + PCMSK = 0; + + sei(); +} + + +// Delay between faces in slowing roll, +// after which the dice is stopped +#define DELAY_MS_FOR_STOP 600 + + +// How long until dice enters sleep mode +#define IDLE_MS_FOR_SLEEP 5000 + + +/** + * Main function + */ +void main() +{ + // == VARS == + + // Used to randomize when button goes down + // Needed to prevent cheating and add more randomness + uint8_t entropy = 0; + + // Number shown on dice (zero-based, 0 ... one dot) + uint8_t number = 0; + + // Delay between face flip in roll + uint16_t delay_ms = DELAY_MS_FOR_STOP; + + // Added to delay_ms each flip. + // Is increased to make slowing non-linear + uint16_t plus = 1; + + // counts milliseconds when the dice was idle + // used to trigger sleep mode + uint16_t idle_counter = 0; + + // flag that dice is either spinning or slowing down + bool rolling = false; + + + // == BRING IT ON! == + + // start by sleeping (avoid showing one default number) + go_sleep(); + + show_number(number); + + while(1) { + // increment entropy counter + entropy++; + if(entropy > 5) entropy -= 6; + + // grab button state + bool down = BUTTON_DOWN(); + + if(!down && !rolling) { + + // dice idle + + // increment idle counter + _delay_ms(5); + idle_counter += 5; + + if(idle_counter > IDLE_MS_FOR_SLEEP) { + // go sleep + + show(0); + + go_sleep(); + + show_number(number); + + idle_counter = 0; + } + + continue; + + } else { + // button down, or rolling + // reset idle counter + idle_counter = 0; + } + + + if(down) { + + // button pressed + + // start rolling + delay_ms = 1; + plus = 1; + + if(!rolling) { + // was not rolling before + // apply entropy to number + number = entropy; + rolling = true; + } + + _delay_ms(10); // delay to make iterating visible + + } else { + + // button not pressed + + if(delay_ms >= DELAY_MS_FOR_STOP) { + // too slow flip, stop the rolling + + rolling = false; + + // blink + for(uint8_t i=0; i<4; i++) { + show(0); + _delay_ms(40); + show_number(number); + _delay_ms(40); + } + + continue; + } + + // slow down a bit + delay_ms += plus; + plus += 6; + } + + + // advance to next number + number++; + if(number > 5) number -= 6; + + show_number(number); + + // delay in rolling + for(uint16_t i=0; i < delay_ms; i++) { + _delay_ms(1); + + // button pressed? Cancel delay. + if(BUTTON_DOWN()) + break; + } + } +} diff --git a/keyboard-lamp b/keyboard-lamp new file mode 160000 index 0000000..4eaff23 --- /dev/null +++ b/keyboard-lamp @@ -0,0 +1 @@ +Subproject commit 4eaff23a1d463fd916f5a983fd202e0707c12af7 diff --git a/pov-led-globe/globe-fixed/Makefile b/pov-led-globe/globe-fixed/Makefile new file mode 100644 index 0000000..c054f35 --- /dev/null +++ b/pov-led-globe/globe-fixed/Makefile @@ -0,0 +1,153 @@ + +MCU = attiny13 + +F_CPU = 9600000 + +LFUSE = 0x7A +HFUSE = 0xFF +EFUSE = 0x00 + +MAIN = main.c + +## If you've split your program into multiple files, +## include the additional .c source (in same directory) here +## (and include the .h files in your foo.c) +LOCAL_SOURCE = + +## Here you can link to one more directory (and multiple .c files) +# EXTRA_SOURCE_DIR = ../AVR-Programming-Library/ +EXTRA_SOURCE_DIR = +EXTRA_SOURCE_FILES = + + + +##########------------------------------------------------------########## +########## Programmer Defaults ########## +########## Set up once, then forget about it ########## +########## (Can override. See bottom of file.) ########## +##########------------------------------------------------------########## + +PROGRAMMER_TYPE = dragon_isp +PROGRAMMER_ARGS = + + +##########------------------------------------------------------########## +########## Makefile Magic! ########## +########## Summary: ########## +########## We want a .hex file ########## +########## Compile source files into .elf ########## +########## Convert .elf file into .hex ########## +########## You shouldn't need to edit below. ########## +##########------------------------------------------------------########## + +## Defined programs / locations +CC = avr-gcc +OBJCOPY = avr-objcopy +OBJDUMP = avr-objdump +AVRSIZE = avr-size +AVRDUDE = sudo avrdude + +## Compilation options, type man avr-gcc if you're curious. +CFLAGS = -std=gnu99 -mmcu=$(MCU) -DF_CPU=$(F_CPU)UL -Os -I. -I$(EXTRA_SOURCE_DIR) +CFLAGS += -funsigned-char -funsigned-bitfields -fpack-struct -fshort-enums +CFLAGS += -Wall -Wstrict-prototypes +CFLAGS += -g2 -ggdb +CFLAGS += -ffunction-sections -fdata-sections -Wl,--gc-sections -Wl,--relax +CFLAGS += -std=gnu99 +## CFLAGS += -Wl,-u,vfprintf -lprintf_flt -lm ## for floating-point printf +## CFLAGS += -Wl,-u,vfprintf -lprintf_min ## for smaller printf + +## Lump target and extra source files together +TARGET = $(strip $(basename $(MAIN))) +SRC = $(TARGET).c +EXTRA_SOURCE = $(addprefix $(EXTRA_SOURCE_DIR), $(EXTRA_SOURCE_FILES)) +SRC += $(EXTRA_SOURCE) +SRC += $(LOCAL_SOURCE) + +## List of all header files +HEADERS = $(SRC:.c=.h) + +## For every .c file, compile an .o object file +OBJ = $(SRC:.c=.o) + +## Generic Makefile targets. (Only .hex file is necessary) +all: $(TARGET).hex size + +%.hex: %.elf + $(OBJCOPY) -R .eeprom -O ihex $< $@ + +%.elf: $(SRC) + $(CC) $(CFLAGS) $(SRC) --output $@ + +%.eeprom: %.elf + $(OBJCOPY) -j .eeprom --change-section-lma .eeprom=0 -O ihex $< $@ + +debug: + @echo + @echo "Source files:" $(SRC) + @echo "MCU, F_CPU, BAUD:" $(MCU), $(F_CPU), $(BAUD) + @echo + +# Optionally create listing file from .elf +# This creates approximate assembly-language equivalent of your code. +# Useful for debugging time-sensitive bits, +# or making sure the compiler does what you want. +disassemble: $(TARGET).lst + +dis: disassemble + +eeprom: $(TARGET).eeprom + +%.lst: %.elf + $(OBJDUMP) -S $< > $@ + +# Optionally show how big the resulting program is +size: $(TARGET).elf + $(AVRSIZE) -C --mcu=$(MCU) $(TARGET).elf + +clean: + rm -f $(TARGET).elf $(TARGET).hex $(TARGET).obj \ + $(TARGET).o $(TARGET).d $(TARGET).eep $(TARGET).lst \ + $(TARGET).lss $(TARGET).sym $(TARGET).map $(TARGET)~ \ + $(TARGET).eeprom + +squeaky_clean: + rm -f *.elf *.hex *.obj *.o *.d *.eep *.lst *.lss *.sym *.map *~ + + +##########------------------------------------------------------########## +########## Programmer-specific details ########## +########## Flashing code to AVR using avrdude ########## +##########------------------------------------------------------########## + +flash: $(TARGET).hex + $(AVRDUDE) -c $(PROGRAMMER_TYPE) -p $(MCU) $(PROGRAMMER_ARGS) -U flash:w:$< + +flash_eeprom: $(TARGET).eeprom + $(AVRDUDE) -c $(PROGRAMMER_TYPE) -p $(MCU) $(PROGRAMMER_ARGS) -U eeprom:w:$< + +terminal: + $(AVRDUDE) -c $(PROGRAMMER_TYPE) -p $(MCU) $(PROGRAMMER_ARGS) -nt + + +flash_dragon_isp: PROGRAMMER_TYPE = dragon_isp +flash_dragon_isp: PROGRAMMER_ARGS = +flash_dragon_isp: flash + + +##########------------------------------------------------------########## +########## Fuse settings and suitable defaults ########## +##########------------------------------------------------------########## + +## Generic +FUSE_STRING = -U lfuse:w:$(LFUSE):m -U hfuse:w:$(HFUSE):m -U efuse:w:$(EFUSE):m + +fuses: + $(AVRDUDE) -c $(PROGRAMMER_TYPE) -p $(MCU) \ + $(PROGRAMMER_ARGS) $(FUSE_STRING) +show_fuses: + $(AVRDUDE) -c $(PROGRAMMER_TYPE) -p $(MCU) $(PROGRAMMER_ARGS) -nv + +## Called with no extra definitions, sets to defaults +set_default_fuses: FUSE_STRING = -U lfuse:w:$(LFUSE):m -U hfuse:w:$(HFUSE):m -U efuse:w:$(EFUSE):m +set_default_fuses: fuses diff --git a/pov-led-globe/globe-fixed/image/README.txt b/pov-led-globe/globe-fixed/image/README.txt new file mode 100644 index 0000000..f34df8a --- /dev/null +++ b/pov-led-globe/globe-fixed/image/README.txt @@ -0,0 +1,47 @@ +How to generate image header file +================================= + +(intended for Linux - dunno how it works in Windoze) + + +1. Draw image in Gimp, with height a multiple of 8 (8, 16, 32... - how many + LEDs you have) + +2. Set collor mode to indexed (black & white) - and make sure WHITE is where + you want your leds to light up, black where you want dark. + +3. Export as C header file (eg. snowflake.h) + + +Now use the getbytes.py script to transform it: + + $ python3 getbytes.py snowflake.h + + #define ROWS 2 + #define COLS 15 + + const uint8_t image[COLS][ROWS] PROGMEM = { + { 0b00000001, 0b11000000 }, // ███ + { 0b00010000, 0b10000100 }, // █ █ █ + { 0b00111000, 0b10001110 }, // ███ █ ███ + { 0b00011101, 0b11001100 }, // ███ ███ ██ + { 0b00001100, 0b10011000 }, // ██ █ ██ + { 0b00000010, 0b10100000 }, // █ █ █ + { 0b01001001, 0b11001001 }, // █ █ ███ █ █ + { 0b11111111, 0b11111111 }, //████████████████ + { 0b01001001, 0b11001001 }, // █ █ ███ █ █ + { 0b00000010, 0b10100000 }, // █ █ █ + { 0b00001100, 0b10010000 }, // ██ █ █ + { 0b00011001, 0b11011100 }, // ██ ███ ███ + { 0b00111000, 0b10001110 }, // ███ █ ███ + { 0b00010000, 0b10000100 }, // █ █ █ + { 0b00000001, 0b11000000 }, // ███ + }; + +That will show you the transformed header file. + +To store it: + + $ python3 getbytes.py snowflake.h > ../image_snowflake.h + +Now, in your main.c file, just include it (remove the old image import). diff --git a/pov-led-globe/globe-fixed/image/butt.h b/pov-led-globe/globe-fixed/image/butt.h new file mode 100644 index 0000000..c28118a --- /dev/null +++ b/pov-led-globe/globe-fixed/image/butt.h @@ -0,0 +1,321 @@ +/* GIMP header image file format (INDEXED): /home/ondra/devel/elektro/avr/projects/c/globus/image/butt.h */ + +static unsigned int width = 46; +static unsigned int height = 16; + +/* Call this macro repeatedly. After each use, the pixel data can be extracted */ + +#define HEADER_PIXEL(data,pixel) {\ +pixel[0] = header_data_cmap[(unsigned char)data[0]][0]; \ +pixel[1] = header_data_cmap[(unsigned char)data[0]][1]; \ +pixel[2] = header_data_cmap[(unsigned char)data[0]][2]; \ +data ++; } + +static char header_data_cmap[256][3] = { + { 0, 0, 0}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255} + }; +static char header_data[] = { + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 1,1,1,1,1,1,1,1,0,0,0,0,1,1,1,1, + 0,0,1,1,1,1,0,1,1,1,1,1,1,1,1,1, + 1,1,0,1,1,1,1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1,1,0,0,0,1,1,1,1, + 0,0,1,1,1,1,0,1,1,1,1,1,1,1,1,1, + 1,1,0,1,1,1,1,1,1,1,1,1,1,1, + 0,1,1,1,0,0,1,1,1,0,0,0,0,1,1,1, + 0,0,1,1,1,0,0,1,1,0,0,1,1,1,0,0, + 1,1,0,1,1,0,0,1,1,1,0,0,1,1, + 0,1,1,1,0,0,1,1,1,0,0,0,0,1,1,1, + 0,0,1,1,1,0,0,1,1,0,0,1,1,1,0,0, + 1,1,0,1,1,0,0,1,1,1,0,0,1,1, + 0,1,1,1,1,1,1,1,0,0,0,0,0,1,1,1, + 0,0,1,1,1,0,0,1,1,0,0,1,1,1,0,0, + 1,1,0,1,1,0,0,1,1,1,0,0,1,1, + 0,1,1,1,1,1,1,1,1,0,0,0,0,1,1,1, + 0,0,1,1,1,0,0,0,0,0,0,1,1,1,0,0, + 0,0,0,0,0,0,0,1,1,1,0,0,0,0, + 0,1,1,1,0,0,0,1,1,1,0,0,0,1,1,1, + 0,0,1,1,1,0,0,0,0,0,0,1,1,1,0,0, + 0,0,0,0,0,0,0,1,1,1,0,0,0,0, + 0,1,1,1,0,0,0,1,1,1,0,0,0,1,1,1, + 0,0,1,1,1,0,0,0,0,0,0,1,1,1,0,0, + 0,0,0,0,0,0,0,1,1,1,0,0,0,0, + 0,1,1,1,0,0,0,1,1,1,0,0,0,1,1,1, + 0,0,1,1,1,0,0,0,0,0,0,1,1,1,0,0, + 0,0,0,0,0,0,0,1,1,1,0,0,0,0, + 1,1,1,1,1,1,1,1,1,0,0,0,0,1,1,1, + 1,1,1,1,1,0,0,0,0,1,1,1,1,1,1,1, + 0,0,0,0,0,1,1,1,1,1,1,1,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1 + }; diff --git a/pov-led-globe/globe-fixed/image/getbytes.py b/pov-led-globe/globe-fixed/image/getbytes.py new file mode 100755 index 0000000..0e72a34 --- /dev/null +++ b/pov-led-globe/globe-fixed/image/getbytes.py @@ -0,0 +1,73 @@ +#!/bin/env python3 + +import sys +import re + +file = open(sys.argv[1], 'r') + +src = file.read() + +matches = re.search(r'static unsigned int width = (\d*);', src) +width = int(matches.groups(1)[0]) + +matches = re.search(r'static unsigned int height = (\d*);', src) +height = int(matches.groups(1)[0]) + + +matches = re.search(r'static char header_data_cmap\[\d+\]\[\d+\] = \{\n\s*(\{.*?\}),\s*(\{.*?\})', src, flags=re.S) +s = matches.groups(1)[0] +t = matches.groups(1)[1] + +if s == '{255,255,255}' and t == '{ 0, 0, 0}': + inverted=True +elif s == '{ 0, 0, 0}' and t == '{255,255,255}': + inverted=False +else: + raise Exception('Wrong colors') + + + + +matches = re.search(r'static char header_data\[\] = \{(.*?)\};', src, flags=re.S) +s = matches.groups(1)[0] + +# clean up - leave only numbers +s = re.sub(r'[\s,]', '', s).strip() + +s = [s[x:x+width] for x in range(0,width*height,width)] + +cols = [''.join(i) for i in zip(*s)] + +bc = 0 + +print(""" +#define ROWS {r} +#define COLS {c} + +const uint8_t image[COLS][ROWS] PROGMEM = {{""".format(r=int(height/8), c=width)) + +for c in cols: + + # convert colors based on pallete + if inverted: + c=c.translate({ + ord('0'): ord('1'), + ord('1'): ord('0') + }) + + + bytz = ['0b{}'.format(c[x:x+8]) for x in range(0,len(c),8)] + + print('\t{ ', end="") + + print(', '.join(bytz), end="") + + print(' }, //', end="") + + print(c.translate({ + ord('0'): ord(' '), + ord('1'): ord('█') + })) + +print('};\n') + diff --git a/pov-led-globe/globe-fixed/image/globus.h b/pov-led-globe/globe-fixed/image/globus.h new file mode 100644 index 0000000..f60bbb6 --- /dev/null +++ b/pov-led-globe/globe-fixed/image/globus.h @@ -0,0 +1,401 @@ +/* GIMP header image file format (INDEXED): /home/ondra/devel/elektro/avr/projects/c/led-display/image/globus.h */ + +static unsigned int width = 58; +static unsigned int height = 32; + +/* Call this macro repeatedly. After each use, the pixel data can be extracted */ + +#define HEADER_PIXEL(data,pixel) {\ +pixel[0] = header_data_cmap[(unsigned char)data[0]][0]; \ +pixel[1] = header_data_cmap[(unsigned char)data[0]][1]; \ +pixel[2] = header_data_cmap[(unsigned char)data[0]][2]; \ +data ++; } + +static char header_data_cmap[256][3] = { + { 0, 0, 0}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255} + }; +static char header_data[] = { + 0,0,0,0,0,0,0,0,1,0,0,1,1,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,1,1,1,1,1,1,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,1,0,0,0,0,1,1,1,1,0,0,0, + 0,1,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,1,1,1,0,1,0,1,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,1,0,0,0,0,1,1,1,1,1,0,0,0, + 0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,1,0,0,1,1,1,1,1,1,1,1,1,1, + 1,1,1,0,0,0,0,0,0,0, + 0,1,1,1,1,0,1,1,1,1,1,0,1,1,1,1, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,1, + 0,0,0,0,1,1,1,1,1,1,1,1,1,1,1,1, + 1,1,1,1,1,0,1,0,0,0, + 0,1,1,1,1,1,1,1,1,1,1,1,1,1,0,1, + 1,0,0,0,0,0,0,0,0,0,0,0,0,1,1,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1,1,0, + 0,1,1,1,1,1,1,1,1,1,1,1,1,1,0,1, + 1,0,0,0,0,0,0,1,1,0,0,0,0,1,1,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1,0,0, + 0,1,1,1,1,1,1,1,1,1,1,1,1,0,1,1, + 1,0,0,0,0,0,0,0,0,0,0,0,1,1,1,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1,0,0, + 0,1,1,0,1,1,1,1,1,1,1,1,0,0,1,1, + 1,0,0,0,0,0,0,0,0,0,0,0,1,1,0,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 1,1,1,0,1,1,0,0,0,0, + 0,0,0,0,0,0,1,1,1,1,1,1,1,1,1,1, + 1,1,0,0,0,0,0,0,0,0,1,0,0,0,1,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 1,0,0,0,1,0,0,0,0,0, + 0,0,0,0,0,0,1,1,1,1,1,1,1,1,1,1, + 1,1,1,0,0,0,0,0,0,0,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 1,1,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1, + 1,1,0,0,0,0,0,0,0,0,0,1,1,1,1,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 1,1,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1, + 1,0,0,0,0,0,0,0,0,0,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 1,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,1,1,1,1,1,1,1,0, + 0,0,0,0,0,0,0,0,0,0,1,1,0,0,0,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 1,1,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,1,1,1,1,1,1,1,0, + 0,0,0,0,0,0,0,0,0,0,1,1,1,0,1,0, + 0,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0, + 1,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,1,1,1,0,0,1,0, + 0,0,0,0,0,0,0,0,0,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0, + 0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,1,1,0,0,0,0, + 0,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1, + 1,1,1,1,1,0,1,1,1,1,1,1,1,0,0,0, + 0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,1,1,0,0,0, + 0,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1, + 1,1,1,1,0,0,1,1,0,0,1,1,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,1, + 1,1,0,0,0,0,0,0,0,1,1,1,1,1,1,1, + 1,1,1,0,0,0,0,1,0,0,0,1,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,1, + 1,1,1,0,0,0,0,0,0,1,1,1,1,1,1,1, + 1,1,1,0,0,0,0,0,0,0,0,0,1,1,0,0, + 0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,1, + 1,1,1,1,1,0,0,0,0,0,0,0,0,1,1,1, + 1,1,0,0,0,0,0,0,0,0,0,1,1,1,0,0, + 0,1,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,1, + 1,1,1,1,1,1,0,0,0,0,0,0,0,1,1,1, + 1,1,0,0,0,0,0,0,0,0,0,0,0,1,1,0, + 0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1, + 1,1,1,1,1,0,0,0,0,0,0,0,0,1,1,1, + 1,1,1,0,0,0,0,0,0,0,0,0,0,0,0,1, + 1,1,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 1,1,1,1,1,0,0,0,0,0,0,0,0,1,1,1, + 1,0,1,0,0,0,0,0,0,0,0,0,0,1,1,1, + 1,1,1,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 1,1,1,1,0,0,0,0,0,0,0,0,0,1,1,1, + 1,0,0,0,0,0,0,0,0,0,0,0,0,1,1,1, + 1,1,1,1,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 1,1,1,0,0,0,0,0,0,0,0,0,0,0,1,1, + 0,0,0,0,0,0,0,0,0,0,0,0,0,1,1,1, + 1,1,1,1,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 1,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,1,1,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1, + 1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1, + 1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0, + 0,0,0,0,0,0,0,0,0,0 + }; diff --git a/pov-led-globe/globe-fixed/image/logo16.h b/pov-led-globe/globe-fixed/image/logo16.h new file mode 100644 index 0000000..bf82e2c --- /dev/null +++ b/pov-led-globe/globe-fixed/image/logo16.h @@ -0,0 +1,289 @@ +/* GIMP header image file format (INDEXED): /home/ondra/devel/elektro/avr/projects/c/globus/image/logo16.h */ + +static unsigned int width = 16; +static unsigned int height = 16; + +/* Call this macro repeatedly. After each use, the pixel data can be extracted */ + +#define HEADER_PIXEL(data,pixel) {\ +pixel[0] = header_data_cmap[(unsigned char)data[0]][0]; \ +pixel[1] = header_data_cmap[(unsigned char)data[0]][1]; \ +pixel[2] = header_data_cmap[(unsigned char)data[0]][2]; \ +data ++; } + +static char header_data_cmap[256][3] = { + {255,255,255}, + { 0, 0, 0}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255} + }; +static char header_data[] = { + 1,1,1,1,1,1,0,0,0,0,1,1,1,1,1,1, + 1,1,1,0,1,1,0,1,1,0,1,1,0,1,1,1, + 1,1,0,1,0,1,0,1,1,0,1,0,1,0,1,1, + 1,0,1,1,1,0,0,1,1,0,0,1,1,1,0,1, + 1,1,0,1,1,1,1,1,1,1,1,1,1,0,0,0, + 1,1,1,0,1,1,1,1,1,0,1,1,0,0,0,1, + 0,0,0,0,1,1,1,1,0,0,1,0,0,0,1,0, + 0,1,1,1,1,1,1,0,0,0,0,0,0,1,1,0, + 0,1,1,0,1,1,0,0,0,0,0,0,1,1,1,0, + 0,0,1,0,1,0,0,1,0,0,0,1,0,0,0,0, + 1,1,1,0,0,0,1,1,0,0,1,1,0,1,1,1, + 1,1,0,0,0,1,1,1,1,1,1,1,1,0,1,1, + 1,1,0,0,0,0,0,1,1,0,0,1,1,1,0,1, + 1,0,0,0,0,1,1,1,1,0,1,0,1,0,1,1, + 1,0,1,1,1,1,0,1,1,0,1,1,0,1,1,1, + 1,1,1,1,1,1,0,0,0,0,1,1,1,1,1,1 + }; diff --git a/pov-led-globe/globe-fixed/image/logo16.xcf b/pov-led-globe/globe-fixed/image/logo16.xcf new file mode 100644 index 0000000000000000000000000000000000000000..ac0cd21cf20340c781948fda9968740f45cf522a GIT binary patch literal 1003 zcma)5OKuuL5bX~bLL6f&ju(~}y@Um5h0UX@zmVLsrep70|7hqt`H@>1y}3!8Uc0$m!#jr zo1gE5pu>*gHTWs~#ADWdK>ZowVTO%*c0A0IUN*B=px9oDPEpQBi7E1tz3R3)X%^?B zd}cZ>^k&)R&_w;n*$y!9sV=0KJES3RUIvuj7SmyDCz%<9y+F=)k)G>)o7mFWNqn6Y z)aPkhB<0fqseVpX%(j&G;7#6FK!5T?7zOWj|GfXPAD#D0o2E>2JhVMH^PEr9W8V84 zj}Zj#pEWd&GvK!ycz*-G+rVvL_6^nm2>LMda2BN0g)a+r7augNHhOPf#3mtk?kw+vSm#5SC<{I0i~PNqXu*M{;lm-k_69pMwyiIwhFFw39qRues$}`evOrgnr*j z0y?ETv)1mn|0|7MeZRC1)0zD?8jTgm1~xb~xBye$ZnyZp18c%(;QabYDKh9BoPtlm z?}JRg3;2J;`Q~m}xw5U+>w4i@06uy0DN)8~5RkVH&6M^1#8stR)wjV*t6{r-oL9DO z=JoAmF`T(+GjCRQC`Y++HxoO$8VMZ{#=&%n(3>Nn(Qf}QF!Z5aPNrzyj$%*&2)_dxC)$o&I(0GW9soj{23@kQ|Q1Tu2*P;6lIV!j7sz|1rC zt~$|YD%F`v^gtQi1^4jsI;w-GqIDtiPsH=(=v3zd@EyHsl|Xr+CBw%E|E8N5sHcJ@ z@bI`n8SjU;2pc^0M*O{<80`tOr@jOvDMp-j78R4BJtE?=hj+G&l@Z5ID2PEm9NA!e z*n|y6_qD@x28Lapef~U7&UAY?4I}zO9FOTU@4*_(Ph+Nb>*OE_09j?6lWDn6?nMUD z4DdG~?WF2g)Yt$NQDre)4ioq#Bc)Lr>V6Q9dJm$~3jDc6s0`-N_*DS(H|0AHi)Kq5 kIFV&u)?)YUmoI~nuQ> 4)); \ +pixel[1] = ((((data[1] - 33) & 0xF) << 4) | ((data[2] - 33) >> 2)); \ +pixel[2] = ((((data[2] - 33) & 0x3) << 6) | ((data[3] - 33))); \ +data += 4; \ +} +static char *header_data = + "````````````!!!!!!!!!!!!!!!!````!!!!````!!!!!!!!!!!!!!!!!!!!!!!!" + "````!!!!````!!!!!!!!!!!!````!!!!````!!!!````!!!!!!!!!!!!!!!!!!!!" + "````!!!!!!!!!!!!!!!!!!!!````!!!!````!!!!````!!!!!!!!!!!!!!!!!!!!" + "````````````````````````````````````````````````!!!!!!!!!!!!!!!!" + "!!!!!!!!````````````````!!!!!!!!!!!!!!!!!!!!````!!!!!!!!!!!!!!!!" + "!!!!!!!!````````!!!!!!!!!!!!````````!!!!!!!!!!!!````````````!!!!" + "!!!!!!!!````!!!!!!!!!!!!!!!!````````!!!!!!!!````!!!!!!!!!!!!````" + "!!!!!!!!````!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!````!!!!````!!!!````" + "!!!!!!!!````!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!````!!!!!!!!!!!!````" + "!!!!!!!!````!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!````!!!!!!!!!!!!````" + "!!!!!!!!````!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!````!!!!````!!!!````" + "!!!!!!!!````!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!````!!!!!!!!!!!!````" + "!!!!!!!!````````````````````````````````````````````````````!!!!" + "!!!!!!!!````!!!!````!!!!````!!!!!!!!````!!!!!!!!!!!!!!!!!!!!!!!!" + "!!!!!!!!````!!!!````!!!!````!!!!!!!!````!!!!!!!!!!!!!!!!!!!!!!!!" + "!!!!````````!!!!````!!!!````````!!!!````````!!!!!!!!!!!!!!!!!!!!" + ""; diff --git a/pov-led-globe/globe-fixed/image/pig16.xcf b/pov-led-globe/globe-fixed/image/pig16.xcf new file mode 100644 index 0000000000000000000000000000000000000000..5dc9322f7eefa0f0512576fef32cdaefc19b6f2f GIT binary patch literal 1180 zcmeHFO;5r=5S?v{BGriT3f%H<}FWtpdXHtY=&73FDO$U&8YLQO+CdKgu4gp6%#h|%eh(Yh~x zCp@|?7h$9`CCBxGs}^Pt>-fwJ)q`(gP%9}MT1|0^SyPPg4FZP&u#n8 zqb2p~F8&QqZOxf-?HHFn4fghSNzgl2hFtpM$G-AShg{>a>X9%`1qT@d7lMhP4>(|8 k$98v1#aoS5ac~N&knwSAv-86{c+r;EtA6hP_J8R8H;#xpZ2$lO literal 0 HcmV?d00001 diff --git a/pov-led-globe/globe-fixed/image/snowflake.h b/pov-led-globe/globe-fixed/image/snowflake.h new file mode 100644 index 0000000..a740627 --- /dev/null +++ b/pov-led-globe/globe-fixed/image/snowflake.h @@ -0,0 +1,289 @@ +/* GIMP header image file format (INDEXED): /home/ondra/devel/elektro/avr/projects/c/globus/image/snowflake.h */ + +static unsigned int width = 15; +static unsigned int height = 16; + +/* Call this macro repeatedly. After each use, the pixel data can be extracted */ + +#define HEADER_PIXEL(data,pixel) {\ +pixel[0] = header_data_cmap[(unsigned char)data[0]][0]; \ +pixel[1] = header_data_cmap[(unsigned char)data[0]][1]; \ +pixel[2] = header_data_cmap[(unsigned char)data[0]][2]; \ +data ++; } + +static char header_data_cmap[256][3] = { + { 0, 0, 0}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255}, + {255,255,255} + }; +static char header_data[] = { + 0,0,0,0,0,0,0,1,0,0,0,0,0,0,0, + 0,0,0,0,0,0,1,1,1,0,0,0,0,0,0, + 0,0,1,0,0,0,0,1,0,0,0,0,1,0,0, + 0,1,1,1,0,0,0,1,0,0,0,1,1,1,0, + 0,0,1,1,1,0,1,1,1,0,1,1,1,0,0, + 0,0,0,1,1,0,0,1,0,0,1,0,0,0,0, + 0,0,0,0,0,1,0,1,0,1,0,0,0,0,0, + 1,0,0,1,0,0,1,1,1,0,0,1,0,0,1, + 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, + 1,0,0,1,0,0,1,1,1,0,0,1,0,0,1, + 0,0,0,0,0,1,0,1,0,1,0,0,0,0,0, + 0,0,0,0,1,0,0,1,0,0,1,1,0,0,0, + 0,0,1,1,1,0,1,1,1,0,0,1,1,0,0, + 0,1,1,1,0,0,0,1,0,0,0,1,1,1,0, + 0,0,1,0,0,0,0,1,0,0,0,0,1,0,0, + 0,0,0,0,0,0,1,1,1,0,0,0,0,0,0 + }; diff --git a/pov-led-globe/globe-fixed/image/snowflake.xcf b/pov-led-globe/globe-fixed/image/snowflake.xcf new file mode 100644 index 0000000000000000000000000000000000000000..7d3b9d43fa3bf7bfdbad920ba95a253e1a1a0f9f GIT binary patch literal 849 zcmZ{iT~5M45XW~5QUnS3`Q*bs!b45D0zMEQOuRxVW!Xqu(iVky2)%(<@m9uh;v&b7!!U?4ob%o%*Gb@I!B{-1Y$}E~w|5lj-85Ux z0+GfuHSRUK6Y0mZI1%04IEfs2!o6~H*#mtY>Y;SBr_{v7|3Ib7bnf{olA>?!f^)Uc zseR5OQkhVZKMhi z%`t(+Z31ie0rUK{1&gooTW&v9BKC!roZ0M?!*Et%AGw2KoP86w4g988OuEh9O*%?- Qcl5!XrF%;=)et*iKQj+}f&c&j literal 0 HcmV?d00001 diff --git a/pov-led-globe/globe-fixed/image_globus32.h b/pov-led-globe/globe-fixed/image_globus32.h new file mode 100644 index 0000000..8906024 --- /dev/null +++ b/pov-led-globe/globe-fixed/image_globus32.h @@ -0,0 +1,65 @@ + +#define ROWS 4 +#define COLS 58 + +const uint8_t image[COLS][ROWS] PROGMEM = { + { 0b00000000, 0b00000000, 0b00000000, 0b00000000 }, // + { 0b00001111, 0b10000000, 0b00000000, 0b00000000 }, // █████ + { 0b00001111, 0b10000000, 0b00000000, 0b00000000 }, // █████ + { 0b00001111, 0b00000000, 0b00000000, 0b00000000 }, // ████ + { 0b00001111, 0b10000000, 0b00000000, 0b00000000 }, // █████ + { 0b00000111, 0b10000000, 0b00000000, 0b00000000 }, // ████ + { 0b00001111, 0b11100000, 0b00000000, 0b00000000 }, // ███████ + { 0b00111111, 0b11111000, 0b00000000, 0b00000000 }, // ███████████ + { 0b11111111, 0b11111110, 0b00000000, 0b00000000 }, //███████████████ + { 0b01111111, 0b11111111, 0b00000000, 0b00000000 }, // ███████████████ + { 0b01011111, 0b11111111, 0b10000000, 0b00000000 }, // █ ██████████████ + { 0b11110111, 0b11111111, 0b11000000, 0b00000000 }, //████ █████████████ + { 0b11011111, 0b01111110, 0b01000000, 0b00000000 }, //██ █████ ██████ █ + { 0b01111110, 0b01111110, 0b00100000, 0b00000000 }, // ██████ ██████ █ + { 0b00011001, 0b11111111, 0b00011100, 0b00000000 }, // ██ █████████ ███ + { 0b00001111, 0b11111000, 0b00111110, 0b00011000 }, // █████████ █████ ██ + { 0b00000111, 0b11111000, 0b00111111, 0b11111110 }, // ████████ █████████████ + { 0b00000000, 0b01110000, 0b00111111, 0b11100000 }, // ███ █████████ + { 0b00000000, 0b00100000, 0b00011111, 0b11000000 }, // █ ███████ + { 0b00000000, 0b00000000, 0b00001111, 0b10000000 }, // █████ + { 0b00000000, 0b00000000, 0b00001111, 0b00000000 }, // ████ + { 0b00000000, 0b00000000, 0b00000100, 0b00000000 }, // █ + { 0b00000000, 0b00000000, 0b00000000, 0b00000000 }, // + { 0b00000010, 0b00000000, 0b00000000, 0b00000000 }, // █ + { 0b00000010, 0b00000000, 0b11000000, 0b00000000 }, // █ ██ + { 0b00000000, 0b00000001, 0b11110000, 0b00000000 }, // █████ + { 0b00000000, 0b01101111, 0b11110000, 0b00000000 }, // ██ ████████ + { 0b00000000, 0b00111111, 0b11110000, 0b00000000 }, // ██████████ + { 0b00000001, 0b10111011, 0b11110000, 0b00000000 }, // ██ ███ ██████ + { 0b00000111, 0b10111001, 0b11111111, 0b10000000 }, // ████ ███ ██████████ + { 0b00001111, 0b01111011, 0b11111111, 0b11000000 }, // ████ ████ ████████████ + { 0b00001111, 0b11111101, 0b11111111, 0b11000000 }, // ██████████ ███████████ + { 0b00000111, 0b11111101, 0b11111111, 0b10000000 }, // █████████ ██████████ + { 0b00000111, 0b11111111, 0b11111110, 0b00000000 }, // ██████████████████ + { 0b00000111, 0b11111111, 0b11110011, 0b00000000 }, // ███████████████ ██ + { 0b00110111, 0b11111111, 0b11000000, 0b00000000 }, // ██ █████████████ + { 0b01001111, 0b11111111, 0b10000000, 0b00000000 }, // █ █████████████ + { 0b00001111, 0b11111111, 0b00000000, 0b00000000 }, // ████████████ + { 0b00011111, 0b11111111, 0b11000000, 0b00000000 }, // ███████████████ + { 0b00011111, 0b11111111, 0b11100000, 0b00000000 }, // ████████████████ + { 0b00111111, 0b11111111, 0b10000000, 0b00000000 }, // ███████████████ + { 0b01111111, 0b11111111, 0b10000000, 0b00000000 }, // ████████████████ + { 0b01111111, 0b11111111, 0b11000000, 0b00000000 }, // █████████████████ + { 0b11111111, 0b11111111, 0b11101000, 0b00000000 }, //███████████████████ █ + { 0b01111111, 0b11111111, 0b10011000, 0b00000000 }, // ████████████████ ██ + { 0b00011111, 0b11111111, 0b00011101, 0b11000000 }, // █████████████ ███ ███ + { 0b00011111, 0b11111111, 0b00000101, 0b11000000 }, // █████████████ █ ███ + { 0b00011111, 0b11111100, 0b00000011, 0b11000000 }, // ███████████ ████ + { 0b00011111, 0b11111110, 0b00000011, 0b11000000 }, // ████████████ ████ + { 0b01011111, 0b10110100, 0b00001011, 0b11100000 }, // █ ██████ ██ █ █ █████ + { 0b00011111, 0b10000000, 0b00000001, 0b11100000 }, // ██████ ████ + { 0b00001111, 0b00000000, 0b00000000, 0b11000000 }, // ████ ██ + { 0b00001111, 0b11000000, 0b00000000, 0b00000000 }, // ██████ + { 0b00000111, 0b10000000, 0b00000000, 0b00000000 }, // ████ + { 0b00001111, 0b00000000, 0b00000000, 0b00000000 }, // ████ + { 0b00000111, 0b00000000, 0b00000000, 0b00000000 }, // ███ + { 0b00000100, 0b00000000, 0b00000000, 0b00000000 }, // █ + { 0b00000000, 0b00000000, 0b00000000, 0b00000000 }, // +}; + diff --git a/pov-led-globe/globe-fixed/image_globus32_reverse.h b/pov-led-globe/globe-fixed/image_globus32_reverse.h new file mode 100644 index 0000000..fedc33e --- /dev/null +++ b/pov-led-globe/globe-fixed/image_globus32_reverse.h @@ -0,0 +1,65 @@ + +#define ROWS 4 +#define COLS 58 + +const uint8_t image[COLS][ROWS] PROGMEM = { + { 0b00000000, 0b00000000, 0b00000000, 0b00000000 }, // + { 0b00000100, 0b00000000, 0b00000000, 0b00000000 }, // █ + { 0b00000111, 0b00000000, 0b00000000, 0b00000000 }, // ███ + { 0b00001111, 0b00000000, 0b00000000, 0b00000000 }, // ████ + { 0b00000111, 0b10000000, 0b00000000, 0b00000000 }, // ████ + { 0b00001111, 0b11000000, 0b00000000, 0b00000000 }, // ██████ + { 0b00001111, 0b00000000, 0b00000000, 0b11000000 }, // ████ ██ + { 0b00011111, 0b10000000, 0b00000001, 0b11100000 }, // ██████ ████ + { 0b01011111, 0b10110100, 0b00001011, 0b11100000 }, // █ ██████ ██ █ █ █████ + { 0b00011111, 0b11111110, 0b00000011, 0b11000000 }, // ████████████ ████ + { 0b00011111, 0b11111100, 0b00000011, 0b11000000 }, // ███████████ ████ + { 0b00011111, 0b11111111, 0b00000101, 0b11000000 }, // █████████████ █ ███ + { 0b00011111, 0b11111111, 0b00011101, 0b11000000 }, // █████████████ ███ ███ + { 0b01111111, 0b11111111, 0b10011000, 0b00000000 }, // ████████████████ ██ + { 0b11111111, 0b11111111, 0b11101000, 0b00000000 }, //███████████████████ █ + { 0b01111111, 0b11111111, 0b11000000, 0b00000000 }, // █████████████████ + { 0b01111111, 0b11111111, 0b10000000, 0b00000000 }, // ████████████████ + { 0b00111111, 0b11111111, 0b10000000, 0b00000000 }, // ███████████████ + { 0b00011111, 0b11111111, 0b11100000, 0b00000000 }, // ████████████████ + { 0b00011111, 0b11111111, 0b11000000, 0b00000000 }, // ███████████████ + { 0b00001111, 0b11111111, 0b00000000, 0b00000000 }, // ████████████ + { 0b01001111, 0b11111111, 0b10000000, 0b00000000 }, // █ █████████████ + { 0b00110111, 0b11111111, 0b11000000, 0b00000000 }, // ██ █████████████ + { 0b00000111, 0b11111111, 0b11110011, 0b00000000 }, // ███████████████ ██ + { 0b00000111, 0b11111111, 0b11111110, 0b00000000 }, // ██████████████████ + { 0b00000111, 0b11111101, 0b11111111, 0b10000000 }, // █████████ ██████████ + { 0b00001111, 0b11111101, 0b11111111, 0b11000000 }, // ██████████ ███████████ + { 0b00001111, 0b01111011, 0b11111111, 0b11000000 }, // ████ ████ ████████████ + { 0b00000111, 0b10111001, 0b11111111, 0b10000000 }, // ████ ███ ██████████ + { 0b00000001, 0b10111011, 0b11110000, 0b00000000 }, // ██ ███ ██████ + { 0b00000000, 0b00111111, 0b11110000, 0b00000000 }, // ██████████ + { 0b00000000, 0b01101111, 0b11110000, 0b00000000 }, // ██ ████████ + { 0b00000000, 0b00000001, 0b11110000, 0b00000000 }, // █████ + { 0b00000010, 0b00000000, 0b11000000, 0b00000000 }, // █ ██ + { 0b00000010, 0b00000000, 0b00000000, 0b00000000 }, // █ + { 0b00000000, 0b00000000, 0b00000000, 0b00000000 }, // + { 0b00000000, 0b00000000, 0b00000100, 0b00000000 }, // █ + { 0b00000000, 0b00000000, 0b00001111, 0b00000000 }, // ████ + { 0b00000000, 0b00000000, 0b00001111, 0b10000000 }, // █████ + { 0b00000000, 0b00100000, 0b00011111, 0b11000000 }, // █ ███████ + { 0b00000000, 0b01110000, 0b00111111, 0b11100000 }, // ███ █████████ + { 0b00000111, 0b11111000, 0b00111111, 0b11111110 }, // ████████ █████████████ + { 0b00001111, 0b11111000, 0b00111110, 0b00011000 }, // █████████ █████ ██ + { 0b00011001, 0b11111111, 0b00011100, 0b00000000 }, // ██ █████████ ███ + { 0b01111110, 0b01111110, 0b00100000, 0b00000000 }, // ██████ ██████ █ + { 0b11011111, 0b01111110, 0b01000000, 0b00000000 }, //██ █████ ██████ █ + { 0b11110111, 0b11111111, 0b11000000, 0b00000000 }, //████ █████████████ + { 0b01011111, 0b11111111, 0b10000000, 0b00000000 }, // █ ██████████████ + { 0b01111111, 0b11111111, 0b00000000, 0b00000000 }, // ███████████████ + { 0b11111111, 0b11111110, 0b00000000, 0b00000000 }, //███████████████ + { 0b00111111, 0b11111000, 0b00000000, 0b00000000 }, // ███████████ + { 0b00001111, 0b11100000, 0b00000000, 0b00000000 }, // ███████ + { 0b00000111, 0b10000000, 0b00000000, 0b00000000 }, // ████ + { 0b00001111, 0b10000000, 0b00000000, 0b00000000 }, // █████ + { 0b00001111, 0b00000000, 0b00000000, 0b00000000 }, // ████ + { 0b00001111, 0b10000000, 0b00000000, 0b00000000 }, // █████ + { 0b00001111, 0b10000000, 0b00000000, 0b00000000 }, // █████ + { 0b00000000, 0b00000000, 0b00000000, 0b00000000 }, // +}; + diff --git a/pov-led-globe/globe-fixed/image_logo16.h b/pov-led-globe/globe-fixed/image_logo16.h new file mode 100644 index 0000000..f47401d --- /dev/null +++ b/pov-led-globe/globe-fixed/image_logo16.h @@ -0,0 +1,23 @@ + +#define ROWS 2 +#define COLS 16 + +const uint8_t image[COLS][ROWS] PROGMEM = { + { 0b00000011, 0b11000000 }, // ████ + { 0b00010010, 0b01000110 }, // █ █ █ ██ + { 0b00101010, 0b00011100 }, // █ █ █ ███ + { 0b01000110, 0b11111100 }, // █ ██ ██████ + { 0b00100000, 0b00111100 }, // █ ████ + { 0b00010000, 0b01101000 }, // █ ██ █ + { 0b11110000, 0b11001011 }, //████ ██ █ ██ + { 0b10000001, 0b10000001 }, //█ ██ █ + { 0b10000011, 0b11100001 }, //█ █████ █ + { 0b11110111, 0b11101111 }, //████ ██████ ████ + { 0b00010001, 0b11001000 }, // █ ███ █ + { 0b00100011, 0b10000100 }, // █ ███ █ + { 0b01000111, 0b01100010 }, // █ ███ ██ █ + { 0b00101110, 0b01010100 }, // █ ███ █ █ █ + { 0b00011100, 0b01001000 }, // ███ █ █ + { 0b00001011, 0b11000000 }, // █ ████ +}; + diff --git a/pov-led-globe/globe-fixed/image_logo32.h b/pov-led-globe/globe-fixed/image_logo32.h new file mode 100644 index 0000000..476a4fc --- /dev/null +++ b/pov-led-globe/globe-fixed/image_logo32.h @@ -0,0 +1,39 @@ + +#define ROWS 4 +#define COLS 32 + +const uint8_t image[COLS][ROWS] PROGMEM = { + { 0b00000000, 0b00001111, 0b11110000, 0b00000000 }, // ████████ + { 0b00000000, 0b11111100, 0b01111110, 0b00000000 }, // ██████ ██████ + { 0b00000001, 0b11100000, 0b00000111, 0b10000000 }, // ████ ████ + { 0b00000011, 0b10000001, 0b10000001, 0b11000000 }, // ███ ██ ███ + { 0b00000110, 0b00000010, 0b01000000, 0b01100000 }, // ██ █ █ ██ + { 0b00001100, 0b00000110, 0b01000000, 0b00110000 }, // ██ ██ █ ██ + { 0b00011000, 0b10000100, 0b01000011, 0b00011000 }, // ██ █ █ █ ██ ██ + { 0b00110001, 0b11100100, 0b01100111, 0b10001100 }, // ██ ████ █ ██ ████ ██ + { 0b01100011, 0b00111100, 0b00111100, 0b10001100 }, // ██ ██ ████ ████ █ ██ + { 0b01100011, 0b00001100, 0b00000000, 0b10000110 }, // ██ ██ ██ █ ██ + { 0b01000001, 0b10000111, 0b10000001, 0b10000110 }, // █ ██ ████ ██ ██ + { 0b01000000, 0b10000110, 0b01000111, 0b11110110 }, // █ █ ██ █ ███████ ██ + { 0b11000000, 0b10001100, 0b01001000, 0b00010010 }, //██ █ ██ █ █ █ █ + { 0b10000111, 0b10011000, 0b01000100, 0b00110011 }, //█ ████ ██ █ █ ██ ██ + { 0b10001100, 0b01100010, 0b01011000, 0b00100011 }, //█ ██ ██ █ █ ██ █ ██ + { 0b10010000, 0b10000100, 0b01100000, 0b01010011 }, //█ █ █ █ ██ █ █ ██ + { 0b10010011, 0b00000100, 0b01000110, 0b11010011 }, //█ █ ██ █ █ ██ ██ █ ██ + { 0b10011100, 0b00001100, 0b10001100, 0b10010011 }, //█ ███ ██ █ ██ █ █ ██ + { 0b10011000, 0b00010100, 0b00111111, 0b11100011 }, //█ ██ █ █ █████████ ██ + { 0b11010000, 0b00100110, 0b01100010, 0b00000010 }, //██ █ █ ██ ██ █ █ + { 0b01010000, 0b01000010, 0b10000011, 0b00000010 }, // █ █ █ █ █ ██ █ + { 0b01011001, 0b10000011, 0b00000001, 0b10000110 }, // █ ██ ██ ██ ██ ██ + { 0b01101011, 0b00011110, 0b00110000, 0b10000110 }, // ██ █ ██ ████ ██ █ ██ + { 0b00101111, 0b00110110, 0b01111100, 0b10001100 }, // █ ████ ██ ██ █████ █ ██ + { 0b00111101, 0b11100100, 0b01100111, 0b10001100 }, // ████ ████ █ ██ ████ ██ + { 0b00011000, 0b00000100, 0b01000000, 0b00011000 }, // ██ █ █ ██ + { 0b00001100, 0b00000100, 0b01000000, 0b00110000 }, // ██ █ █ ██ + { 0b00000110, 0b00000011, 0b11000000, 0b01100000 }, // ██ ████ ██ + { 0b00000011, 0b10000001, 0b10000001, 0b11000000 }, // ███ ██ ███ + { 0b00000001, 0b11100000, 0b00000111, 0b10000000 }, // ████ ████ + { 0b00000000, 0b01111100, 0b00111110, 0b00000000 }, // █████ █████ + { 0b00000000, 0b00001111, 0b11110000, 0b00000000 }, // ████████ +}; + diff --git a/pov-led-globe/globe-fixed/image_pig16.h b/pov-led-globe/globe-fixed/image_pig16.h new file mode 100644 index 0000000..be20409 --- /dev/null +++ b/pov-led-globe/globe-fixed/image_pig16.h @@ -0,0 +1,23 @@ + +#define ROWS 2 +#define COLS 16 + +const uint8_t image[COLS][ROWS] PROGMEM = { + { 0b11110000, 0b00000000 }, //████ + { 0b10010000, 0b00000001 }, //█ █ █ + { 0b11011111, 0b11111111 }, //██ █████████████ + { 0b00011100, 0b00001000 }, // ███ █ + { 0b00011000, 0b00001111 }, // ██ ████ + { 0b00011000, 0b00001000 }, // ██ █ + { 0b01110000, 0b00001111 }, // ███ ████ + { 0b10010110, 0b00001001 }, //█ █ ██ █ █ + { 0b01110110, 0b00001000 }, // ███ ██ █ + { 0b10010000, 0b00001111 }, //█ █ ████ + { 0b01110000, 0b00001001 }, // ███ █ █ + { 0b00011011, 0b11111000 }, // ██ ███████ + { 0b00000100, 0b00001000 }, // █ █ + { 0b00000101, 0b00101000 }, // █ █ █ █ + { 0b00000100, 0b00001000 }, // █ █ + { 0b00000011, 0b11110000 }, // ██████ +}; + diff --git a/pov-led-globe/globe-fixed/image_snowflake16.h b/pov-led-globe/globe-fixed/image_snowflake16.h new file mode 100644 index 0000000..22e73fd --- /dev/null +++ b/pov-led-globe/globe-fixed/image_snowflake16.h @@ -0,0 +1,22 @@ + +#define ROWS 2 +#define COLS 15 + +const uint8_t image[COLS][ROWS] PROGMEM = { + { 0b00000001, 0b11000000 }, // ███ + { 0b00010000, 0b10000100 }, // █ █ █ + { 0b00111000, 0b10001110 }, // ███ █ ███ + { 0b00011101, 0b11001100 }, // ███ ███ ██ + { 0b00001100, 0b10011000 }, // ██ █ ██ + { 0b00000010, 0b10100000 }, // █ █ █ + { 0b01001001, 0b11001001 }, // █ █ ███ █ █ + { 0b11111111, 0b11111111 }, //████████████████ + { 0b01001001, 0b11001001 }, // █ █ ███ █ █ + { 0b00000010, 0b10100000 }, // █ █ █ + { 0b00001100, 0b10010000 }, // ██ █ █ + { 0b00011001, 0b11011100 }, // ██ ███ ███ + { 0b00111000, 0b10001110 }, // ███ █ ███ + { 0b00010000, 0b10000100 }, // █ █ █ + { 0b00000001, 0b11000000 }, // ███ +}; + diff --git a/pov-led-globe/globe-fixed/main.c b/pov-led-globe/globe-fixed/main.c new file mode 100644 index 0000000..c51afcb --- /dev/null +++ b/pov-led-globe/globe-fixed/main.c @@ -0,0 +1,153 @@ +#include +#include +#include +#include +#include +#include + +#include "utils.h" + +// Change to the image you want: +#include "image_globus32_reverse.h" + + +/** + * +--u--+ + * RST --| |-- Vcc + * TRIGGER : PB3 --| t13 |-- PB2 : CLOCK -> + * N.C. : PB4 --| |-- PB1 : STROBE -> to chained 74HC4094's + * GND --| |-- PB0 : DATA -> + * +-----+ + * + * TRIGGER is connected to ground by spring switch + * that gets activated at start of swing to right. + * (It's a metal contact with weight) + * + * LEDs are connected to 74HC4094 outputs by anodes. + * To use cathodes, invert byte in sendByte function. + */ + + +// timing config (microseconds) +#define LIGHT_US 1500 +#define GAP_US 200 + + + +// pins config +#define PIN_DATA PB0 +#define PIN_STROBE PB1 +#define PIN_CLOCK PB2 +#define PIN_TRIGGER PB3 + + +#define increment_wrap(var, max) if ((var) >= (max)) { (var)=0; } else { (var)++; } +#define decrement_wrap(var, max) if ((var) > 0) { (var)--; } else { (var)=(max); } + + +/** Initialize IO ports. */ +void init_io(void) SECTION(".init8"); + +void init_io(void) +{ + // set output pins + DDRB = _BV(PIN_DATA) | _BV(PIN_STROBE) | _BV(PIN_CLOCK); + + // pullups + PORTB = _BV(PIN_TRIGGER); + + + // initialize the timer + + TCCR0B = _BV(CS00) | _BV(CS01); // prescaler 0 + OCR0A = 150; // interrupt every 1 ms + sbi(TIMSK0, OCIE0A); + + // initialize external interrupt + + PCMSK = _BV(PCINT3); + sbi(GIMSK, PCIE); // pin change interrupt enable + + sei(); +} + + +volatile bool want_stop = 1; +volatile uint8_t col_start = 0; +volatile uint8_t ms_counter = 0; + + +/** timer 0 interrupt vector */ +ISR(PCINT0_vect) +{ + want_stop = 1; // request to stop cycle +} + + +/** timer 0 interrupt vector */ +ISR(TIM0_COMPA_vect) +{ + if(++ms_counter >= 150) { + ms_counter = 0; + increment_wrap(col_start, COLS - 1); + } +} + + +/** send one byte into registers */ +void sendByte(uint8_t b) +{ + for(uint8_t i=0; i<8; i++) { + setBit(PORTB, PIN_DATA, getBit(b, i)); + + // pulse + busPulse(PORTB, PIN_CLOCK); + } +} + + +/** send STROBE pulse */ +#define display() busPulse(PORTB, PIN_STROBE) + +/** + * Main function + */ +int main(void) +{ + while(1) { + + while(1 == getBit(PINB, PIN_TRIGGER)); // wait for LOW on trigger + _delay_ms(3); // debounce delay + while(0 == getBit(PINB, PIN_TRIGGER)); // wait for trigger to be released + _delay_ms(3); // delay before start of image + want_stop = 0; // clear flag + + uint8_t col = col_start; + for(uint8_t i = 0; i < COLS; i++) { + if (want_stop) break; + + increment_wrap(col, COLS - 1); + + // +++ light +++ + + for(int8_t row=ROWS-1; row>=0; row--) { + uint8_t b = pgm_read_byte(&image[col][row]); + sendByte(b); + } + + display(); + // Duration of pixel + _delay_us(LIGHT_US); + + // +++ dark +++ + + for(int8_t row=ROWS-1; row>=0; row--) + sendByte(0); + + display(); + // Delay between pixels + // (remove to get continuous lines) + _delay_us(GAP_US); + } + } +} diff --git a/pov-led-globe/globe-fixed/main.c_1 b/pov-led-globe/globe-fixed/main.c_1 new file mode 100644 index 0000000..9d63957 --- /dev/null +++ b/pov-led-globe/globe-fixed/main.c_1 @@ -0,0 +1,153 @@ +#include +#include +#include +#include +#include +#include + +#include "utils.h" + +// Change to the image you want: +#include "image_globus32.h" + + +/** + * +--u--+ + * RST --| |-- Vcc + * TRIGGER : PB3 --| t13 |-- PB2 : CLOCK -> + * N.C. : PB4 --| |-- PB1 : STROBE -> to chained 74HC4094's + * GND --| |-- PB0 : DATA -> + * +-----+ + * + * TRIGGER is connected to ground by spring switch + * that gets activated at start of swing to right. + * (It's a metal contact with weight) + * + * LEDs are connected to 74HC4094 outputs by anodes. + * To use cathodes, invert byte in sendByte function. + */ + + +// timing config (microseconds) +#define LIGHT_US 1500 +#define GAP_US 200 + + + +// pins config +#define PIN_DATA PB0 +#define PIN_STROBE PB1 +#define PIN_CLOCK PB2 +#define PIN_TRIGGER PB3 + + +#define increment_wrap(var, max) if ((var) >= (max)) { (var)=0; } else { (var)++; } +#define decrement_wrap(var, max) if ((var) > 0) { (var)--; } else { (var)=(max); } + + +/** Initialize IO ports. */ +void init_io(void) SECTION(".init8"); + +void init_io(void) +{ + // set output pins + DDRB = _BV(PIN_DATA) | _BV(PIN_STROBE) | _BV(PIN_CLOCK); + + // pullups + PORTB = _BV(PIN_TRIGGER); + + + // initialize the timer + + TCCR0B = _BV(CS00) | _BV(CS01); // prescaler 0 + OCR0A = 150; // interrupt every 1 ms + sbi(TIMSK0, OCIE0A); + + // initialize external interrupt + + PCMSK = _BV(PCINT3); + sbi(GIMSK, PCIE); // pin change interrupt enable + + sei(); +} + + +volatile bool want_stop = 1; +volatile uint8_t col_start = 0; +volatile uint8_t ms_counter = 0; + + +/** timer 0 interrupt vector */ +ISR(PCINT0_vect) +{ + want_stop = 1; // request to stop cycle +} + + +/** timer 0 interrupt vector */ +ISR(TIM0_COMPA_vect) +{ + if(++ms_counter >= 150) { + ms_counter = 0; + increment_wrap(col_start, COLS - 1); + } +} + + +/** send one byte into registers */ +void sendByte(uint8_t b) +{ + for(uint8_t i=0; i<8; i++) { + setBit(PORTB, PIN_DATA, getBit(b, i)); + + // pulse + busPulse(PORTB, PIN_CLOCK); + } +} + + +/** send STROBE pulse */ +#define display() busPulse(PORTB, PIN_STROBE) + +/** + * Main function + */ +int main(void) +{ + while(1) { + + while(1 == getBit(PINB, PIN_TRIGGER)); // wait for LOW on trigger + _delay_ms(3); // debounce delay + while(0 == getBit(PINB, PIN_TRIGGER)); // wait for trigger to be released + _delay_ms(3); // delay before start of image + want_stop = 0; // clear flag + + uint8_t col = col_start; + for(uint8_t i = 0; i < COLS; i++) { + if (want_stop) break; + + increment_wrap(col, COLS - 1); + + // +++ light +++ + + for(int8_t row=ROWS-1; row>=0; row--) { + uint8_t b = pgm_read_byte(&image[col][row]); + sendByte(b); + } + + display(); + // Duration of pixel + _delay_us(LIGHT_US); + + // +++ dark +++ + + for(int8_t row=ROWS-1; row>=0; row--) + sendByte(0); + + display(); + // Delay between pixels + // (remove to get continuous lines) + _delay_us(GAP_US); + } + } +} diff --git a/pov-led-globe/globe-fixed/utils.h b/pov-led-globe/globe-fixed/utils.h new file mode 100644 index 0000000..9155e72 --- /dev/null +++ b/pov-led-globe/globe-fixed/utils.h @@ -0,0 +1,14 @@ +#pragma once + +// general macros +#define SECTION(pos) __attribute__((naked, used, section(pos))) + + +// pin manipulation +#define sbi(port, bit) (port) |= _BV(bit) +#define cbi(port, bit) (port) &= ~ _BV(bit) + +#define setBit(port, bit, value) (port) = (((port) & ~_BV(bit)) | ((value) << (bit))) +#define getBit(port, bit) (((port) >> (bit)) & 1) + +#define busPulse(port, bit) { sbi(port, bit); cbi(port, bit); } diff --git a/pov-led-globe/globe-timed-experimental b/pov-led-globe/globe-timed-experimental new file mode 160000 index 0000000..4eaff23 --- /dev/null +++ b/pov-led-globe/globe-timed-experimental @@ -0,0 +1 @@ +Subproject commit 4eaff23a1d463fd916f5a983fd202e0707c12af7 diff --git a/rgb-led-strip/arduino-ws-anim-rainbow b/rgb-led-strip/arduino-ws-anim-rainbow new file mode 160000 index 0000000..21e5a96 --- /dev/null +++ b/rgb-led-strip/arduino-ws-anim-rainbow @@ -0,0 +1 @@ +Subproject commit 21e5a96cdfa446af0266c3e37ab21ec1347f3646 diff --git a/rgb-led-strip/arduino-ws-static-rainbow/Makefile b/rgb-led-strip/arduino-ws-static-rainbow/Makefile new file mode 100644 index 0000000..48d25b3 --- /dev/null +++ b/rgb-led-strip/arduino-ws-static-rainbow/Makefile @@ -0,0 +1,158 @@ + +MCU = atmega328p + +F_CPU = 16000000 + +LFUSE = 0xFF +HFUSE = 0xDE +EFUSE = 0x05 + +MAIN = main.c + +## If you've split your program into multiple files, +## include the additional .c source (in same directory) here +## (and include the .h files in your foo.c) +LOCAL_SOURCE = + +## Here you can link to one more directory (and multiple .c files) +# EXTRA_SOURCE_DIR = ../AVR-Programming-Library/ +EXTRA_SOURCE_DIR = +EXTRA_SOURCE_FILES = + + + +##########------------------------------------------------------########## +########## Programmer Defaults ########## +########## Set up once, then forget about it ########## +########## (Can override. See bottom of file.) ########## +##########------------------------------------------------------########## +#19200 +PROGRAMMER_TYPE = arduino +PROGRAMMER_ARGS = -b 57600 -P /dev/ttyUSB0 + + +##########------------------------------------------------------########## +########## Makefile Magic! ########## +########## Summary: ########## +########## We want a .hex file ########## +########## Compile source files into .elf ########## +########## Convert .elf file into .hex ########## +########## You shouldn't need to edit below. ########## +##########------------------------------------------------------########## + +## Defined programs / locations +CC = avr-gcc +OBJCOPY = avr-objcopy +OBJDUMP = avr-objdump +AVRSIZE = avr-size +AVRDUDE = sudo avrdude + +## Compilation options, type man avr-gcc if you're curious. +CFLAGS = -std=gnu99 -mmcu=$(MCU) -DF_CPU=$(F_CPU)UL -Os -I. -I$(EXTRA_SOURCE_DIR) +CFLAGS += -funsigned-char -funsigned-bitfields -fpack-struct -fshort-enums +CFLAGS += -Wall -Wstrict-prototypes -Wno-main -Wno-strict-prototypes -Wno-comment +CFLAGS += -g2 -ggdb +CFLAGS += -ffunction-sections -fdata-sections -Wl,--gc-sections -Wl,--relax +CFLAGS += -std=gnu99 +# CFLAGS += -lm +## CFLAGS += -Wl,-u,vfprintf -lprintf_flt -lm ## for floating-point printf +## CFLAGS += -Wl,-u,vfprintf -lprintf_min ## for smaller printf + +## Lump target and extra source files together +TARGET = $(strip $(basename $(MAIN))) +SRC = $(TARGET).c +EXTRA_SOURCE = $(addprefix $(EXTRA_SOURCE_DIR), $(EXTRA_SOURCE_FILES)) +SRC += $(EXTRA_SOURCE) +SRC += $(LOCAL_SOURCE) + +## List of all header files +HEADERS = $(SRC:.c=.h) + +## For every .c file, compile an .o object file +OBJ = $(SRC:.c=.o) + +## Generic Makefile targets. (Only .hex file is necessary) +all: $(TARGET).hex size + +%.hex: %.elf + $(OBJCOPY) -R .eeprom -O ihex $< $@ + +%.elf: $(SRC) + $(CC) $(CFLAGS) $(SRC) --output $@ + +%.eeprom: %.elf + $(OBJCOPY) -j .eeprom --change-section-lma .eeprom=0 -O ihex $< $@ + +debug: + @echo + @echo "Source files:" $(SRC) + @echo "MCU, F_CPU, BAUD:" $(MCU), $(F_CPU), $(BAUD) + @echo + +# Optionally create listing file from .elf +# This creates approximate assembly-language equivalent of your code. +# Useful for debugging time-sensitive bits, +# or making sure the compiler does what you want. +disassemble: $(TARGET).lst + +dis: disassemble + +eeprom: $(TARGET).eeprom + +%.lst: %.elf + $(OBJDUMP) -S $< > $@ + +# Optionally show how big the resulting program is +size: $(TARGET).elf + $(AVRSIZE) -C --mcu=$(MCU) $(TARGET).elf + +clean: + rm -f $(TARGET).elf $(TARGET).hex $(TARGET).obj \ + $(TARGET).o $(TARGET).d $(TARGET).eep $(TARGET).lst \ + $(TARGET).lss $(TARGET).sym $(TARGET).map $(TARGET)~ \ + $(TARGET).eeprom + +squeaky_clean: + rm -f *.elf *.hex *.obj *.o *.d *.eep *.lst *.lss *.sym *.map *~ + + +##########------------------------------------------------------########## +########## Programmer-specific details ########## +########## Flashing code to AVR using avrdude ########## +##########------------------------------------------------------########## + +flash: $(TARGET).hex + $(AVRDUDE) -c $(PROGRAMMER_TYPE) -p $(MCU) $(PROGRAMMER_ARGS) -U flash:w:$< + +flash_eeprom: $(TARGET).eeprom + $(AVRDUDE) -c $(PROGRAMMER_TYPE) -p $(MCU) $(PROGRAMMER_ARGS) -U eeprom:w:$< + +terminal: + $(AVRDUDE) -c $(PROGRAMMER_TYPE) -p $(MCU) $(PROGRAMMER_ARGS) -nt + + +flash_arduino: PROGRAMMER_TYPE = arduino +flash_arduino: PROGRAMMER_ARGS = +flash_arduino: flash + +flash_dragon_isp: PROGRAMMER_TYPE = dragon_isp +flash_dragon_isp: PROGRAMMER_ARGS = +flash_dragon_isp: flash + + +##########------------------------------------------------------########## +########## Fuse settings and suitable defaults ########## +##########------------------------------------------------------########## + +## Generic +FUSE_STRING = -U lfuse:w:$(LFUSE):m -U hfuse:w:$(HFUSE):m -U efuse:w:$(EFUSE):m + +fuses: + $(AVRDUDE) -c $(PROGRAMMER_TYPE) -p $(MCU) \ + $(PROGRAMMER_ARGS) $(FUSE_STRING) +show_fuses: + $(AVRDUDE) -c $(PROGRAMMER_TYPE) -p $(MCU) $(PROGRAMMER_ARGS) -nv + +## Called with no extra definitions, sets to defaults +set_default_fuses: FUSE_STRING = -U lfuse:w:$(LFUSE):m -U hfuse:w:$(HFUSE):m -U efuse:w:$(EFUSE):m +set_default_fuses: fuses diff --git a/rgb-led-strip/arduino-ws-static-rainbow/arduino_pins.h b/rgb-led-strip/arduino-ws-static-rainbow/arduino_pins.h new file mode 100644 index 0000000..efe67d4 --- /dev/null +++ b/rgb-led-strip/arduino-ws-static-rainbow/arduino_pins.h @@ -0,0 +1,91 @@ +#pragma once + +#include + +#define D0 0 +#define D1 1 +#define D2 2 +#define D3 3 +#define D4 4 +#define D5 5 +#define D6 6 +#define D7 7 +#define D8 0 +#define D9 1 +#define D10 2 +#define D11 3 +#define D12 4 +#define D13 5 +#define A0 0 +#define A1 1 +#define A2 2 +#define A3 3 +#define A4 4 +#define A5 5 + +// port definitions +#define PORT_D0 PORTD +#define PORT_D1 PORTD +#define PORT_D2 PORTD +#define PORT_D3 PORTD +#define PORT_D4 PORTD +#define PORT_D5 PORTD +#define PORT_D6 PORTD +#define PORT_D7 PORTD +#define PORT_D8 PORTB +#define PORT_D9 PORTB +#define PORT_D10 PORTB +#define PORT_D11 PORTB +#define PORT_D12 PORTB +#define PORT_D13 PORTB +#define PORT_A0 PORTC +#define PORT_A1 PORTC +#define PORT_A2 PORTC +#define PORT_A3 PORTC +#define PORT_A4 PORTC +#define PORT_A5 PORTC + +#define PIN_D0 PIND +#define PIN_D1 PIND +#define PIN_D2 PIND +#define PIN_D3 PIND +#define PIN_D4 PIND +#define PIN_D5 PIND +#define PIN_D6 PIND +#define PIN_D7 PIND +#define PIN_D8 PINB +#define PIN_D9 PINB +#define PIN_D10 PINB +#define PIN_D11 PINB +#define PIN_D12 PINB +#define PIN_D13 PINB +#define PIN_A0 PINC +#define PIN_A1 PINC +#define PIN_A2 PINC +#define PIN_A3 PINC +#define PIN_A4 PINC +#define PIN_A5 PINC + +#define DDR_D0 DDRD +#define DDR_D1 DDRD +#define DDR_D2 DDRD +#define DDR_D3 DDRD +#define DDR_D4 DDRD +#define DDR_D5 DDRD +#define DDR_D6 DDRD +#define DDR_D7 DDRD +#define DDR_D8 DDRB +#define DDR_D9 DDRB +#define DDR_D10 DDRB +#define DDR_D11 DDRB +#define DDR_D12 DDRB +#define DDR_D13 DDRB +#define DDR_A0 DDRC +#define DDR_A1 DDRC +#define DDR_A2 DDRC +#define DDR_A3 DDRC +#define DDR_A4 DDRC +#define DDR_A5 DDRC + +#define OUT 1 +#define IN 0 diff --git a/rgb-led-strip/arduino-ws-static-rainbow/main.c b/rgb-led-strip/arduino-ws-static-rainbow/main.c new file mode 100644 index 0000000..5ae4d46 --- /dev/null +++ b/rgb-led-strip/arduino-ws-static-rainbow/main.c @@ -0,0 +1,114 @@ +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "utils.h" +#include "arduino_pins.h" + +#define WS_PORT PORT_D8 +#define WS_BIT D8 +#define WS_DDR DDR_D8 + +#define ws_high() sbi(WS_PORT, WS_BIT) +#define ws_low() cbi(WS_PORT, WS_BIT) + + +#define T1H 800 // Width of a 1 bit in ns +#define T1L 450 // Width of a 1 bit in ns + +#define T0H 200 // Width of a 0 bit in ns +#define T0L 650 // Width of a 0 bit in ns + +#define RES 50000 // Width of the low gap between bits to cause a frame to latch + +#define NS_PER_SEC (1000000000L) // Note that this has to be SIGNED since we want to be able to check for negative values of derivatives + +#define CYCLES_PER_SEC (16000000L) + +#define NS_PER_CYCLE ( NS_PER_SEC / CYCLES_PER_SEC ) + +#define NS_TO_CYCLES(n) ( (n) / NS_PER_CYCLE ) + +#define DELAY_CYCLES(n) ( ((n)>0) ? __builtin_avr_delay_cycles( n ) : __builtin_avr_delay_cycles( 0 ) ) // Make sure we never have a delay less than zero + +void ws_show() +{ + ws_low(); + DELAY_CYCLES( NS_TO_CYCLES(RES) ); +} + + +void ws_send_byte(uint8_t bb) +{ + for (int8_t i = 7; i >= 0; --i) { + if (bb & (1 << i)) { + ws_high(); + DELAY_CYCLES( NS_TO_CYCLES( T1H ) - 2 ); + ws_low(); + DELAY_CYCLES( NS_TO_CYCLES( T1L ) - 10 ); + } else { + ws_high(); + DELAY_CYCLES( NS_TO_CYCLES( T0H ) - 2 ); + ws_low(); + DELAY_CYCLES( NS_TO_CYCLES( T0L ) - 10 ); + } + } +} + + +void ws_send_rgb(uint8_t r, uint8_t g, uint8_t b) +{ + ws_send_byte(g); + ws_send_byte(r); + ws_send_byte(b); +} + + +void init_io(void) SECTION(".init8"); +void init_io() +{ + set_bit(WS_DDR, WS_BIT, OUT); +} + + +void main() +{ + while (1) { + uint8_t r = 250; + uint8_t g = 0; + uint8_t b = 0; + + uint8_t step = 0; + + for (uint8_t i = 0; i < 30; i++) { + ws_send_rgb(r, g, b); + + switch (step) { + case 0: + r -= 50; + g += 50; + if (g == 250) step++; + break; + case 1: + g -= 50; + b += 50; + if (b == 250) step++; + break; + case 2: + b -= 50; + r += 50; + if (r == 250) step=0; + break; + } + } + + // ws_send_rgb(0x00, 0xFF, 0); + ws_show(); + } +} diff --git a/rgb-led-strip/arduino-ws-static-rainbow/utils.h b/rgb-led-strip/arduino-ws-static-rainbow/utils.h new file mode 100644 index 0000000..82805bd --- /dev/null +++ b/rgb-led-strip/arduino-ws-static-rainbow/utils.h @@ -0,0 +1,15 @@ +#pragma once + +#include + +// general macros +#define SECTION(pos) __attribute__((naked, used, section(pos))) + +// pin manipulation +#define sbi(port, bit) (port) |= _BV(bit) +#define cbi(port, bit) (port) &= ~ _BV(bit) + +#define set_bit(port, bit, value) (port) = (((port) & ~_BV(bit)) | ((value) << (bit))) +#define get_bit(port, bit) (((port) >> (bit)) & 1) + +#define bus_pulse(port, bit) { sbi(port, bit); cbi(port, bit); }

~f?#5~uJ9-4;jjt!_#6-?l46BD$dWl0Q=x1lv? zai|U~Q+2OHA@B?G3F}GhTo%Pjx5&T7+Ow|p^A3n32lz=zmDQ!+7FF%kaDKd`79FRx2WZlHANUzZDjyK=2?Ovc3mOTfKm9?Ze!^5r6Du7AIzNol^_vhF|s!&1sR1 z1+LnL*k2@N0&WwuTV{(es*?8Gb2kzRziOgN^_56E<14IvEw*E)l65?ap;rqbE}Og| z>Jq*~hJsrd^`!8)uZ2?FWV(>#^<;7p1msv<%?kjVp&J>jZVIu2q15OtQ z$V1+bIkNf@qv5YEA5}$SR#ya4U}wP|sZyqv-K0x7zk6g^^ER#sLle29QRuIiY<0Fg zL_>h_k955q00ry$?AGl-%^i4;HA8RwFXv|IF1c*e;#gBSy!L?~rD+w2ZnEbzvZ6Cj2gvqE|c_ zz70XxF$4$kB_}V(0*Qy2>vzA0x&jYa|LrPJP?h&(PG@DQL-a(zro;+#HFekpC?Lh$ z@~2R6QWkcjx{_hd;ay6L7D1QE&PX4otcn5%`Y;hjQqP5-fl*N+sy^cAV$KMRRPpOc zW#sbG3#O#0$JusldCp~CMMiZVUA2bd;9pS8g>E)&eW#n$6M+hoIFxbNzH*zr4#j=0 z6=b==tDQ*frJVK7NC%hSk;C|&l`z3!80dVm(VBH#-@?bKjFYO+32Kg>G}N}x5GEhe zUYrkjAKVr3I0s*>w=5nTQqL=HhW0>-Pka$#$Q|{{&CP&G3lYxm^{H4PZeJQnmc;v@ zLB3f0U_BYHTy(8@2bvk{37mfy3q?MphxKaSafIL@AZ?fN=-=4SqK&PG--8aJ_U$7g zoXPtL`?fmu{>s-8kMq%6DqD_Eh{cE_MBB+coN-tEF|Xmv?EDlD9cKN3M%n?ThEFr~5L z4fUs*aJ8R>;EfkwR6W&A=UjffJ`h|y{)s1rlP0gZ`171&LCBvaARGAkEegI}=&3cU z<$4rSLeaC_&B7Se3z|iOc?V~4q3A;v#Fv!Z?Hm8-2hB(1uVi(>0bYG(y#Ip#>C(;; z%?4cz&3c^Vb)rI@oUVCYpEEa^1^5F*$ z??vL+=Xz@bwJaVAFy1fOjU0xaUjndUujorj5`2sCn{M9lt(qOhKw4q{CNO9}<&^wo zjW1pXkIJLVS)Gdseff9P{;E~wp`0G44h(+|ShKvVj6z_6HgaSJcmo_+3fP*TEBbh7 zbu%p=Qy)0j9+I{9TyRWRNripQdP)?d_&!||0}q>3D$M@P_-xv6tUqCEyhhaQSc?)b z?~4A9o7}F9X&1hP#kGbZD2XBB;I2F+*`AQo9RJ}rJ2bg@y^?qFmUCAug#+8Up3PCw zWPR)(NDIia@2f7bk(c9QyAMje_0ag#&a2pypz+Ks=|b=quf&SP0I7YZIzxgLe3xga z3nHiH(2vdGOY;+l`ef~h_(6d`Em1W@%RBKe`vkkme#pyD_G5{uqrqx}Gacz`HLcd1 zk+?rF2);d!Nb-h;YRQ!tv4_&NifmM2mUMGezl4)K&KznT48UXSx4W4`hbjd(cbC~K zLm@$a=<3lzMq#l>41I|){v$!Ldx^!1)kMSwm^DJP*#O{COiuqfo*a?N5>l zI8pY%MXv=v(Tpnyql>>fgto_ab18f>OIo+5zNy#aGE!x3O8jS*`V2A!D{4znz{%6Y zN+MPdEYI`!99222*>0!gC2!+%@iTPMYD33X-%H$?_T8wuheWL=#f0gO2VGHl^@wB9 z>i7Yfs9y1iu18f*zHioG@@AmehZ(w1 zZAqo3W?gX9nMnlsFfc0bQ0;SadIDeDLX(6`a zE>JoCe*lO=b*~J$ZCB8_D8s5H;x3&`%5)%+6Cg=`NSNumIH=)9+VM^gfGhH-ORH~)qV?W|1VaX|7xat5nB7-O{PJV0wpGwYD z8r2%#su0Qu;PITFt!n7AkGCDl56k>r4rrwX8AZP0sdpBC|I+AnTZQ{um&gaxs1=8G zsVr_vzX0)`_4)l;N~GPlx$n|i4U1A&7~V-f^=Ym*U~U-C1XRX_wd<*O)Y7nR_ELuk z%Ggtp%~@Sa3uw0F88eTYoUH#c5%gXBMZyVVkz`g;f~4To{$MBOBaC$I>s<1q%9%;& zq0{OzN&f%{{j6;5<*JRN9`(t|Il~g$Rz6E~;=0=Qv4me#WkoM||J1I0N20yP*ze-Y zKHP)U{cBPgm6SLom+xge*TU4oRHnV-+>GvAwzy`xj02J!5)V6vUbUm*Co1XtvZ_?% zmgs)8sKy+#hoKYrk<7lJv8EiTW60dV3XOF;XLSNaEsx=0#cS-O({VbM9l^#Xf3k>!>Ym!veH!fpcZ)0M^A7W?7 zI3-HtN6d?R%*>NmvyNSoTt=^)72VEi)xF$u#w14!y@)lv zY%7efZ3|B4|JJ_@-#YaQPXjg3$GBOdk(C&6U!8lt)8u^xWRfbm$_yS4KGnP7SIw-d zxaISbc&_{wl@u= z%ZO)9Gym80_+vXm52iupyzj-f%?F94Op@3Ku5(^RKZ0I|(O@LKx<*7;7jTv(e|SD& z{cG5)*+shGZoujXUwVz}FGg*e?GpNx3Nw#Ry=qm!&I#kDeJiCN0PYPg;0HP9r5Cs# z|IqfrfRor9XB32BjAw(#HRp9Yt{Lh&QIDANF^u{e1T_4rGn{6E+#UrC05A{OWb=_g zVh>S{`J%vo|IzlKbLcreIHV_%GD-C{!E>NGu;g%g6qy*$PW^F8=wj~#@z?s%ySEf| zum<7RILOCJMmSTB!#SgziFR>J@@~7V}{a!1K`d>sa#m(^Z>LHwI)Am)>1s z0Gg;J8gg6QhLU{OBfar{rFAChWk!(w=2XRP_&?$`{{V+IB)wQI?n056=2M0p^ZhC+ z(^VjoMJu@2|J37kZ-{Syt<8AWF{;SN_ekV{<^N&*OXdidf^?h{J)mpQUS2bILd9 zm*8|$v?I!nhrk{^`xdAm)Ct=%l}E|S`sWqy*0*upS)_=>##9vrc91K=txeLzHoh0J z(>+zoK#Y;V8Sm*!k&%{B*CdQ$wTjTkQ2WRTJx_kM8!Km%kPmK^S60+%>VN;$^rlAI zt+eCU)_|nvi~-I^9M_ibW4`w#``fXe4l-yeLC0UEDCwgljaaE5F5|`zOjDG(a9g^b zX|akFmOSu%X~!k{XYuV#JCgK2|JLqFqct+7}?_ocn%t<34_6d9p_4BPX!0Itk9a-<6M}$>F4= zYxplsTg_4Kj!xd5-%9m6cs#hWF`k@`E7qv(W#n?^wJ%$jv0m;}R~@+Hjs802~j;wM$?z$Oi;uXMzPH za&wQR2z?1b1a-!F0FTa{(;VdUk&IO>Hxs%4(e^A4e!Y7SV^PQngmeLvWDdr>S=*Jf ztsM5Xgtm4YbGwtCmCullGK;U+*H)Zm;=VA9OU<@1@L2qUJqQ=I#v~x&;QcYiexb~o?7s|03>=Ei|uQ) ze1LJu83b44yp6Novbz=yNmC@ijgo%wJbHJgHQPSs+M!3KU$WKMHg{uTyJvOWpe}j= zfJIrJPbF2CYcm2!8Nfc2N)f%TR;Ym9o-={T#ws&P z0i05VUp0YhwLkyVihJ3l;L7I%9cxEHxjAKK&tbc$uZ+um+49)-s#}$vTm&vKOL11z z^y!S((!y7<=1S<$v~ot(0G2#)P+r5hHsC=AzZk8XvbmRAoc+W}tU278J(a3gG-A{m zL_fW9U;VRIA-rYFk5O4G%2JE@ z2_!<-Ax9+Vc5{+*OZJ1%HvE&pImJgTo4VZ6_Vhph*0*JGnSvaF)Zq22x}?lr6~cfb zz`()AYx5Z=6z|mc8cs%8xxi3K;B^NipT>{06$_m8$TcpSZ%vJ~wxDK0dIR5)TAEaf zWEm%FU^lKPoMi~RY-ZXk+%{d{Y#6L{I4KDC)Njn|;}sBp7a*oZ5(L}!sBKQ<7I z93E-|c&z3!!vy05R?dUFaoD!fWUF#ybzA^D^UZHcaixG%W}}> zw=B$nfwhi%)K59uSTNeyN2pUGpeXdf@X{DjbR3 z3z=+b>Oe;vcqM~p0CiujWXUGdr>5S6*XvlM+}v0}yIidnuLG05K&2R~23%tYnw$Gt z%I4?Gw7N%NGlgu|N7U+TridJpc0d2o+0*3@ameaA)AcROa9ek$InV1~mp5u{9{&K_ zyE7!8VaDPIZfckIoL^{I%+ZEDw~F2vX;pVfZdOKvKa>}Aip)m?uN9}GkDKJ$-!?+y z6`g7_rjlgyb49dPx*)Ud`B)Q>dYt-JY?nqN#hV@S#-j}fIa_k}jnDtm$hh&ok#BY6 z&p7il)C$?P)NSsgj#wpGQbCm}YxDZ}3BnxqvG1wf*=}^&Y(902*jxamnYVQO>mK4? zl!2Z<+2*|YM_9}66kX)eLgw}xXj)073W=Pt^!2Rx{6VHp`y&VVuX4grWckJuiS#e#Wpund2viyQb-`K z0Is)9ote54ezo&>%09^?`khK#=;`%!&Ycm-+%hr`UMr2dn8TcsInF??8OHThx}cuQjAYj{Qa}IHv7~A;ietzU;;FBZ4sM7zqvGm{gZis!a{>*y#qS`9OnQA##PshF2}U^cPtDjSxW zPzbS~TFb7cH!`KuuI~#Mb^(SDU#aBQjh3F4sHL!99l8qAQb}0~=l|9ELW%(4ylG=^ zCHi?xqGm$!Mh;K0$87%qO2E)=29qV<&oWL3c$pX-5B~sKrJCwkG)J(@q*F*8Ko~je{Q<0{QcBFGqScDNAn`@z%yzL((B=f(<}sEe z_vh(O)Afd)(o)2Sk}ag*e8c(lt{i1gdMv2J_h@s?V zBavU9;N$g*t`E8IY0IfgN%)a#dwOiN;B&8KkUZ4u+t|Sn-C!xm-c|Nt}&Q3C>mtad)a(ai1?DXAG+Rq!TdEL1O z1KORW_}&diRS?H*wE+28{{R=cuc3G)Dm>m-6sFdU|JT25F=h^1l5$5-YoU~*X#t&! zF~Civ4*l!%SjYFWk4qlyOW7RV)V@ZW1-s zrLaz1wm1AW;$y5p7GzlF#&9#p&2;w6dBMr(K<%2x8%NpA)BA@9%@ccDnBCjL?5Z}! zux%Vy53XNLXQxc@ADAu&xuTQywUm7k5Yi|A(DFEZO|3zyhFjS;A?0^xc6#Q$BS6=s z)M5`Z1(5yVIS1br;bXASg(oadfS)BkaZjubZRr z)a5px@gjNu0Eso3G`k}r49MBqGuF79FCS`>&xu0d9Bmoqy^IzSRik&S=tWA4o0qxh z8eXa|pjkQw>K3}M54*0X35`^_IU$!h81yyf)u-(1S$8e#2Xp_?*zruoE@UN?ZvnG` zjMtxD-n+;`vXw1>Gx^ua!VB9E=U93y4!o9G=+g2AC#!; z`Bv57y_=DEifR*09S)ElTY1zHRE^EYKb>RVu~}K01B@E;Va;f@=y5c;+2?*J@rB)$ z*|&kV9B{}F>rU{8iZwa41rtnViMeHU`@D9qq?QtzqNbNapPln^pa0U9*~#id=c&m# zuA0wuc0)M*;5=uxee2+yrS*o|vPZK&hQ~9jO(abw5N=VC&j8noc!KWoT}opl&%rs% zXB_iiPnM-5{zOhmM(0)V_WIxhX!5j*Mpanj2E7gv#{`a@LkjZqPj-45Q<{=TU3%8? z_+lZkg~Bca2F7`>GVx^c#iQJLax`v6GCNe!lxI3$FU-z5b9$fu)9`q=J;J-NVso4f z0nK`!g!KkGba7>{6k{hf=40veQg-Nibe!Dm_B%Tn14@|5C9rwN9<{gPDG0ZLf|8|u zb6+opkMAdQYM&BEiTL*Ff3_k-UtS)LJQI~re zO}p-P+H~cuK{)%pde>Fq@{fE5&^}T?CjgvR%wi*I)=#O^yc<9N)X>*eeECjr+2jve z@y`{#!)p>iWg!MK0seLK`F%g$O8XwHczMiL=@Hs8x!R{9z3j%F=(I%%-Rgh;)wct1rv&`i9)s4pHE=A`YVG-J^N6Ur zx}N=2p;keFahzk0FxVNa^k?(7|8ZB_j&I13E+lpO*mo^O{x!=N$3* zSJUsgz-QhkTx7Llv|(;rfs%PR=hnF^EADhtXaCmqdsu@o!l@^x6~TD^FDqY&jFxzT zBX&sbUR@u8UWd7Ym9a57Qc+}yL1Gnw13$%H(_#VB;UMy32kLWJB-KdYvpch8?!>kf zuN}Sm)QV2y&jo9x=zQ?;!OllCs++kO>(4a7pa0kP;-EK9ev|=|UUr8-*5G9DJJRjT zanHRD$$-)W6OuUe`qNpk$0HooNN5fSq}ZgkMl;FusRRGe_Fzha*v3J?G~YQ`4yP;5 zMk~%|RfR8*I&wQvxShk3mB9j&3kl|e{hj8g6gu5fysa41hu05<{IN3Rra8@dDS zLtxMU(e`Yv^~wGm6O5n4(r3TFQC@w{p%i?*&pFBKOOkqH0P+ar(zU^iZ@S*&vBhL- zcT!tgu|lAz?0Z&`T7lyJCcKhqnchvUlg8YPdz#{|t!*ZTS({K%I)GLpr=EG{yW-`_ zk}6(j|I+c8k`p8O(KCXiD+b06di&y*30c`0?-L;;9E|j( zfMX4eXTB>t-Z}|r*K+(~Pu`J(yOV*^s7S*(#~}9=clTAd6t7|(%rH(%4mx!eb^@w_ z@-v=P^{TSb4l=Z?k^j^23EOjknIsN`)pB^*hTwCK{{W3?Em_v?wptsW4|a>iHcYtB zm@$>lJ*(;KSfn6t4l~IcM+e@#Ol{R@uOmpc%FD5-+B3m92iwrpn+X|X$>Tn?OQ9`* z!E9vU43b4S5Cal%h0XxxsjIOzfB)3=ZM=-0I{MQ#Lg+QkGH z9+)H?QVfnVNTT-v;qZ3k93RSn>VC8*sH{J5+)jOH3P{giZ(63n|JL=lVCXP5o|yo(8e`(qaj$1aCxVB%)sOhc_a?>!p#5B_7ftJ(~>ZIQzk3ah5&T{*NH8T z%N*9VDm-!oQ|fzj+Xk?Mg3b#7WXJ@b0k4n8yVlh2roGcB;0M4_hR#P%^O~hGkAFBk z_Z-$R-seI_ZT;kS&^(x869u;R6`Nt=y*}#sAX&D%@C%%BdsneX13Iv}GMz;kS)c#W zxo;tB6ScpG0DDzg-)eAjKMmA4e@!t_0>&c{}w+O`^!F35Yp`}v>apWSB0YL%ao@rF3jEeGb$v1OttYBSujbVmc zfV-lKO^mtuiT0^{KjLULyMmDijzl?N!;ELOdj9}YYMZF~E15cpw2tCtCe9+mE4y~w zR&Bw_>CbBU__pM0r$hhK#J;;*eX+ZR^BZVB=cQ+OSHy*UW^~((s<0}By6zse^EqV~ zCgyt;rDbE+ZHO_3=KykhRk1u^962~Wfi>xKoK7u+>Yh|vglu+?ztCf^HBq&BuWcG- zyG4l!1Po;H%|z?F^0QiacPrS!xz)7zLV4HnM#OyEknnTsRBrX1Bt{)C+CiRhc_+J-ABg zGalq~j(8dQtDEsIp?hgyCV`bg9A&%J;9(ifMnz6mjm}$5@t&$Rt9WH(XyuRwSLuvm zy@x^FKGC$ho_6wiuS+tc8ZnO|F@&6xzd^SFNt8ZF3`kNkN3~|^5}-}Nc91y$@%66< z56#cYYSXf@|JL*07T(>!VhJF$n~!VczC=#m9EBYoESxcsZ?GOASP3&|Y#nK(yH&i??w+P%)FZ<~*q(BM8XkzSv2Pxd@} zh}*bvp7rGBG}St?gm$q?T*)*4*P7%3kh@!h(<2qbc(!|p<5i8_M?;3id=6U=Xj9mmUcFU$z#$**Yelx=+>D;D64@^Ev4J^icXDSOo$XH;}XH;rMjUjlAfnJ<3Pksi~?$KKpOm3cOq zJ~Xm_FPZ<)wV=#qNZoPI{8H<6a>1zqF=wXN|WJki=%brzT0cH_*or?-QW#2CsD2b6ed@ zB&g#t?{B)_&b^C7WY5mSf$P$^bEw8OQ|Lt-szeuO_pR5jTIaQWjT43;atY0N_&H13 zrxU5&!2i+k-BuR+Ye@JbCCe{L?miteMPn*Fg_KE{#xObbuOk|-gKpZ`^l47@4_&Zk zOBpw3rZ5j$$GUtLB%F-n2a5Pjk%jR-xssCn(8nWm;zMe@vkeMCyMlh4tJfW z1Fe0wRHN)vdK{RkateDt7a3G`u>Ng*DVx}KeDu(YN_R+ z+#DWw=xf^a7AzAv2OQTvdv9kFu+5~`Q?u11l6@KohSg9=1PmU1O>la&Mo5@^%GmsK zUIL%*RJ@VVOJ;c{vhFnrixvQ$r>U!68Mu(&keDpM43*~@^{=+m*z4HgeE!v@x}X2k zrC{vj3?4Z2uA@b@c^>BFj@3p`Nj#EAO8HCz{qu`GiqGA-==wK=Y_7D3=e=1PS=Vze z?icf}1H`@;Zx8sB{TbiMEG1Wv4hLWl=U-_~ud`NL9%^n*%=HfmYX1P*x-4bf8RHmm zJ67#uJ};^rGv-!zJYwofLxtM3-Pl89_0>ev!E{{T3uvP+qilV&EP zsSBNPCy`P`Mo%$7#_V+&9@T~78_@cd{7^==@jQs>wE~qpYtE}vnH>>^sL`-Yu5Ic zO&!&|lEx-n$gLPsTiTstV;r`UG*T%s44j@#c^I0B%|zKIqpAPZ)xA||04D*xy{pcA zVW&v)$0D47PC)ecuZzkYeXEmN9^{+0$0es}a!q(PM#pK+;t21W^}QvFLk{i^0+ZJ! zyYqRu(n)S(LNaZ$w6+Y|8+@egWAygTbC-d(kV$Sa$Qi|a+|z2Bex|T~^E}7KT2#|s ztgyi&DF8DLMrm{%9`jAOwYYfmzn!C~T=y0BG^(lLl5bY5VI=vrKmXRVWg$f!co@z( zu8wdMM~%2`*cl_IHTl+_+4>&j^hoC~)tO4JcCa9m*1WS>@crr3%z_7el0zuS$7=R6 zYLJXtlidogwmLry>Gzg$7MWBjUYO70UA?mag9NXrBOi@$=Df10&jvb6-Da)M=F_8A zT;S&*@m!CHA~zOtr_GXt0uLgv!ZixeNs8A_$N$&z6|uM)jH)4h%5Zj!k_~p=7lwOy zwn(^K@K0LvG0i$nx4G(4O+Qn<(m^+Hwnjr>p4F-1L$*ajjDp%a{t>Vo^e%A2k40?s<9kX1UkQW>=B<=xv zA6ohPboo<#&$qzR<)*Ga!p$eVjyW4B7;}yfrFK%-ixCnmzF+_jM>U0e$`Y~5m{0eL zDCoRDD=OVb8xiJ3^K*fU!`8B9lVBjXQC@v1H4S_IhnUyzE^&9B9=5t^Wu7N_+m#`> zs(MC)acg(IiB$uU@1B+P-rg>XQaPL(seXt5(XD52;T2WCi=eK*OpDC1a?HWicMOiT z@bZtet#32go{+iD>k@sU;fMo~w z>IWcZt7!fj8cm(VM-myx$;VGqUi5K1lp_-N|9%!n*RU}FU-?Xyl0SbPZc2OIQ#{5v*(9!c=aYD zkN?*8?ZY@Hl5vVmlq6v1y?M!<78H@k9A^fb!k&2dr*R5MBk`c%F&l<)`cZp~X&K`H z=7Yy0p4jxGsL%h`_OpU}b4+NJn*@%-lUyB6k-kV(0B{ebHc0Xa&NI&*wGC@=-%=M> z;0*ejk7EK!>U-z4ON-dO80A?DXB=meO-zyS4;kTk6s*MBKmXA7M#%#`xI9w}@sN5G z$E|tGbEtQkFhY=ajAT<*WX^h=@Ij?}1%&euj(O*yJQ~H~47u08sf=C#84LcaDs?uE+n;@{5~UBY-=p@}K5Wo|T(;tf%JA-Kqf? zt)!NcM@zQos$GXsfHBT{)4uYIHVEYH9{no^AGC^%-le$Q#}PQ)kWXA-Amg8U z)~2z#I({Z0(&!7=DxhkDt4o;PcSXyNR>^)Afc8$>5CS4ukQguo=gw=nZ+VQ?H=L z01WawQJitesj&4F4cOqE@Gv{jKqo%_wNcOr!hFDI9`u~9bJPwGOqxwx5C7ElZK1i& zFg<+jjsRJiy>s|@lae^fS)2}%_O*|FB>Tog1s!?Frg*QG&ag#@qG0!5JT|(o6 zj0_A9r72EE-;{yI1q?_3)%BAR;O-z0bH{p$OH#ue0#9>Z1x4s->M@VXp{Dd5z(m1`EOW^tIn6ysY~cEuEzm$B%Mw4r zl&4RxdO>!7|JU{42t4vC8RK?ChAIzIE5w%Cou&q}ANn%^f-*Yv&2#F!IKje#K+Sw+ zJ^uN}q1{RQrd`n7t~P^{$i-&dmQ^dj?~~M0qI6%m%w0%RPQUXG*J2bi5y;JOs}KB5 zZGwzubvv+n`te_Jg0fEAS$;>GT1~xC|Io3g#(%OK1aCyhBz^C~tIdTBMt-DM<@0OX zOVsxu-HdzkN*o?D$*vc~mc~oz9V5hMPn6?~fnQ0MJ1tp_Yn?B{)y1QRa&sXo^se&h z0?4Qi(oiwuv9BLBeAuZnsaus9Q+?i~S2LIUh8+P)%xh7TnwFcLqp;sX?$F2|4E#_4Q{J zgq!Y;E?#M))2A%fC^A4Cfu6OQa{E|hZpXh$__}`)*p>7@|I+03t8&uEF5-4Llc3;^ zg1qAUR=KzF9J-1z5-fzPFc}8CJg#wZvFO*muD3mt!rnEy)bzMyxq$=Y<_plDTvuD7 zYpHRo+uBSv$0;LsziO1@^@mL|)Nc!(hd!BTZBeW0Et5K9InN+uRy10A8Bdv%;E$=S=qXg9+vsuU7>}#s=|1Ym z|I_EZq2vYR7RVTAcFR+Z2f5h8K)t zw7dtWPiKD9OpPAp`Eow+uX?=V@bXFSVaa(W&t1B@chZtJ3aYFhfmstSBREWh?OzV$ z-1R#tt0SD(Y~;F#q(6U<3F%xs{v5uRZM!luGrNv^*VN_Mm_nZ{+09xqZpi=C&+w6w z*Gh`Xq5#5AAm=%*k5L~yLo9HdfJO+f&hsaHRGeP_0IBWMjgm%8@5vZEn&vz~rq6v2 z*vUC<*drgEYk_JL=8#m2Xy>8ubm^!;cJHtwgS7tu6?(Ua$v~x8hEc%IGhMm0bzTus z(#CY+?80_$G53HKkIHe$K9!qt1Dp~0*NujJFm8H$*b;Yh`%uPZgGGR$vXE^U(aev_p_F~b*FiF};>t9Wm;vq&h znT=>U*(0*>o|h(-Y9o{?zCL0*9`)%Ho%$?t4Y|OpC#ikS{90vQA9sdB%R6AjZa&cJqA9VKz(!OdQ?DaaMv5Tx&$*Ncja&V=y z#~8(6Y5omMThVbQ<|;A=1lQA1%v32jGoDnNm*Ri_*R`P!-YCW}GC}0#v~_1bc;vXi z&3;{8{LNoO-%H+CFzx_+Z9@J@a$+NlB zzvlyV`Pt6fhnv%OYiAtp8k~r)IOK%Chy^24T8+cH0!0BJC)sv@&=kh!x-?g#- z(WI~}aK?aO1p`0Us@&PF$^>}UMD%L-npK=9DXyotQWuSiw%P@XO~35Q9CU1Q4Rjh> zG;=81)2$fF&b)5eslmaWW}=ETxEnbnoy+~&!b!u-AZ5nND*zk75YJq#yUWfLBx3jritDBtv(uYX5b(71(WOX>=y#qng4athc z0J7XTo=krV^yF90<#b!B>OQBbiIt;cwEG?Pl;j|qNWlU>DByifVfedFxlK7PZWw;@ zU8T7@M{NF8^wLU5^VGFW!tl1L+Get$JjPc6N}QfQz5f8#uUb|Pft2Jh$TbPxI*zil z8#M7-#$=jBjR#g^fn5Hh;<#cPEQ-ia@wRd8iln-;HL3s8^l9#{E{u}gNDDh0df?S~ zVUk&gl7#WW9WW~j({j-rFkGgMt(B|__ZO0%JY&j%6ft(krBd-Ofv6?Hc;ScVOuUjz zp^iPPf~AtPG*45J((fd&y)Lu2+FeSx3zE4!^IEssgjTYBwP%v`>O_cG=jE@?;Zf2~TWWju_fXW*qlA2@qa5@VY70{rZo{bJ zl&j9NN%bitwmO?q%b`4BhbM7S(4Si7t`(W^LF1mhepE?2Lj4S3@iLjyxxh``8-dr> zp0*(uE0tr{6ysiNW@yr9|JA=5Ny%_I@zKKo44KZk?=BvmG6puRz=$pj7Z9D=#N5a6uUSD>W%pZ8x~@ZB6U# ziKknq`nfQ2LBTxNGb|os6(@F1a7Rk%lpGqJwXu{g&;QnYX{wKvk82PHLFSycBRlfD zdmM0U;eN3>rklC%q@!Z?pBakgH6wuCYgfcTNh+Awl>~wb&mOgfC|)w_v77kf&Td$@ z70&?ls2bE64hD1E9Ok-VHsfW`bF+6FUhH3-!|vmKc+xGBLst5|bNaZMZ3 zhqP)a|JUZ`GThuWul#3o78%c_RgyN6c;i60#zuK!*yoDypSra_MM^M_p|NYG81@Vt zfs%c3TAoV<-6Q+po<(__)0=7aK5o5UY4aPJ2-uSbZK0Pd&r?~q$kI-54(-Q`RH|+- zm(1o;)!e3Glz?_;)Zo-+#qwWk{Qx<8Np(6GhE>s*F-H^D+6OV8CR9+OVD` z(NRmOva-~2Y0sRfErkOlcdZRF%4n`5R}J#yoZx*c2UD8Sk{gn-|I+An3nMJAPx%Pb6*KM@>(wExd~Zn3#Q1RD}Zuy@|v7!%nK5r5PEu6ZnBhY$If4~ zg`a#L(($ zTAJ*C|I^zVYX=Lw5s}E?3e<`}D%BAE@DA)AKMMFXqTSi;&P8cBBp#Ku;f72~G2C>m zaH%S(Ev_n`!)Hw#m?{QNeX3O?j-7i~(no#rXaCgo z8#f<HVi?|LB>UT--eFw4%sWa zI_&$zb?1{%-|u3r-1ReG;V)BNNIBV&gVnQ)eJW5vA9x;k#dS81ogSbu2PZwdb4oe) z0))hW|JC;5ryP1z4h}&FjjXH1gX= z%t*}(VX-{Hw>ceg!KK}t@-QeS$N$#$fJaOpsXb{t%n|_PgTWQSxzafSI6Pyf53MN} zUu;r#1}DmU1ChZUsR!|J4p#??HxS*2apM4<#Bs$j*>Tq-k6KKl|JU{Z05}%;ae>?% z=AK*3198dv_pdVgon(GW<0Bv+;TOV%)Yx-6`1HhSE7S*(Va9WgQu? zde%hBK^XvoIXSJJloiREN^M+(5EBdsv8xa%D0Ys6k}Ec>mB-S@|IzTdoV$G4UA+MB z?rEVUEzkmZ#yi%(X59{`y$$aLd5hxv1&%K?nL!|Sud1RAvn~eg=V-4N7Td(dc@&kQ z^CdqpBOvjd4!vo1u6;Z3YMzKew;qG?r)|L___LEj+TywY()EmF^~s|ha!0Q<=W2D0 zJpTX@-Ht#UQ*gnKIrZb9_00{gfY<`u0&qE|uHZjBXVbMsp24F9-GD*o6xPl&-v>O^ zZh-&O^-vXhbv?6E0tQIW;(OPLW3U4a#~}VEnp}L`^Y5BzXd%it11ATZVwY*#k6OJf z195;odiBjAVS|&=bA#6vo7Uq$|J3!(oDeg}>aFWgO)8{i*x&)4y?C#luG)iVbaiKs zdH!~@d3MYUfr%_T5PDMR7O$vym_E(wc?5HUImoTBPSB5%KDJ-Gp#K2EdkwnnkAwJo z)Pw*4JwfB^RNFp9y-1`Rmvdm}jw*!8RgQ6k(~42G(2xJs^hkg|D{jVc2(1W@raJbo zCQj__xjGZ*2c=2~DgfXPoOG#b;$T>ENGAs!IHcn}aYvYi;~6<8BOFt+&u*Mid!T3k z*7h7=`eb_4axcrA9!7CqG?HlSzNbB{1^Y9QK_K_fV_f(oO8jL=J@a21k7_k_M}0qp z%AX9x;Nue7mw8ID5WFc>4PRkHBawXDKog`Gx5q$8vphUYslYHmnkgk)@+rt*omOC{dmUYijL|i;-2UK(8P;Ff*BO7N(kg}T}GI!EQ(~2lHBC}Rr%H@ z6FRZHwLQLFN|PQ)=W+Jpv+ixogq-5JsL4U6V@^usNaSD+ymYLalr15^9)}$Tanp)YX|RjX|Iy2q#L8J*7RWtEtzt>x zYf&hZ$X0THx;U?aql$E{ms8$xPjgE~(xr}J60gkX3IN4kxOueugn@b-(ErlJ zBr!W<1Lh=wOfc@`gPdcnd>fNfJ$h=zHLFZxuJHiCe5fx$2c7-gILJzl7!5TN|TdQ{i8^IS=ki;QG_Yx13CX3u_7 zT-PfNELQ# znH2UiO5Z8t(2SqXn7Tvm3x((Xs?ByNe8*bC-y66W=2MH&VO3` zwmix@p7*pyjg_lgLdwq`<^%zrITf6Axfwxb1&0KaRX=e>lBe%BAK3D{H!GDHJ4nF- zxo;L)y|$9)yL_PMzAMwp>9>idpH>p7?la3?0toZ_-&2A+WLuUzh}8)#gUI8W?EErgx76N4wG!ZA0p}jsuO}?GEz#RqwzWG7r>g0& z>iT5%Gm;Wj#^pSz>_vMC+1%nhp)6<8@8y7!lETI^PkeMgh_2&I@kDX>Y(2v;x>X@l z%O^gb->qROsMV4>XYZwB0(<-YN^tj5IaXY%=Lzm=T{_%f+^wCU^JfdXRwD@>Pp^8n zFKH_+iMJ{L()Jbb%oYU8s9l-)+>pe$W9kQb&(!Xc1`8ZXX$S{wai5rvddjnmRn4yC z%x2WgU+UP#d%sr4ezij4=3PQr3o}Rw%Sj{hN%ZEva}kNM7WP~20M>E z>OZo>BLK_+KK$aoezkd4pEB6&g0eMjw3`K7$n4580T|}DEmudgh^%Qd`HO-B;4i-w z)rWNXlex`R&RQS;)9*gdqRDc_k<|#!5z10DR2x`B%Z-gq!AU^&dO2 zB$l2X`!Y!kt|cQ68xB6Xt4;p^2#X@K!E#6dyDMY2JZ8FRPYD-my}uG=?OM==Q>F%! z?Dq1DbpQjqHBkQmVQ(PYNI)6R?xwM;QjA^Q?8=ImM>dL#{$-hB{Rn()`co~=h_Ol~ zjnRt_EraBJ4P_d*cGM;Pb}7bspa0bECX+>FAL!w}Z<&dyjGABBvE)k0w}MX@2EGb4 zX*o2nvFfnkPB!u}p84XM_c{wkr7a3O9PSJNBhr`FXgJ?pQ2A)r%tvRP4e^;jZ0W{=A&mdydqmNB0>AE zfk^3(TFbe-)8&!2Tcx-hjiWjGn%fmpJgz--{K62G&;QlxJo^tYzm*U?9-aHt$#Bjwkqv)Jgq-Pi#5p+xb|a-!xYlfEL>8J|x)4J*1-bRD)+Q-9sOmqf$vfQ2 ze-hj2^9ff@x0XOKfyPFEy^rZwA7Z%GCwt4UEfL8j6k&NjmES>gM(rNH4v({b)<^%= zz|>|~lq_mr2OL(HhCHo4=-ypIlQ9GZVUWG6=kXO(BXzm=c)41GU0Kx2;#*?b`y3rG z7iSdJ@hky}`y36H0E~1V)xquF?kny-bJ(@iv15KO0cYmDS95)AtXAhGpIS++XA-lt`Q-L&E#mJhb`efa5(BJ#l6I{T}Or)JnipLw0G_~M#%rs=&tVG zIoo#9N#uZP5qkMMSmOi{U!GNuG@H5Z#w(OjdhI6C!>HrFF+sax>O1GXVRqe`9ksD$ z*5R3m$~M#AimN5d1wS$1@wjta!{Cy)Qeu}e9CvcC!3qfH>OE&Dk)1?p=2pe|R7$?74@$ktvWz_ZP+uKLf;HF}+ zD;=kdjP$Qj@RYu1hOGwh!B+$3B-V1jJZ<0fJ#5ZDx=+mBUQ~j5jt8Ykqa5S`*c1BK zS5xN+6^`$3Ym$3WbC*uXqzG>3zVE{Ab9U>uI4 z(xY$~a5?p*(!)dzA0^2H9OjIWPba5NO)E52&;Ql-Opu^tb?4U@r!gFz2RB@8+lB`T z2|^GFQDcZ8L}%3CQAY2*_ufWtQG$fgTQCOEJJD;1D5H$tdmX)(D2Z>s-!HJ%SbOjL zzOM5;4#;Al(5iXcRSQM&~#n+v^ki0<@`hfc4Fiue?-HE6*Di_*ihJfv6 zcu6oeyb9^3=zPIc0ygTfUO>>+$CSaPQL7i^eic!%m{gx3Vm^1Mp+#8#b(KhpzO1LS zSHn0Em#Q29(x0q(0rSA#Xy%+{l)X}Jwk-tpXuBAw$Wb7uLD(A!QN_Yp9O_Hy>N5R4 z8fd_YhkHep`D_$hNIh%4GNi65aL|FRT(LYayvx+x_jWpThSJrsW~*4pPzV6Z zM*GdZ=j^Xr9hI1=|n*Q~3pVgPDs8z;fZPvS3IRkHO-eK|;JPHL0kp z-P3spjf$D{)B)!s9vantXIEG&`{0=$y zqmI4UvdI2cMw?$Hf66Zmm3jJJ26~LNTdkDev=N1kXE-Vv<%SR{6X)ckpMVbWKrqeL zC#u6J%*wqjtX`p(&@xo%Ego>UEiTmK)T+%GJS7}<%3U0I@u`fSv<=6ED>m)Os0A6$ zaaLWCGXggVUd&i2i7ko?|2U(14Ru88UBMv zrNUpuBDECYqTx|ZC5tEKZ6ru;A3pr@M)Kv~BQ9^gT6}8FPGgRGr6_GmBMa$YWRpW( zlCMZTjE>$h2J)Y;Z12i@{P{-BZArc1Lw;l7#7-O8Jak+tCvc@p7NwoYPP_Lu{{CZ<>JL zyCBQh&owQ#kdn`26V}q*dcD$F7Oy8zkZK;q4t}95&WT&h?TRUyhpbL zWRtMmT)@JPy%bqGPYGgr;lUUiHeJNIJCGk(?A!->lWVkhB~|;sX)3Vbhrx2ISBU*rFEV0x<>8YTPv~KdcV40C^i=RBaWVx! z_3lXj{ZWGZl98J9HFEwlRvLaEWVONJOi!k-ec+dp@@S1!V-ms=mo7%(Wv=}}u4&-! zOPdXH`y(APMP?+M-QeSd@9~=)Ydw-J;Y}u&6p%fG476Ix!p9{04INEM>^9-*CGu83 z4RmSLxb;e?YxAdlt>HIk*}!p?ehQp=XmQ6)>#p^6cUPsk-9RBYAo*;rv&*6%bkWlMS=`r5}ZmF~kS$>Dg3Se{V-Px=Kk#red1y;I%SC}qV^ zCH+-NRkRa6&)Ib(DCcU*_W{&o1%kv6WMV$6_M;k20{kA{~YL8NJLi>M@u=7(bnweaZA0-s)# zOBDAPf#Qi^BYcGnQ5q{obgLH1<4V;|G8(<-e>6E8l#<%7JxxmOY1XZ>`)@cw8) zFv0-XGo$Md4*Xp_zJzOzMlGoNz)f|JVF|5m1H+Pnld;<;mq?ltaq>;#|& zt!NvH{=Tz{zcd01LtRn;`jCh#0~mdd!B<*70q+|o^B?ghp^KItr4iQ{ECb}~%=UPc ze#J--n?%qkrK|`RBB=-BEJ)k_m2dc9>8LNa*#eyPRtwU*-nah%+AD`Tllw5cXKNT~ z(JwLnC&og)>=pB(aUe3-ZVZL_R4N=oZ8{mKRu7Y90#3G51`(u(y@Dvl=^2EHywUGq ztS+(o8#L_zfUw_EO5(I`mfN&;43$;ohAF0=Juz})NLs`&)Oz^l9UVC|gpjYxZL$SJ zFG_|MY|f?{`TYqu{ie!U71ATpYAQ+>)9Yrvo`tAlMQs(ed6>%GrW~>T_^w55G4-A0 ze{SGOxc%w*IR^lPPx{aA2jHg#iE>I*MWhnj@$7gi){yt!q!G%<2(CAHHm0*z7|U|w zDiS7imgEr`TV_i^DlA~B8BhUXZ2VYX(RU5g&WBcR_Fm~4cBb5JM!a_CO1$3c1&1E~ zWO{ZXn|Dfgj>6u=X=!4iIa8Mj)v}~1%DA(gcvXH^7UW9yeq3?9i3Z?@sqXrTZU1bc z&6F=^;72D8(pTk7ktE3A+nGVFpm}{dEqK|8D8G!yJe(6vvZWq~Rn&HKlg8>7ytJ2v zo9E?vUcj!zF0`9-Q!UYFczJJ6Fzppz&Bz8|Ya(htYqq2-ETs(Xy5zx0$3b}dminJH z0utmHneU3)oh}`iXNz0yfo*R0QoSr%6InlQ`(67-b2@w0jO{aeJnRm4)#$`1*$Q=i zv0j?N(*91wrx*+4{g+e!{{*}bJHbarfY_=XKuM#3g>nhAOE4p~XjGIPpb z*N$YPYC8CNx!>(}ViAVr^Ur1JxygQ2EswZ6eCue^{qCJoT{f8!C6KHhCHVlXbu`Hq+o5^|Jvo+kJ$l_A=|lktJurJmr%?(oO>#JHVq zJ%@vCeLjjYPB>m38&_gi%?Nd6J|QP%@m_-FU4b&o+0DP;i-dj?pL1w^lci3oB(7^T z5@z7XQT4I}dmtUF1=}s-@VC_-K~`evhtCgVW9L$kr@bm0240!jDjIOpL&ksgH%nT6 zB@ZA2+WV>Rk`JK$sFFP)^9GR*X^DmxeS--jG!Bh;gNKBr0fc+1FaWled338Y zZXktbGX#t`?_K?;nZ~gGwwDS0Br3cBnr#=Y0u(rtunhF+Ec+J4cX_!v7xbm?*&a6qR)#uNkV*l&GvK7UQvKEmLD7Wd zRB}W1L;Cd3{j#LIQU)y%gm5A|*#YP*?gkQ+%kIoIi`v9Go=>92%qK7$3fE3*e8g&` zEvj4_<_`hB_E(%di9ISok$6aC`#ZKBt`Um2Z5N(T8*_Jr&*gpg>-;uh8ch*3ILxsr z>7`g(G!>yltf}S2N4{}iJqFvAk2in2(F>zMn5cD^x-W-VdK^Rs_`2_yPb%JMkE!Wr z5oHTc0=2Glv#UDYnC@y2E1n^2Uk$akE7B=4wTQdaD(pA?yfV4jpEmk?no(4m7pFX{R#$ z$3^M}AM9hUC;Q#SSoy(TdjU2U2SdWPX zSGP+}*M6M7o7JQRkCx*^$ywkP*rD_m0a1=+bS$oP(c z=TkE1B!=9AHJ@XxuE)DlrhlQbQ&6RV?!)~u?5q!jW4Wf0dBDN_QiU-B%m_z5>3WeD zzK|%^QNNatUWmolv}rzSQ>6-Ns$s6+J$Ti0;!XPvZ>4}k7YFVSNUM%P$dE|NK6|r- zPhTvL{u1kF?g-NX*Iuo;rb7ym)A?o-x<$U9k@-|nNA!f8sO=7w7YlH$M%&Bky-RhG z=6sS~ub1yNFEM;OfNiQG$}Wx`L-Hgb;vPU+I>||A@zP>|XnEp7Z|VmpsIZSqqaQMYSMU3D$19B?JruXwV~<$v zqD*3zhXEXn~47+Ifb>!&M2O3@lNLz(+h5qskiv2ooq z;L*7J(MMq_43@2{16wSM?M2174?y4hVk+&11J=B5h9iWVA(RzN%E!tD z!obvKIv`EZ%4zc!rq8e85{_a_nuxkD&1YA}{A43n9A}F}{rgW-CGei<lK#Dq`+6UQ-|R`aXvmz~s_6r* zM#nU&pV3>rME3a^$!h%!9F%u{QTJP_i0}TXGO1ZCsYiVC#4~WU5~o)#U3dtbS#9_2 zXgT@e9ZQ0l+9o}`$4@-EVHx`zmv2h#?c7@%>Kx*^5E~g6Yud^r3Pbzdl9$bGa2kC( zkv=ONh_L&o>;m+W$*G`kB-Cin$eeZ7i>1P&C&bD&}+pk5?;5sv{3MtBr$zw#=fWl0~v&;_RUUT{Aww5A(8s-TJvfm^N&wmVLeqBwvHE%dP zdT9iQSH|>P?Ku1{3r{vLuo%NY&uRk=v-stLAEj!vZMa^Mr@x2CAdx_uLfj#xGBo_C zUw@Tm;oIY`N3<8A2fUx1@qGk`T@I`q7@dZl^d81)U08TnEs%NOxC*05N;g{fZnORo z%3GbSiEGh`CBJ$LzQV5gzAe$fJ={rf2o$OH5!YQAM4oTkT$X{#*Q}p;U&TTD4+72%C5w?Fv2xhuUcW&uQ@ZBEc%ORY(bHC^houP-e|fo41aZ z3ynpTG<%4cCh8MEYT9SM!}^9*If>qm6^#2-*uO!`mkFNh6+*qI71mAvd2WWz_V{^J zCzV!U$=koq@M?@VGRQ|Uq&V*{>Oh%OGYBm=e3Kp}p}BO0xFdO)wnT1 zQegYY?|4v)6k{rG4h937N?~-9hkCfzly)$vR^78=9 zdH+EuAf-Ys(HB|zyX^F>Vs4J04QG`czCDe>QhAYG$Nzx&*Tdv=wa%TCJ9NY_O#gMc z12tEgI450aSM6ohP!W|;-ja)!1+6fqK4t)-{a^mh?MQvPz2j--%w6Kxv1O!gOn*4<11Di&AVva+g(AYxhj2&&%e%ncEC1 zAuh@ntGfug^e=vXPW;CES6T6~1?#hPFx*`_aOL@KaB^D0#;A93`ona#YJA;sIEWN_ zY?%79@{>i0d*+DXBUhyWnU}QFQ1Akx84Sy+J^jrDaJ zcteGJ!g+Z7y9bbFAN{RPw_0MjrT-METZ>TEOE#72R$tby@7~JIxvMljfQ&PXf7cGB zF-_}%#ot?fB}Ddiq^9UGx2RZhXNy=}J*MvCyNi`f_J4Oua!T_38A_7X^XN^yuEeGhILHlu9S-%gyG z17_Z>>*6o+g**z;lQMh6?Ad8}^{zrHUyk^Mt1dz%o%@e_d|<}YNoS%_3aRp#0w4RX z%a;jKoTjZIG@wFzuYKxBX2E{QzKN)M)St1ujH^^xVOKrt8`z;?Z)5qMkVxsy4eGA^ z=Rmt7pAby`LkUlk0gXvyedF|d^JXw%HzC)eXXS!)!f*9pJ%2e8{*A9~J22@~`bvJdr;;fZE_zuX9H!q%b zgSUDeFyE_CRL3QCL2rFA@G4IgHdMXcBl?%-pEtF|BLbvKbe9;_2M!5ne)7;20R`HV zQ6Cp0xRtQ{(8IVV;+MqG=cFD_H|ESdkr)Q7*C%-;;_~;`a|H&ex3rf^4Cw>QgP%Dl zN_u?hz63}FT#vklGV~?l30@8RLyY*e)qhH|qIwM5*Oa{OjTy-lN2p9I&(B|9e5<0| zTn3?e{!DIrY$yXQd`*L7XLQC*gKhk}w0S>OEPuBAYW+eq{TP&N7=4WHVR5PixsQv2QxP2Ah}}ivG+Sk!c0_ROkPC4 z^llLL+%8|)r?Wd-`z-vU@)cWW6%MhyMrve{3NdSp*yooSw7EXoP@~lMX0s=cZ6m73 zM$H0HLYsf*uk3zt>B#rxWPEBZI_Iq7E2*-cf0b(f;z;~m**wwLtJV$PPG~3 zC&QSpmFeppT>WLiRO~B=nS6a8>l^mzw_fRa6z_|nf>nVNTHryaIMK;1Z$>%f@*#Uc zziad6b2eeUpH|k3k_W4#LHg&I$SaO%zR!rck)p`WQ_7kEG_J zy7Lb+w65Ousvt&SfiZi6m*jmiStz=RRNPl#2#U)qyoiHi&mKENk z)7XmZeEVW&1HZh37sEoKl>%~&iNZT*P6fF4lJPXn0`aDq#!`k&rCpl1ZN0iXg{!+m z-Z<4?R&IArA}I9+`PsMV(im{jHikQliSS#$R9)weLM0`InW)4@c>wOe zkri(s4_@QE8NBpl$04`w(*^Oj#D!+M8NQo5uQKmx%$VjMnM7@EHUzNZ9d`Vq7MTfa zJwE&u>$5qN7rb?qb`AmV=DQnrZM1n{nmwz#qIemf^^TnLIpd9{!?3=p@#-qFN2ROP z$J4cCH5CFS4`UZ)OqfRbstrMbKhOogYT`!9&DW>Ztvh~S=kra{w@G>*F|yI(nIUv? zeL!K~TQJO@*pW{!i&G|I?vO_o6}X<=k4FZBp`C9F6fYT9k(lPncH%T9L!`e2MUh({ zBP?tL@1#r;D6?JN`)B((yYnDsvd1wWvwQXzqNHX)(4#;W10xxVN9VC^IMBve8RGq( zmjp3=f=70;l3ZcaF%iIR<|-8|3JZy?3tSat8`fFe#p&t=9e3q#UL9(=;?nUOgvI2Y z6s|_#fFIqCR}+NAx^olzX;X?qsj1@>HGsc8c_r03LcMxAokr~;C<rM{qRSl_nv4$ zH{D?h+eGO_fil1<`%>HLrg||XXz9YJTPgEHUT7~K2GGvDR#C9Y)qltCy09> zFUXzCc=1!{KiPUjT~5MS>N5nUL9mCzHETa(=*Eq*5kzb@sX^1Yxk`wfwAG6H-=GRk zNOo>%6E4pW9k zbNJ#=tQRb(*3z{-e&Z@YgiN7#T=hizT$C=#0!!+3+=KIr5gJwqiR6Ze8^HG}t`pXP zEE#goCw1NYtT1I*TY#9tV%av_4*j*u{a$3ZSSz~Unb5dD5iNx$9x}pLy{?Ok{Ama@ z2=t~3>+6xaSMk9hV#AEBixtWh!+lrjcM^o8oID(W3SBws8tl2emF4)DVs3>e{0#v( z9%tIax=N3YKP%e{Wr=_OkU!-}-<*X#a3q2C1B+%q=%P85o7$9+q|S0C3l6t4r+(S< z%W#-GrdJXZOH3YCY@b9qKK_&+!P7X11YK6Rdi>2Fw}R$Z0vqo$ty5$T`Cgv=IEV1Gggjx#@SBnVfDc+k2*axjR9g^vRWd-5ZS$ zU`Sqm_RWx0%t}D*=}%Uy<+nu~$vJ1(d@OIekrwV;W|+KWmpF9J9?`v_HZ4Bv3AC(E zo_g9Ez)Y11tJ$4V{%NM&^*;Yu)BdrZ4&|dpMT;;KI^w8Hd3-xRgLh~%(%IHx}Rts%~UEPJK_}brt>swwPv|%$KXh#IQ zvVBrl9p}>C^7Ee=u_n3lY34{{&2(ZzT;=5DIwp36*9-D7J6Im@AXkB}+oU4VPioWB&&N$+tr95_RC7bDR=wth;eC9fow3S`TFpHiEe z5G1b(u`tr1YdL1WP!ulKP~gS3J7kI%-R-&Gkflu4F})gH3y`p5FiuKpGR20jYF~H9 zNW$FQY|mQh=e3~y8Y{FZC~bUQogMGKKL8rNe2^gqGUFflKA+m_Sa1C0JeEl@&HkF< z`qH>4#U&)0O!;*8>`B2pJ>Nd#_Ojs_ zsZ?{b+#?X1?i=#c3DT|Nn{7c4VDKCm8(Ox?ejm^c;SpSR{bk#!AmaUkExQa_Jr9=l zyBV^;cS{u9X*iPbtxLtad30HhiuPr!GEC|TNTu0}ldw%01E2>hv_>&fs$pC=it#r# zuA5P1C#QubFWwuQ>26Bul5}CWb?E+8S7;F>_hcM9N_DHQ7x%_eaQ9&-eX+6al9qE- zHImL|*3}s`3ooFWe2k!a?gv>i|IAY3r`2D9opmMh&crZkq1a#kspjFN% zDZeA3b=+w-_-!O3^?|tdB4X5nVZq$7@2?Rh0tTYt&WP9~`8V!ZHiJq|a*Vn_m-^><3(OeT5 zw~AT*72nq1k6CnaD$us+;$lfG&h_^dvd#0_Fj`)KOSYSNPy%Y=^f?tuxs8nJbPyU> zY12Jh{S`RkG*JjZzEH5SrX9GnIRd-3Q<@-dzNcS70euwzK4p1j6Ox`um;mb;p+@rAFjJpn+CFaHLp8LmBTF)sdutqc{mfM-vbidfmBVx`|JiGdo9|tm% zJb;=|Kf&nS{Pl!~sl@xxL-dB>2e_Gl_GviNKvJ{cDo`u1*2zD50F@z!UDozoy;=;O zDOmr4ilrRcWF8PEIpy9J3u8#b%+!LJWy zRZUgNwp}tXMKYdLD^lB|Eq(cPOP8Ah^9Dfopid6#b^{6bxI=n3!V*jzUPo!x`y)#9 zb04PJEA_^iz9!@Xy{FBLjwHTdvW zNkB1dog*+f6MWkfWv{fa_q>WZ41iwPNK@TPVYtmX(IQ#Kw#V>?gWI<9-(POA-2m7e zNB$x2g=qV{?PWIZT0m#W?2khV>bL@_GKSg#nqW4hf0D813Pbdhr62oWvru_cKKiTSaVxHQKT&YX&Ti2Vfo5d|KIdKe5kU>s+-5>Q5;* z@M!Bdrrc6lZgwD_2p>l0C-IKwbYt=_E9rVkM3*z-d#G@>NsWk4vMo%gQpNNu#P?xy z#fTDw%{_RAGud_ykPXVH?9R7SN#hdOet+*nL#$zRQMJ z&6Yj+^nJIN9I%6Q)7knT{OV4Sj%2qbe6rFh-&3}em5SP5%ZcvSjDq_JmuAcput@4i zL7?aBv6x3yHG^vU-m(v4RhEUk#?Vf{HE5vtwV+0Xu1doMkJ&!eq4^jkrfF*Y_#3}F zfmfB-%sq?9%)%)B;Hadn^%oct_}P}9X-4OR~=wCQfFcB zZ)YruC(qv^M719t$gt!s_=_<0c7~~hy}hUA**nNU!mczk6Q9*=iw85)QDqUpN-|sX zEo=q8!*i`$R6ItsX=|BZ-V!@g*YtM*JA`&$KGwVcrs~9cQ?Ys5_uxC_btG?hKfLV~ zsxlURZ1}9m&Ww-?H$jIge-ktP{l$io9t}?tqS>q_D{!5%Ks{;*3oQx9G5ovqJMj&~ zX%4lSF#e-VPg;-1GA}ZGdh^z(z4y1Tt2?B#$4dyzZP@o3V#0aYr#KV-HR$ii%ep0n zW*}`pIXzk)!3m;yI_!&y_ONUVfm&)mx^({DVL%{oc#v?==#wSeNwr`^r!{$a{Jv*$ z{J+ANzY>GVnk@g(ur13p7pCGIFyh2|G5?tv8}$Y#URydb1tpkllB)7bPlu-#p~6lz z0wW>K5i&e+xjnwJUl0ns>onyb1vg5HtDeeF^X2l9jRief)bGi)=Rdc526L}uvO|QO zT~YQ?hh2`;`=wnt(*nRqQ^M!0tWZM6@ zLQ@x?B022@#GlpWpE1Nr$F%jq>VHt2ITVoWNN$iygY=%mk5=&qQWm9|kLkphhY9vy zsY=znkoUsdZRBeOvS~$qHR)aS?)e}GRA1M`Z-K>&UFDM)w71sS`ZSnCxuTICPUKU* z|LJL;{l?&;*9GGl+Y<|F@WHqcHOG<5?EKC1LmWb1OuMsPRL~S1m~o?sZ^MGN()zYo z_hEW=ihJA%ZIDBWdfQB+AQ`EdJ)A{d4Rm~{i4ZgWt6K+Ey#CyAyz1bIHgT7t{=?^1 z-cBq2alaaJZwy%|7~Oh|-xk#e9Dn*_q1%(^EvJjqoDh{28E+q3T!U7g!UF@JsaXTN zpiPvRwOd*cX&v&gfJ6|rv^!pZz&q6#%s>kIcddWPMNuSJoT8i<4aiM1<^cUIe1o~r zA*UXsZwZeO#4r~Gxv3RT{%fU^7L91NiU>NPzUHf4vtfY=Jc`c=L*li2nogCj3{z>n|J)DY4(4_UCDy5)HE#g|F;ai5SDS_NtkID z|6O{#=8!Nl7=csa<74N)b4i;d`%Uk^9{eM-VA!!yRrg##tACRZo!~FT>+w>k$;hSX z0nE6oN~&qGpf*^*15+T%DJQbkbX6I)RnLfrSdVb!N?I9@;{=*PSHJE*#qjpKe`@U& ztH&7bR>yD{R8ry5pDJ-kl1*;V6YHln9f&hpV!(nTp)8pw=I}igoOT_BFVc>LHK2=i z1YJ#+SZK;!Og1ngNR&~*IUi=T5dTm_StTAwlmNMxwc`yTXhm4Oh`Gdv zUhE&rA97MPeHguZk+T*jJtCs!PYBEjl$PYWFpYkKBC$^?F3B-|G?F$n1eOmVR(0Jp z!3`0@i`;80L9|L0Me#CI(($|9$#Y3lc#Hxx)XF_KO*E*!%R!9xoxVh9(Ow1(f15LECd9K|Jz{TZkW<|Y16Q{`|Hi)3NnW&CjEKe0HKn;Xy*`&E-tEI=KuzWHF)0U6<0GptFD@UsgTxu{Rqh&jY<Xp%m0>oR5Uymx-RmB*RKX;Ds+(ng>d5O6_;e2sLALOzA z3IdR}iUWgzi3BCNuG>T*Peus?3l31DsPIvP>K;u-58`(tA!vKKR1us}e{Gb}-mB4; zguHhfMN%0xudyNjJYO4THYk^!IZ@y%`^A0_?pXTqlur_9dS8*cg$paMArX_@10`o} zaRoj}v-`5b6%G6DSUWP}LR~Rw{;ArcA9u4W0-Oc@#A0$)R)26G2}+IP3|lXfTbivD zItb|qB@FFdjmFUaJ>tlK2(W~JM$%^^{-yNT@%v6YqLQ4gC`8$gTvJSoTfOc*MFy@h zwht3EcqnrM3o01ms`N)Sn4UC@IdX>NYR&)ioQbcvX2dMr&A!6xh80YX0q*HKfYXAoVX#v zfdplJJv4y;TqP&5DXW{ms*Ds-_cs4 zPh@pw!RkY5J+F^%JLZs~l@92U&48SQUap`gkWZ;!=j7DM0dhvH?Cy3Brhty&o7(pU zOT*$|Jk7D9woLa>-4oahdBN&m&~DlY*bJ$PXB^45I^8S7Ye;hF&qQf>;s1Hg)H~Sk zITrd8s{F+2idR-D_7*2Km=I zJ2z*nE#O+aWgc8b&|im_FW0z)ae8^szI<7n`*38ApUzi_d0DMRAlyB=E+V~#J9#8F zhV#x`MiWyhG}%FQl4pBuVwQtHk3uizf;soj4U%Un@^vZBLdlVe!#xAL;hfmu!0U)ms?mdJC$Jhzgd! zxoe5x71aCvFwxy~(i78t_Klh=U`hrE zgH8!r43SCie)zNDG| z9&_~o3I%2ko+!MmPLRLfv{Fy0{6P^hnj26554A{^;Q3qs7#O*7%F`6RH(huo|G@fw z?wp92pY(#DTODcnN>_UoHA#M1y}-{kv4-xlW|KNRf}}N@v4LzETwApV;RKiOMl7fg zQ$c_6^kaB;^15Qk<^Js)8_CyoP}I0Pz#gWem8oo=C`0-MSF`0uCo2hq!NIB?BaAv- zD*m-g^>y#q9w?_J1DxYh4<&zIk@Br_DVpV>uv5<2O@AsgSzOEq`TYBxTsx z*btth$&)I)lC?aQhMUKJxWL2n(t6N_G08B+0o9f9E2F-@o+*hr6}4XdZ;;VFJw0|* zY?nOcMdgumIq+0X*Zf6ReeVGt?4~k8H@&Z>r8iourzXr_oLM+9^~%m?vRZ3tXO^DY zZX7FZf^_mlm5Cn;o~01_QmoDZc^36^@ZsV}8?y!m$*Pn!SXS9q%Bb9?eWV7rm%@A=45d-x*A#0`i+GUX#XQ)eQsix$@;jRD-wG?)gVChrB3` zY!>)aY@DWGp=pu*ZHR~^f=+?=IhuYM!LmeviXR?&JeaRJ zd-as57^$_qXHux_K}EH0Nyh(O+KWXlv8rdbrE6i@+hJQ^S4kwxE9v$OP8)5s+#88~ zL%t$Wr=|kqEI!LiI@-`(T54*nZmvTWC8pFs? z5_PD9hFl|;JqgFJ)h6RVcqQZ+MUa)rZN#`XAa+?gC9ip9;_;G>Q%l`##QyvPb$J9Y zR@5~;q0X>bE~}^3EwasomlvYMy06yQ@c@#v%aAO3`+k!M6SWfE^LpG_MQAk?!t5=7 zt8YJ#&`?t`Qe0@)P;XD69PUmwd<@wj|8LR61wEJc$*40dsx($d-%*i?V7I2AXn(#V zfjZ8PZ;?fC?!B!c9_^}UkFD&6k`=?c?^ROkaRb#vyTEYke<;c89bkjFy3pHl+Dd#? z7JNXA6&rX{9w?&#Zw#Bc@rYOVPM}Nv!BS(U;JY^)$FUF;R!K$Nx^X~=J zmca%t?FO_Trl&d-P`_#|HycIwzE{wYv~IO}aaLAUGG&ffr|6+&8A%f8 zD&O@3DQ{)aWfcz>9HEK%Ydf4N^iHYl=+j;b`W`|qHP0Ycr+Vh1G7Wu z6Z%khyTskH_nF^G7q{lC(t5#}M?MvNcx+_8{Rg>FR-u}*Cr8wMO(JWTIS zD1PlSNw!Stvu_)fdR#m|G<&z`%62~Q3eQa1@psSkqa1Je)hRCQ2SZi35DMHHbw0{Y zCfN~7>3H_<>ffi6F7c2oed=3_!e_#^1WU3YOP$GzI6=o1k60la`e~+(&?2y{$eDxC z&!^=iz`aC4`*91N?gwi>@~w)@IX`;MOVzL4Z-I83 zZWJMBlc+LYsC_&T?-tkFQYJH+mL%I~bgq$jChJuMj_9Lyo77mJhYB}qEL@hVNNvyY zb1UP*j#bQpK>&2RA{Zb%CsBy|;2h45JK}%C0TJt;*9%qBs6rDF~klB(Sm3m%M`Z`x&Yzk?%8qMGGGZkcI8slXz#`h zP6~z$`xdEwhIQj0RYY0ZFvX%m_yUuUnGs}N`+fTG6n2Eu#V{idzKtLXwI?H(H#qJnw8ByOl&kn0DhT| z%;FV#6ZHf;m;0JOyp)avoO<2%hyAtIisv-g1h7yy{?e2jm^6;_dh2T*cGnl9*;bMe1+;h%piv=gA z3*!yJ6p^DAmXurrt|??3{St;fRTiUEO7>N|gpwgGwE*Elgv;N?t)OR9g_0yDTm}no z*TBVv-H%zl39UJDi*mU!?SA`ds zbq>Akj{B}X(wteTGo~*|Yk7rn?aPRy^s@%RR&0p~hu@6#4Z;t$gY zk7BdAHzu;>^=KjtCa<?0;(*OHoW(eCy27h}(V+s%f6vHd(&w?p1|0%C`*Vp}iZ@O~6N;Ak4qgLi~D!z*y5 z6-od4Mc|l#v#-(bPs`$w$6uAgPT9ZTnVFx^y0eJ;gw<`gH+GMQeE;Zu_UaMLL~8gS zI$;jM*84VNu_Q>@Rmd7))vu9V1gX?vCl&Kf?S@Ad%8ARvUupM3HxRM^rZNL1fc)OY z*8;@wLJK-7HdeAGRyVl*+}*vl3CBi0!P)z(%Z&4Oeyvl+M#aa9&9 zB}*~4p!WOAcDA$6Ka#tjt99rNGRWECQq5xJ1}uw+`%V(0($?4^i1F56js;Za76==r zud`*Iwrw_n6rz*guJ~Zbdz)y}X05eRr2nNb&3@>9Au|oUPLUlk_aV#tNQuAGjzHAnWimJyCn6~R!vFi&FUX+!1}w)wZmfG zF!#>!xnB~J$iY(!pm^5DMy$cCKNl`;*zF?OUVB>q!lfKB3`#*g^*>DSSu%W>th$mk zN$KB@XZdM{mXm4}Wjoi^cJo%e<+EvaQEXiB4hOkxhRmFlz5Z2&E*Y*+>B;mF^Phkl5&y5CnwLNQ^G&knS$&Zjl<@A)&s{ z|NYLlaX9 zc6IRZ%Ps%k&}*O{LG2K~b|qy?7!%LKf?9)+(C3uO+5*)hvHjSszQl?K!qyWdLgt=5 zhZgA&AM;krWofZEGCk-SVzR+}Ak87luHO$O2hC6g=tq)$4en}xhjh|PtPYGXfur{Q zz2k%m)l<4r-ESqgpWbrWQ2+n|0y_IM?^@ba$)GDMd;Mn8{>t4*^GpNcSZpBBVIW#B z*kqw>+Vuu!cW_|Depk3am@zn7!!F&h++agYQT+ z9U9x7e{(gruAhj%$v*1kv^{w8l!Pg(&*fc$kLE5sG0O0Hs+exn^kzA%SkrJ|te(hk zL>BF8^Xbp}Q>)H^rM{`a2(_Uh)81MVFwd5;ytH$b5TDqLl->IOF;vT^P8bR%@#~*);E;^F?Z1C%y3CueKCh zgS6;wX@1)*pY3lSZY2Bo^g$z(ZaE9^-Ijtt`@g3mty%mx+#augH0Q^#=&f1P z*V=UrEG}C}66}uh+JxLxjDHpD>vlXa+1qS}i=`Fqzx~^3P5UJaS5f>TX9~UeMkyyk z9*CssN)zp2gN9+42&XIIIVR@~plJon&gPkMSNuh%$s>;Nh=^D9jakW$+^1o6|3KZh z6Dsl7X##FSjbA;oleOZ8R@dfmH|&K%`-mQQD|9=HyN=rL@GBL=qlEl(%S1%^;hqUp zzhiz$uR%@Mo1;IScvag~2{wy7JHUY`Ftz$eXDsSb7uf>;Or8leF6UDJrWVrVxu^uc zHS7n^E#`_ocF%-67os6EC%pOjciyLx13E6WtRZ zk=&=#dk%wkJTn!_>oqaLX{e&^jz}y8gtMl9LMM;Q^JgN;#cCr z<_+XR<7eSgJp2d)&7531k1CUKplFzeh;UeE2M;GOQ>o~qO+K87{m<&gY#VDH_oKzg zBdrjf)z^s@K=n6`!%>x&=jqZ9F^QUY2m+YqPwZMzfv?;Zf82$(wmoc64_Q(=Zz{#& zQ+Hff2nR`0xR&&a*i4zHCkrr~%BE%No%%HKHdmUz=q+D!1|T9cW-^823u?F`jd(T3 ziFDsp1zA5U0% z^%tZ)O1V7vD`m$9M2M`*Tp3Oxck>)kYYNmuYbW!U#XXu)`Vb)&+-#fQR--WUn3m7m z>>+f2IP|zoC#ziJYxsh{dk_)yy7Uv^+tq{Nz&dAkH{Xx0Tz-*_tIc}2W24PQhq?YF z7`{@Jd<|dhPpbHuE5q-2w|r$}&s-XzTklUAxS?z~xQ!p|MFz!830CjZlSa`wxE`wL z%Rbj}CzPVuyDg=gE;q6cY0>6f9-tdZM09%V?pf zH_zg>+WPaA35Je^U!AO~qMZQ<>VxD|hpERdJTrY>@a?c)?-|05)bnux1^vlJpZKY} zRR?37R|)U#<29>SI2);_x%jW&6#Sd@Eq!4-;TszZ7GQwipHt8AlvRv4o2hs=^2Otr ztSNRk^+wb)eD)b*j-Hp5I;@yGrM^tJZ5qwaRm>l#6V_SZohE-}YI>)esY#}s zs(vOE$&#ItXDn-tG%?h(lG7j*jh<{Wbdc{}RS+;_R`FW;&L%lC5>%qZnWWG(^mgYz zRI2N&xTJbwc8CU0w}&qw3cVWfy*`C&C}(rYez213V54SGIoxvK_d~ILn2HhiJxcV%e&G{sXecF%0G=lahu`NW>#6=tlIW1Wz(I_&FQaO%E3>3(fZny^Am^0bciCz>ZZEVf1 zr~>#%8eRFfd3Alvj*JfXcAd?MsqownqEQ`Kol*vidm0Wj^%dFJLuW(Qu>#NPS2(<{ zi*zpff~X1}#wn~pY#cQ%n}MxA1%&rX>lWMGR<<6D&tS4y=SW?fG>q!BbVBj1UWp1s?eJO?99Kl?rk_}s_!6P zcE_0T%%jW%-SlL!@$0%buJOq6{qeMXGJn}0Kh@+&(f!KkqGCk5Su8vX^z&B*j^T` zU+t$vU-7&EOoZo57k7PS!b z?VZbU#AJQ=#c|jNlH@jX-X#=xtJgzIH_GOI%mmrmvhLBV;;dIEit3mOSoTN%r6gNm zki2G5!8^<%I`38RzXE}AE=Dw7e*6z?GzW@3mLn-w7zHq9w{(mEC`Cn)4Go#ko9Te8 zK}6Ar`b;IO$=o24y64^j_ybf-LEfnkzEM+)yV3RX0BeNttDr&&nZSMt#XM}faOxe- zk-E=n&2pz1)ZujOpl%CxGwEAG_wkpImsH#FaUDdPr;7bq_;{Z8{1Sek!f(I`uJ`8h>nvHVzt?M-y$>}F#B#CcEqXj;w=R?WZKoQmAp7) zpb84$l%t9xX%L^QHEx(l`1y(=?JBi7Bi#3l*z&$Jey~p}Q6C2agVXJAvCvMNe9k!> zvOea2$B+^VW^Lxp7To#}-5fZkp%_vp7O)2Z4@kt8%uard`dagt(P=lAK$jmVkx zB{!x=dnfOXzWtku{-OI5%*hQ~*i_UKM1CO)jXy3Wuidj~_$F??e)hy3F zteG+Kv2v3TIdCI&d0sT@nii48g@ns2It;5HwrArPbc+XLgkta5641#h9*Lzo?#2Vx z`z0cNY~>Putne=I9MrgPV(uZ@H*hmH3|Qk;#UD5$0gsi&BBv{Dr_nY2A9lhxfzGjZ z!71Y8jsUzd%}9r=pn0lA_@-O-4Iu`2aBiu415w%to()j^aC`Nj&1B9yqwD+$wPdnH zADurD&fb5;-s+3x-93=CeP>@M#giFj&yH@L?a<8@zjdJ_?#;6W<7 z9@3i30IYeuPJU!~?%`^Bo|CC5WdyZ30Z+zN1fMHZ{E8X4We-iInc`6by9T}a4Pp`H z+JnbaY_~HFbjm?`f87u>M|@{U zwg^O}zh)C&>2;7RYjO#>Diq3lBc~QTew&K&@WWXJ>|E222Pn3m!8^vpwTkd2hw!i@ zT1(E@MC>!A5fy|2#}+t|8xKP3WUzQc!NKv9acOK?Lfqpdjs4O}rgHs5aM(twqXzA= z*V_)fL6AAlMV5?IL*uBVBcGx$0pLWRS~%0K_&R=f{`O81vi6z&lkTSYh``T=oS*gq zAm&Gr8j7UU8j|j`MMWat(&h`fUNUkN>w7W3U?_V?efM<3mzhea_$#U>Rw831>9ls36j#8j)kkG^7MM;q4h{Si|pyOjQT z1!%efvnK8N)I+OysKb9e+8t#l`anggffS3#ON(kI{L}wX7u#{NWo^?eb#{}8)O*T# zaz$AK$|xRFia1oYv0(b6X+4EkEb_%En;2Lm&h3xnZF$go1z}xBTx2a6J*En5GlPpm zbvKXFua@q|T+-eW`1cA+^D6N2MYZz143DucgpW!oO)$sRza4bk(SH+9evs$QOGQZ? z^tPMh{zQeKv|zf>zp1K>fJuYERB5B_c^oCV2y%`@=9L*93}N}+>#wc8V&~&;`5C2sLu6`7(l}}-5=rgvTTb$E-Ab#&z?mn`)hQ3dPo={9YYKCV^LyNxsGo^Z31o9Ek**9@0vo6yOiqNry%wzAY z?4RvSe<`fcoUhBXoT-+%plf9h>64>n(0pYLWNcYDG3U}ZUf684&i(>lhNnm|e>CIK zh!O8bA%#EwNx-hFVnq-^_S_g)Z=2ub&PoooW|JB! z2)C-OSLDf$<{nVGbs`j5 zq~gs~Ol23XOgR=-I_R#*{4{yZyxvZ>q9gdnaIW2XhT=xKT`PLo$-Edwm>&+n+F!gJB2r9bC?Jw1 zwLhQ|uWIFAReo0=pZlU+jS|^>K8h6Gpycv@R;_pKmxUF1f>kyH@3(Mnq0}iEyD^+lwS#dMXwjZGAlF zLfGy4w^b8k+plLbVXTW7Fb+tdpK@d9N#W3yMB!&dj}P3P0URb2k|^GwasX`utT;t` z-mM4fitfb|Jw27$9rTGDX%S+p5VYTZQo$4U5B0Mj8~9oIKi_I|*$d#<3emMoN`vbg z%33qUn&Hl|1)>?`V@mF&$CUQdT;hk+hq`6_FzYsX1KpNr+lL|Wwe{~VEh<)N z|9vbLBQ8k+yXf3(nQ$>ZIcSZch4b8ICgDl;@z4{h)NJB_-{2S#i(u)QM=tbK-|juj z*usl9{MWS-JKa8Y^i7Q^*-QsVw}@r5mc*x)Tvsgnk9MV-@rM=lEyrQ)*};XfGh6UP z&$aTk+1~pIe*8B+k!Gltyc!HJn}SYP&X$*6d2qz_7mCmIY#jlltJp)JS9^Z@f;W`i zLSO@It3LCm_zI&pfM8Vw+c|sBEdiV!y|aQvk1Da(U)8qdv zk|F2%(_UBSf@#5zK1t~A8{F2S&6V_n4^kRm@^zLSH0F#{WJ~9pGg><{zaL~`{!3Z* zE8RfM#)Qo@va~;N+IucBrAwQ)TK(r=f;I+&&*~&$&&(iy`SyGDY3@l9&k^T{Wd`+k z6eljfoBkFSOuq54uk?D`^pbBtGLcL2X|&_aP4&XEDlD(^>=U|Fg#PotsXf8Fo#V5h z*TlPMtMY+pTC5sAC(VBP^|lA%%cWNa-z#Tu#2jg{VC%`FM&+|a4KP|~Tjtyb$D`+n zKKWX#V8}iFYxB{BGKOb~J$L<7)Yww$swR?F;P^=E)N-r8GXH6|AHS;l*}h>SthN~o z5P|0+mldOpjg+&cSEbtNiOi1Du*<)RxxZwo8`B(R$<7%}Y8OIP7c11Y+Mmw#w0mqZ zyGj&mQ(-gDO>=%9Ygl;61a!8?`{ptSAu<~Cu6X|Ijktg%6NHM=OH+QgS`%4evX&5? z8=JCES-vQ&!q801zd3C|w{!9J<75DY@37$R^|Xf_)FL^5W`}?c;)K#!;%(2R*)gHh zVEX18YH83`M3C9&Jihs{iKT9|{i93(AnUCV}xf=rEL_t_bD z5HOx7P>{n*%jP^1* zLC~rp&XGCF&bKQNgc50 z-7wIk3vL8~U>mjW41`?gMXSq{%Y2b*)>Qi@<)TInwtB^UN(Pb`MdZhIdjYa&|8@h- zr$3{*3#p*%ZI1TWsqSKJgBoPpCdF$guMzhr+;zQGks*glIe+%p?>R70o&hPf4*6YC z3(V2}GiG=wZpNUFM%duSY;BJS*Q;lKR&-J&uU`Nt=lEZ3A8U^lb=P?CCEF7Bz9CyF zVn=`7mvpLs)cf}H>t=M;@IMf$my1`#9f%=g)2ldphm;o_L_ZfHDe4nQeUs$mJJoQ& z_Ovxx!dp|}csh16-XRbObG~$s55SHQJz?Mait{K*s!LwlM6*dpOfHe!8Yi&R^7!{O zxnY$?R^YT7(`Dgi*LvOuhze*#BH|kp_Y_;i7yB#`zYrV|(3vw*bUVn~f-K{AnNAp> zN2d?|T4Ey@gYN~iy++quCKBd;NRS;6s+=gsGckC8g=nHm`QmaTV@GYi%yuZp-s^Yl z;7Wx3B}eSpE!j&O8@)0eR%Bj794h4S6A+1903GUO26P92mGRKR*_^RljxT`kMwfPd z`oa9c*pM8O-+rC5rjXtdGx1BX7)2#O+>?bAsG+x?x@T}#K|OKSCW2iE9l4l%vAGgZ z_i8%p|)i_#NAqcx@i{-c?4I8ng%ILF$e>T{h|f)vz(!K)TWt73pZ?<>SMn z`q5iPUw&m75!A6ZV~dOfHWky_Rv+4CuSTRIh56;YuY_(Mok<*C(RflDRe@36f|Ga$ zb<*N!wTLO?+Y|&UZ_PY%Do!tUAm&lDY=k3sNw{&&N6CI?Tm~41Nc2vvURb#{PtLKQ zc$5QfK&<+2V)t3olsUOms6N5FgmBLXVaFdHza4R4!U~Y`dKW9W`VTa>=CfQ)d3+$7 zbSJs|5%1_?p`w&7exUZuWk&xzeqZKGT}RGpR#ReDB)H}cxj%yK-3KjhKSwJ3UxZ@B z(=g#08dPp*E=gj|Qu)0#_pLf!z7tK|&cqL>MMe-xp6;^)$-l{6THS!A`h^@dFo!4& zUM*f%i9P;@KkKSW_g@l^&3|sWb4V6anJP%f29A2=IGC*}B);M+dF34()xBCSxK&}r z_5@kBnoHbcVjvUY#aJZReP+N8F2^$IUTrOH;#M}nl!WL6Uy8LumWp>`qZ)}?oHkN{ z_9f${t>q6{4oHZfbMPuaenSFA}LO)Y=vfz2&&TY2D??q>AG0~h6 zh#YAwfp)wj&u6v&=K$=Q9_4QAQ9Ht_0-K>~P$o&yvm>$s6e`jjr6*AyI@5R>4a zJ)NIN_;LG4unX9Od!D1DJYkHMws+L6y+gnQ|I7|ac=V}JT!)V{2YZV&l~byk3cO-q zg}UB{L3${SD&yHJ-B)Q&W)#s%o~O^gabh0r4EfCX5agSo!Sxa7B6H+C6OMpYmgLh< zaU(qIUg8(I^V8npT|*mbJ*x%}>T#+LQN#=<@hPZt_q%EciE77e#HY_gfUR%-Yj2vA z$5jDh4!5Y^WVYIn(TT zw$gN}&}r`VH22tKh0Tk>zqR%R8crHU>Q-Ytv)`BHsYfxeK}Mq4T^7T{KCexkTHeXL z+BtJ-?$?VDRU>Z=Q*sfDN7rAWIwYwoE4W2~(yT9z6L}71N)1`I$bk1hYiBEAUQ3L2 zWCG)${vaZ3IcVE}1={qKlI_##^s^-Oj13fL*s0a7tF1Y38)MOldQg? zh3%~D(hze66gd_@;UMTk=#J1Dz0mS5NXpmFijW)VU@9v3KJUA~)!zVpq?{@iF+*SY zZ)!aBiu0|(&^o2|nW2j*#NN6;>E}YzCz?D<$+3^1c*2ig+RrIFrP+HkUw>)gqgdKA zl`iWzW$(~v*^r!}n=NsACXU-d93*dUgsPsQPt7?}%-)MpiqjMDjhgnj=Wx)Kp8geX zure<<&(Z19Z)*QR9Gz4ct6@(Z?y-O_+pKpU7~zTvIl==2faX8Vh{sdgiXBWi5gY|T zu7BEIEx|G6-}H9Qso)Tjw-e&&_>sovcfeP8imn8_A~P+`Lw@OxSsdQ1e#~LB2JcIU zvnKFh$-*!nJ zIZV&vaK##J67k$wJWH8W8FDeTu05*EWf~w48USj(OXA*1#A!p)Tn0%4na5Cb=Jfy?FT#jktj_gM9 za>@s7p_YPEb%2G_dUT`~K;CU~83ZpT-WvwqdrEY|7z}RoO&&!V$oex1^^9lI+dOc@ZgIOlySmvRoGDzy%O`B$ z0|u>8m^^Zw{E=E_K}u65t~JGxo0Cu5EMxZzIi=R+X5;N-HrbDGrg;SkIDM_b3peV) z3j)kj*_t@9BrIcpN54IVtk`OER+*G#Oi`ib4`k`zb3l3Ws0~D6|Cs@yPwc?q<{`d) zw$9ylo9IY4*7{F|!p0hOl+91Hs6V(dUr>#iTn0)^b;1`C2geZT+gZ1qbk>v!xjo%I zW%}>V_$G);rc|K0jX>~pjq)`H1hf!DbC6BYsTYkjI&yo8e`%o0JHz^8Z}3xFcZ=%G z8CDLTFe=B$3jr#$H|Qp@QxS5>gyZ_Gsf2+t2|r#AUp;66&Jf~jp8wQJ1h=~ke<|6e5`b$lk(f1kZuvCdWeyO)0Vy?k=} zyrx0pm;eZSSqG-R%xfuKgcM3HM^f=y1H&1l+D<7UM@-xH zj#J^qv&{!l!*MRCvI7BGDaC@!+l<_(FmQih&PENp+-{jGnnCoC;t%fkGFe8CG&4lkk&bCP=)CH ziLGvdq@*@%sLDWiT*b+{@aOEz*tlm@{*$)?X8>p z>?toKESb=^Bou!9d*9n-TI4#5WWfiF*Fj8<&veeeYv(gG>Mv#}ekp zA*dcW7yUtZxK)3jl_{>Q!CBOG2qf7%=xNpNnEm>9K3r{+M7!9nD07Wwb4BCujpZpDYmyV@CbZ#XErO z$7Mq|oT)}7IF^y8*TEv-Eh?%)5Bu={lxEl_q!5fgkJTi{hhk8P=V$et5^Rm1OVAx_ zB$*5^B>wEvGk1WC=;Z7-5!EfIA@5g?laiL|@%<)msg}XCD)?L^a3RbJjW<38ZYOhq z==F#gR`|p72hz);{uEyE9%W0hcz99? zHZ~jG-Xuj0Z$+()9;KBL$*&JOf{W98DuYcYS@+BC74Jx-6;%*uP1ps2=pMbj+mcizD`$e zxAdFE%ojU?o`VpVr$=5RFYa0SniJ07Z867(Nw4HohbdtH7qTDiI?j8zUD#sJZ$H={zun$q6)wjlXqHt-g(o2 znN1eAYKd>(+%(+~I(x`nEpaJS+}8CXl57ICi;t7>F*HY#S2i+qchtpzt8F;LXCZC% z@yy5C7sl>YX9;}AzatLVZgRD(jp}%Hwez0E~#s}I<5dC z^F{^N6;p}XQf=lR;--F^y}M3e&Z50UD8CHrCH)=Y>T)*-5p|TTJ!jDR()PKjU^YMG z^!30GJm&R0NzQZ%>&WX7s}uFM&16oUeLmxS62}T|nEtX}R4wp?Z90`6@9{Xib)U%TkCYc6Xp#k7zTsqDcCHMT~P9$c4N*)t)?|;54r=&==SC5aV+$n+G+F`1Vg{ zrCbo2tA;zi3ArL#BeXrGn_yrgKGv#Kdm>hQ`w+O;xu^Q8z9N{=*99NDlwE&>U^j?Q z-J-F^5I;}iRvgr0V^qBIoXAWZH3~gU!0%P}oXxbk76Bc4j(1A=3)>y&#WO|Pl7zj8 zYz_{9gPG&(F*@XElfvdPYb&99S){*Fy$M`=I=Dx-O-DaavOCl6omS!WH*F`R$Xo^L zVdY)pnnqo-f3~y~r}Z`+V#ltnZ{-%t&Y$C+G*|QTzV=iK5h{!JQ)IIb=P7ZQ#rw((l5ekl zCG@2hE2Zi5x)>+~33Is%FB6w`p*U?8unvV@JZm-V0=DT6mk(cs-WXekfsBw;x~u}k zo;FI&igZ01U8mvZ$h{xv=*bN8RsO=H%{$#6O%9v{D~`a_d5GUl4DjJ)_Q0!{jO2=e zy*i(wFC<53Yc__}f}tTprqsQ>uN=m&W($N1C13htp;W8NMU1$G5{3x2RPZYdc_L6e zD&a_fQA!$g$&LIL(%pByOpqP)J9=i-#ZUEEPuYx1YaZm3Tlx`xqc> zSr|u>8V7WMaW*f%{ZvlF{n7vgaQ{1jJ9&rw4JQJhoY&@l?e7ql@E`*KO39eun)pUxoS3>kR$xjhQr4L;U?pdgJ~ts<7GH0+>qc*9>sr)qS$Ufru+ zNQ07B>Yc7DHT2UsS_#f8f9Ij*wRu(X?u4W_tkn4Fbc(j>&{i~^rx4n~Cl4`evLJjd zU;fj^ga*K>S%f>}F(U-AJlOXK{&-c8p6YgMnsd_HlD2b65FGd0t!ipUrSvNy3jpx+ z)H$)TN>dfOTT~$uzH%LS(W|~FOUdS94wGE5|B-kl-^k|Xvjg{d{tIA;u@}fg9XT5| zGA`MTjpJEwTYGga9Xp$7Vtl*l;B3@=9|;rUXCCKWJ>v{F3^L*&f&BwrPF*P|RrY~) zx{6N?s&0VW{!EoS;8#@!{M*nOqH};vqCczR>4z~dHj4vDrm%Y?P;A+dJJe-Sb)$tg zXP&9I_{ z3iu?PG?EgC5_v<|gE!UmVq6BwA5F#qP+n0I5$G`B{`#X|Vzp#qpr_;yOl=Sts<&-%yU&68sW zyUD?!gGKDe46NjqLs9dVW2~w4j7x(qaTHOXBNip}wm27iDnqEA54iuTyMVe$wu))R zH6+PY$xQMwZJRHCPjEb9Y5h^xR*Jh!*{FW6pa%j2jo<9oKT-MOpwQ<^73-3otLi@!L1soEJ%IsQ|nU{1Gg)5nOH zTr7k*w&9E<-(^mIxZz_$RJok=s6EYoDr?R0a4I8Nm8s|v8x(0vKS!v-t2o#(;x&@b z96LqTt5DwkWAnKg$q)cTcv6aT7Z&KiNExucE(LbN|CBgOLTT2kEKiF$;#2%spNtJb+?7TQ0Y)E806&SjnXN-=FkKLbagp$|Zg0^uU;6YS>DW*4yA^N;^nuP3kfSLN>;n65e;$}UK zHo@OL<8y}nIc%_zwNAcCr@?vB0@DsJ0cx7)di$1(EI=Er-VORvAIig1uc_2k)aOOyxj_^LbFe1j72h;w-T%Ryr?Qv(zc6K zkHi=cKS#&&{8lm-`J82h`Ef%67uUI0lKSK@Fh&=J=-b;L`D+b%YLLrSAT54{EmaD!86&q7t}j)FMDxii7-rA z+f5GcUUQF3K`$8N$H%qx%$XQ(-2_)UH5Lho3}H98fLiAE4m_T zh~&xcAUBG=?g=pUUTELty5qkeLrRGTDQFd+qrFpal4{2WRyUIi zS&+X`!qC~zcPXdF?YrzD9xlGGIq;TG@VFmR^Y*jT4wvykAyv;xjU0S3+5EsU|^JmDqwyT2%lnE5!ee96&;OQfG%2{+nF@JNgj0^s>PLukTmr+$MM& z!0;N6G&~^jPq)jc_qc++vKi11HL4JrQ{qrswP;@6U}RBeQ1&IGx^!?tc}ij%@!Af+pXS+H+}hU)&I?%XmSb$XJCo@ zy?#scM9hnksQ$+v`%oQ)3omqL&QfXs_i0ex#7q4{7hhsDS$!gO7%IX^${aw60&& z+zIQ? zx=@RsJ-~PC9M#xCMX?>C97+wQ!erPQ74cXi=o$NA15#gSV>;*ppbmfC6c>zq%Xxu$ z?(~MyjU{v{I6yi(XBkhm4O~<~sjTJWE#>v0uhgkF9tV2sO0ix4lrTX5`#l>-Z8O%8 zyDzNorTCr3foZlm^l}giv2h?A*$d3V3dd|qd}audGRHN8aUM?7IN8S@D++%EijlVJ zHjhC;qK;XziHlO7f988m7PBX=uv$fCb82LGN8C*bFKsX5`fXJ;w#fWRjCGBA_& zx9-}he9|`|5~Izs>}Dm~}(a#E+Ib zI@XgX9)}S(E5LU+dysRo%QarEOg^^4&Mq0wQe`ry{M`UjeM2aZwT4wksYeBC%v2TX zTEzW=wYC8l8tJc{qog$aqUx|6Qkz+CTNQ3N!a-Ph$zX6O-4#^YAp$#Un>n5T<|7(! zVxFGXB=v9h(6Df1Q1bH8T9C2@c75u7YFTMcy+qHeQEccYi;CPIJ2e|@%a_i-t)H(P zxLiG~*U=*SRB{EiO7mgT-w%uk`0<bU`Ho(V~H2$LVliK;xx&r@v~d81+oW6Qh=hn%b zA>L)o*a^2CTxpJ@W<4uNM;_wM_bO7a1qW+VO(UI29K9zU^2(o7J$$aSW?`NoC9Pfl zi1a4vtO%N^G^&YoH-2bf=Vcw?NX@fp$=pW(o}%nCTH(8C_~1&l-In(7tsI$91q)RH zp!iOiQ*s-L{19^yx5{g(k*VtgHud~G6LBGF*#}ZIqiIUQ5*%~R9&oA1Hab74p0DIx z#pWphMQoX)|T(o z-IfJ5fAR_ljsr8!ef_x`Z7J}Qf%`fk`fsZjba|p(cBbHR%a73EVKcHNIH@!LOsSFw zAitQ8S!R!yeP`G-6Jm^$H9ayqDtsuU*1+tz!NoUNI6N~n7r2FeA&&v5Ek&ss!n^Gwu zg}g@^AhAzxumAS>Hi)Q^1Hn2Zz-1(Bh9?vU5cNhn;nZ^$#ro{sKV~9Nf!!hNAEr*V zh9ABw9B^@3-x&6fEXwI4HEwhzf~mg4{)^lPGUD9sVM{VW3OE_-@qfwe6J>fS4W&3X zFD_ZXN4QKi_-Lh_)A-Ul54O8Y$PrsC|8}?6N8ULP%Zr1=bd@4=N|j1z7uf(EXdm`? zI|x1CWU&iqHuGN5+$m z>u(jVUgiz;oUo6)E9CWUi=b6&2f5t>av?f5D!xUC(god-59<3gYbV zac_mY6_hXIbdV5lv&OypALUyU>0eHNJ2G&Qw*<(Xr$+v^#T$PJ0uSTwUsSNAxQL}o zP7Wp3vR9k9`JA;z|@K9#Dk+$E{dWs~QFU{sH;^|Rt73pe<&3G+~@M;R}^-}=cJ9~8UC5jAZ}$`AA~slYFCRAq93g2W3E=4I@fNEBjBGBMXqmi6Tr zn0h1i=8|R1eDZ6T_3zmUhHhdec1g`36@G~lrmoTJ3`l#Op7G$DZzj16y{LQl3b_}F zT_)Drcp3D%I}ByU(8JSHt`54%ANg&gwWh{m*Q#t%YCwE{%1G18trOXjn6& zu`Vc8T@rv^(cv}g?EO@Je$Rfu`_^()__7h>?sb`)k^X%;RTPxYH*_~8Ldmw@Zzn=L zu+>1&PtEN9hdtAdni+FDiJaW}1}tW-6dY$dID?N6s^kHZRyW_LpmWK2Zm@-DE(jbZ zZVug!iA1RgOyPZtjiMf;>Mys=cJ@DA)2emV9>k*zWA;{$t#6RZ*Qf-xFIOKO;Svq& z0sNvUu&NS3)Xs|}GM~%N2NAc#?gnd&_bx@oHvo(QT)8#Bs)!Q&zS$|>_}IAu@9mb4 zy;bdtjX3AyP*dI9!1|ikL#rdp|7N#%q&A7%Ay{h+>L$S_jpx6b^EIfPo_agu3Eb~b z3~S!D4;L#MSO1Trvv6zjecSlx5J6G~15r9e8b%4im+tQF5;k&#gn;0PF+qe;(hbrn zJ-WN48zlwneSYsBz;TQn&z}3f&g(os=UVqaP~3-H+eB~TzlJZ?4SpXuHd|bKxc)61$ucSG_xA4XuCNhc!Bu_ClE~}+K#5>A{m>N|q4&nQ0yOot7YS@5^~Ul#Lr;S@&;MrNkcv8{A6&>TB#YDrV{x6K4+a{e3L1)$;54 z0X&s>>1m9XY{S9smv$=UdA$Y=AuHwDrJM$nUT++mF6WxT(zhP8QKv=+K-gg`zHE-c zc}QDTMAZ0K@811ha!Fha1f>1O>|MDOF`$7M___SuA4`;tm zt(o*l5S4EFURS3K6cwEL^;hXO<0P&_L6{8PIKnW)t9#>Y*Xb=kX@@Ax7FvolVs+DJ zI>HawTr4oY+o8i+T``j^!xtiEv*+$et{$R$iv3lHI?1JspHygEfYogv7}6^)UM}>5 zwYr=f_!B=Mz68O?vDFJOB*>XhkOC`64mM+ zTcye(chMUE=6?i=1b$WjrDsNJ&>L3fwIFTEW{^})~ezEKwkRg|{;+Gsyditv>Ly31%|{(*eJ~)}{NCk?=e04qfKmzBBP}nu(!mF!Hq7zVy zr!K$c)(|X4cX#b&v_8qm7oxTQb9Q~XI$tMaEHcG4`8FJPGa}LCGQ*@@7Ux7@Nt(In zvwqe|#E3dM!=pjlaboE!k;~kOmfx#*GcM;D+5S5ytztQAibR8#oDh^21AdUyr}XHj;W}uP?2pis1`>42#+)w2R#Zp4cZm zx7VeF2^SxY?ppB=@8`rl2lw5?>+VGJc_%a0D! zx@>jOBDc*yTos9UPyl{)q#l!F&|otY31D za3onTyMD&Wm*XT=T8E>LwJqtWGT%o3^)iPN)_v$$iG8VO{)R%)+~%8-mL|)T-LHgO z(14&mPr}*2k!tP6V%kx(^4JDzp@>V(t^mlwzKEFCVtIY*rV=>4b{;E7)7u7i$^HW+ zNQP3aZLgM3EL4Uxi8Q!o*Og2wKF^mkKziPJ2a`@TPd_uXV+BYz+#A#X#fA`pd1kt{h1gKlS>zDZL4&^J0l)j;EYu^&bV0`7vK#rZ4gbArd-t zf!Hy#B0YWgby>(sfJ#CmpphL4WUxmS&#~@l%A>gANEHzakP0$ zxEe0FGpErd)gw;y)a4=UyEfC}IGJcueWm1ai!wnO!O0x6`wt(Ul%C8qmO@6G-|M{e zQAKGzfG>bcrB%J2&91@BLAIz?v~~V8I+aU&<#*CpttOV5_X$wpsTjHHdIPJlcYlW2 zuEvW$j8J8Ki;>~{`RK8xMyfY?`ya^k>o;?Njp4Y9Lawr}oSk<`b_Ed}Q^3$WADd_1mBAzQU*FutE?0I|g;6Bd;s0Ihu7 zLCpz3!LfUNf|!)?(?a!^>&M{1HPRDy{}A>>Q#^NI`=FjGpAbl(cE_CxuN^a8o3=D;-v=vSP!EQ;yBwR@3A2Az|h@N ze>{21=l6kNb-)g7w@pT_^u~I;omAcM-Ir9d00<&!p!m^BJS)ZbhPg*Ud>6srp~qL{ zFKwaLso#H7PVQIJj_xc=-kr4=MMuwA4Aa_vINKCBAnMR&PW2wFcnX7QQ54&nwx;aW z3YDY*39P8@`{?ygFsf^kMi`EacgJN$({}ZPGJ(z}rAM7$`l>+DogTUwpvs4x#G*T@ z+gPX;KI(ZOAsR-3qcY7yePJIN9BdFwV#<>0kon&yX`EfuEK5I)2&Yl`dDwkj$*LwGkZL;Q0-W5( zdc!1*PdG%$yesRsCAKQ-d0+d^Po8>1opgw;dY?6)JNm}A)mr@nsWr9hF(~Zh9))RF zGaWrGcFko0(vGXhL``0f83n!63S+s(>7bhYJ1u#h!&_zen5RPlFPn$6P8St9Pwq1M z)r^w<1!q&13Zn!3Rtr96+1SggWcO-m$Z7lyY4cG3+Y|&aw4UxX(haH)8`3+}zBVhD z*)jJgc`N~;*rFYgM8y=Aw0JnHXMVKE!t6wB(Bl7Q!G9#T9lwj#wAw4%HI&eEz;jPl zLTop>DSZ59?0stbU;V(Z1e31TrbsPW*I&S z((;MO8YbkW3hdIbmpF2t&>CYdIN8o?`SwYWx~OYvpEGyNjY!oX`YtTA06m8A=}3I+ z>>q2^@58l53|(p4wer!Fwbel~5p#YetoKxxm*?fs_UIYyoF4u24h^CxMr5cDWdG;N z=GSwTAitNdxp-0u+$`qyqWJS^1fSKXyanH>fKcj>W7q}i(W(<{TnZDvq~Az(P}jm* z!(N&H^e2chMfP((Y#JdAuxAQAO#7}{u-cRnHbo~Mk*E#Nm^MZ{9Ui`2EEK6z4d(3| zj`+hEF7wB&V{D~0{L2N<38@foKglnA^7?=C7eK>J@tVWn?(GN|W! zys$vG<=O~a7T%*UiTXspt9Zg&KoJj43zXx%|vN?}7BtxEl5d`+g$&@J{)bm_o5LxegO;0W! zF;NM-pVfUoHmI#3w4LO3Q0&O4ZmXmvto(1`(j`^nD_1W!{^ootI!6F$pDh6I4@}KE zOG0D>sGNoHjW(6ggv&wsWXh}3{sVE3%TM@NJv43Lw%|1#KX89Jl)N}VF`pdL=L`n( zVnw@++Rgn>UUkIbkgKv}hfkB)Y~F6_CA8=>y!C=zw|$3)9LjE$R*LxzcI}+mU(=U1h5v6E))C6%nLk?d zwseAxq0|@WRad0yxbkbWMp2+k_e%ZUL}s%96VF<@l$?h>P}$4=Ym7qmt8b=h?5QlI zeoK=dmk4)s&9q57RDqnI2c zXDSo)+;TXa{xx$$p-G{cRZ(Ddoa{lWG3Mq9H=BqRlX%Spm<0e7W{+_9;dMS3A6I#% zGUykRIIg$K5E$Ao(zpv{qi_>>L?t9Vd6oAu01COkDa;*QCa_J)Ol;ZzQ8HF?wZ9T@9DDn>=_Gl;dCy{-ul9gV_Jj7PS^XK#o^ z<}(QTC?7o#^H$x9WR$Ry*k4ZshTqv654sQ-_D}`qbesrEyYCJ!5R#KoWzISzv5`2w zF0SdHkigP>+J@}Q=A?@CIUW_fQ`MubOBP&j|2R<7lnoK4dd%0i;6!dz&6xV!wGQx; zTPdQIxm4H5Pi_tmEt7iQ*YLgm3}|5>f9QaqRW?*S#{bNa*ILO<+0-}KluHJe;26ZjLj)1^5-RFaA>bgZ?*vCjA6Y;oC3mPvJZj5<{$n zO&HXXB*q4A#muOIFasO;*k{4 z`fxVJekni+BQe5`QfE!08R#+bzBmuGt z%SrpmaJ4RSuTU93=_B+sn|Gh#WWq4T=6qa2nq0Y1poKrov!nI8>?icX0q^5nO6D;9_E6$)W|ad`1#D7O#MLa{HW)ml~hwpCMW0J zUoXursu-KKCMA)Iz~3t?i`KcDXb8!cGVS~anwHG}{#l&yC+LMYJkhnl_J-^f3keIV z7MSYbd%}g~l!?{QD*<_euMgK_$PWN&-8R$E?^19xn=ccguyr!)0V3_W7739XF!!Yn zxbr<6IT@ND!WiejQq$u0gOv{ubr)$Q`%iAQ|pV z+!LRf;4k|?sfzsy3C4#t+^oaaI%Rjx0N;q}v%V_6w5$aH;X zSTIKWQA<_Q$`A*~8f}fMl95x%Gppk1ty73}<>J-I+SKBY=7g60!jRKoKFzJnD<$kX zi3b@ZN8eicW7GA)?}?<6%tBxhwXg>WC&OZ!k&;*a@~#Y(tw?V|cN z!eI?V)*&Ah>e9YY^aK44apiuLD6JHvY#71#B$sx8iJVG@z7}XdJ&tDuG?otLt_G7JF)4pAi+U4xLU1%d^3pn z*V6eb599x_TBiot^Q~X(vRtS@k_Pe(a1w@>cf`-jXU@du=0iZ^Kz2_mtC#t@ws>y~6;W6$&NFuxydGXMr$uZ-nLf1KRU+ zUy>_yNTL*FXBA2RW(FL=#}P9bI&Jzc0CkG( zoJmCLRvm~Q=WbxAjo-ZLk%xmmOOU5==D+hXlBrRQhY zIHD@3vQ+ipwRW0n@$8lM+rdMTW|&T+KrleyPCbMTiZiWZFv;!%qc0}dzE(bD%6tiA zA&WCX7oohIIDP8I2vYWqg*#*;j5%1TN;~zXH4U}+AHd^( z5aia+vuhEvQAQlO$#~FFs=#_(Uh2pHQ6PBf`nLE5>!x7kFJQEvL-!b4y@~cc;ku)C z1rD?SoT)pvcWci`|2F(+PP(I?OUeZBW>0#oqIaW4Q@su4U-n5FSrt1?SztR5JLS51 zf*<~)`*0#?sFEngE-}IMh5@A`ILNuUAiYsnFzffC6gK=u^6GQVT2pdphr61%U6&Z( zdj%D%=X}&-chC7Zf1YlCpM>zDm1j$qw1+J0*L7UWI4EOSmKlNAO35h(g+Wr7ZK3y4 z%;^ML^cFesVLUHCp=Mv;e+QuJt%mH}un#vad(13f+&y1eM&<8B4E^R!nXTWD={z&p z3HdtS`SpR9OW_|E#p_u>-x-rqi%9z~B-RSl4HlhtU8l1Quj?d+aN|K1SnHdlER`~A zPu3|vxUEypiNvwVI_Q4VHTi+H4olr(C`ztfuTu_hfu8Y_mX?J@>!%hhz#h1Gk~}j2 zB|{I*Z^Y6Z%7v;y$-#Rq==c6i=G|)yKg^bE_*jXGN%Yc8p%Yt8!8?XS%k7suMrASW{Fx>{Ck>PlR%tyZ4zJVabd zzFhw065Xq`%TBQz`@f>a3@AzzObFJ@)KMPHZ9W?JI#0Mblj`Ghpf~T^e6HdniJ-?q zPbWeIu0+i|oP!fDm-n{!UQq_pW7T{G?+Uw-A?35%#XxXzruo#-9=I0bO4rb<_kjGr zLTSyU<~~(f5x-YuO*SDTz-!vK2pOu^Gv;-11`V3nOg zm2T$Q-DYhT+E>Q@hMf%$j}Kf!fz$Jxf9gdpvu*E-;Rv6JT3Y0dJckwbaLn7N_>|9r zZEHwT_fFa|6Ou+>rZ-v}jVl{`Z!Da|AA=c7eel}8MuG``cwK6rT&qNBbIpc?D~h*R z@+t6HA95)4l4EJdj|E>}%CiwbFcD_U=d(Jg*#L9nqSC_i(_&re#F_*vZL}c07xWlw zVN65UjxB|j^z5C-6sS~qeE>1bwV8tl+)bO5NCdLPq^9(Hpw)o)r`0}rZ^^; zd!2;$-yjhTDH6NR2{=2ohV1YhH**%%jr718dxS>;Rc53CzBD@f8o{W2wZX3>_M_}u zX7#9)!LTOh*rJ;M7r?l-YdgEV++zZ=5F`qwNCzSI8`N#9Ef;GdrAqO60!p2RCORza zQoe}pb=+qVS(*1xIWfc0m(=x_rVIY%QZ<+0Brk-IqIqJ8+I{}ZUy>^MR8PIZ{;0(4 zpJlb?gP-;5Tn0=^kF*=4F4;@&^c3U`bw;ZeEkMO+4JxIS);2*8R{(&hke?M;bdI00ptC!8!#u|vokh}r+OKc_Oa_@l z!Dn#uzjX9dLul`3P7rFO^Lq0S>z=T}8FZT%^)6nM^p7#q#Chm5*MJh%pQ^`J4z5KD zaLv@wl_7t{l}vhR;}-#+9R4yRsMe1CQz9?;vu0|6@oz9i$*18%$f}scM2BU4T$fJ! zp!Pa^Txze~to@65_LbgO!PTEeqUQbnMm)Jlx?@XL^-uJuA?cJ*_r2POL&M!NPJ)T_ zsccb+!u?w5Yi%Xta;&0zuHs?ajZyE0z|z-{ncAoo`Xg<%<;N+;hj;NeF9C4Z3e;lT z=Wr!nBUyz{Fv+Un4q##`&0||&^*=Y-BnCGTh8rZq%t7GB@<&+s~H)yYxpHqlf=89VdN#hw-=|8}N_e%55fY-p4R9>Uy;?QA6 z_PhcHJrfmSh5wgrLi0CAdDAC%@95a`XO7$9fmIaW_RrcKY#4iLeP3f@AU2`yEnn9{ zrm7x)D`|gkRKh3AW+jL8mlBGx1)|R|Mph*AA0M=#xrV}(l1YMrHR{<;q_)*hXuphg zF#`PofIm*l4UIj8T#pN{x8Jlf;fk}vG5fVecQ%61>mJk(Y2{XnS7`Jo(? zQyS+yN)t4;A*Qy^if-$4U5SEtZaO1%mOa407#9^D$Qdq}p#!6+*{ALD1r0|fZY4*w zMW7R}DjpN!W#=c7b2H-K-_qPX_=Lzv$%g=qNuwd2gvZ`0V621;Z&6HYuH~NrU=Ol` z!!fS#)djR4AR}`e{H^c^TRQe+*l_X+I@FI?eAg74LF#$w`p4q%Q_~TdU?NO-x*pX; z=D^er0aQ`=n|2u@FMW2Jhbn^fcVEa63{eC66nsk1RnUIYaBJnS<2!~a;}7Kg{r(#~ zC98x%R-Z~6NPho1Em2f%vbbhW?WM~RzL1?NH@#5?>~mubDliRuT$IbW3X&9s-*XZ77OYx1i(3Dc*ExI|40Uiw`JRB!n^v}vZCQYiD0Cb&k+4@F2)wlB*Z0SFq7TyO=KcGTgXL!=>C5C ztIg!AQG_^s*VxnKR49~5qG)bD!cO3kI1xY@?BMD;l9;ICatQ#Q>$3>KVR}KsgI9?J zs_1dXMC`2bXyNd*jGk^(B>i^t-}-G-vee~uxz~iA(w$=Q*uZwUTWwq{-a?1^%qujR z=Vq1safTQY_VyNw$aeN5f&-(Rf`^`%_ZvY&uPKUgZLOtaYj(5M;yNa9EUb1MS<%Pq z03na984J1|t1}(`{%ALKkPIswydX>HU(W6cKHr^o9R@PD4XPqzo{Z6n3NaglHi5Lq zE=PTygKM|`iGo&Z&Vm2sM~Ot2T?VkB@CLx|DQtw?SefU0BH=?_Rq72vtiym|^h#wX z(SDGzcJaGwTN(!^h~JyY?XICHw$x5rP);W|dr729{h$Wf}j&1L%#=rWO3@ClpxR3A1iGDYwI(J7?30{>hyoDgm`b{ zWM$!Gq}QghkB^uY7)jB|$*o2Y6f;y7PoC=DdP$Jgo^cG^;=Q;{PC7rHs3lfa3}|Qm zG-e8|(}-QLP)t$5;#XVA zoogFQ+{Mgf2W^s88M;?Ky89?p3kZm}k+>=FA@Eg6)*TU}{3bJEVR%aGo*9YuNbr5P(}c9)u5SI_hW*?qyNA@JjIcphoyYbW<}&`Sd;i6jd!H*_Eh;{eXbt@Pc%^H2 z@!(!~w>Ut_eemD>{`qWZoE$;Kps3Zlfk0G!49Etr-`59XUJMSx1i9^^YuUbbyem>A zgLe0`scebp$sP=?T_0MrY7IXWUe@Zn5K&TcF^t^KgBBrC*_s;L{-2CJR|AIOL&t|1 z`VHDlp_oy zD=)H2VK&B556R8GN)h6vYHR=EE$&zDX|4Bsn2W7RPCznvHi0+DT6~yDV_IwYbY<{D#I3Xx^Nqo|gJ>|Ax)<^~k$IU9C+Ahgecu+G z<^j_kUeo|dF&7iL%60Xp)Riw%XQ%$eUjtECFJWzy3n4;@F)CzB^<-F-1sR07;}8!` z4}M(~JbNk16hE(`JCh897D$W--|MMXl4t_9!0BP%-A}~#;u^Ej(5h(J<5B*>2Ytwr&B+3 z_ffNXKY5^29Ou=1=ISpbt*l8)2Ua_jpmj^&>r=MR@y|utGVh|G+|0s2lD*Q;sC=;E zR?1C#v3uA&i;Tg|Tsd5zw^E)9_KWD1wnTMyeZgHppzPeZm&WA;SkZnifa*3nYF1}TAu z_r`;J>Pvfm(o4sBwb1VT8otwm7$QivpjMYmJfOm^H&451?LHE5fXVGc zx1Z&>oJ7oSlL=z>X|upEBfbA*bVma7d(VB@+QQEqiM*FmKv&f=&GCw>r^tw|<)G@~ zZo`CW#@qb=m+o~^R^09eDbYg@QI=%1W5=^CmQ+>xd&2;>-;II4##&1*{f*+=l~I2Q?qU$^gQX2eG*YW$>} z^5mstl6pI{h0d@kC3GO{hqNy$KN8RdhAN2_;i zn(&+{X27NeBnCaS7%R4GVRP&(`O*iecboRJ*r)xk$_`7G>-@dSHF!e7k*+GHa7W6V zz!=|gsA%L3)qrwVHX_IDZDWlZU$irlX-}^APyTvMLt6uAGqL~{Z1n!Wa()sS)W7Kq zOVj)myJ0-BjZaO^(tEY5Sq0m;?LVs#W7(L_hnf=<1)s;|+k-r|IbUl@8nu_`u_UpI zL(sFvc|@O;8Gp{$4cMz1k0^QAa6gP{lhO2W73z1!6FKU%7YxZ}5Y9Nro~Lf&e=FXU zxR5eN-FRcs9uB|z!}G4)4r&wd1GAM^% zeHC`8WF*{ROYuN+$?2xvwOp0vb&3hrJ5XVN#uaV9@q3WD%J;@ag^xvE@F0&Azvq2XUc<|{T&`=; z5_fzMr_pRjcp;zyEu-#P70_+=dsd`%``XD9U^~ri87+0=pAGnDb^O|#Ps?#(`{Vykv9yv;J>ZkZspPJ1pYr{mG}$u2f=UJG_aousO74}KF72Ze0Lk1OiI<%l+2Km0 zfYk*8Y9*Tei#NmYEhAE&W-?rDd7}`qwiiqW54%+*i0BV31D$4n*F!o9{l4R3!N;p} z$&%YMkq<)|Et8-zy%VEll5ky(SkioHc(UYtntf+6z`lDwg&O2sFJD$saI72Zmfq?N z`|N`{QvN2g<-}uXlCbJK=53~ssr}AI^4$6*S@`L5)TA*$-GZ%13dCQeTsT#~%T~93 zH#x%oHCdj1=s*g--iG5nilrYM8u1maVqg9eIxSpDbJ%@HRdAa8Mo=#_FYfxLV#S$J z1zrzbQSy zLpr0BF@m?Xi9yo3p}0M42fh9Vk%Cw=*DSfamhFvr>RG-CV4~BOrWxGTmPYD@<|cih z#C#VVsus@Ful~T`%ZVQ@k0p#^;jGr0<+L@CodTMv>{k9UifEiC{J1Qy)bE)$~A}K@iDBC6y#yb&W4MJ-=4c@_&!m?8fp|IJgOOd3W381)+l_j5N zx5+#7q2{;pnA#tTL5opN0xjEse}uIB7WUu3h*k0HhXa4)PS?_Qy!M(rdp%juZLXWu zoIs07T7tT8qi#J}xc18MnUUu|5H}G$)1?XXi_Q&iT2;1ER^&EnzVYLaC+(?cv(x*DzgnFdHCGc%dItjV#%cCZ)&fD z$3M4U#KVWm&UsgzxvZI8W>;mzx6PKL<$4g{42sy8&kO|x<3e}{?Bqwhy2d1-an_%_ z<%aO*ic;8*B$^*)tLkT6+Ts9VJWOwGCZKK?t~dFesr zsCf;RLyJ%dI<)mUiqk*z-5_B9MvXEZiOya<{Z9J6)%Go4%TD%seKke0Jov7K<<~+^ zWtG&iZEjL}08t_r-u>i(dfskF+b68%AkK=G6UNQrj{%?bC3bPThBu|%_xw;FKrlFZe*twgQK?A# zRZ~&zC-F+LKmNiWRDvp6@LXgC4E>iH;yOqG@g>3D>QrQq>EYHF=Zo~`5NA$(`ho1s zr)zM(TB3sKxmOdTS5LlIs@5iXFkJVpcZ_oA*%>?{TU=CD>y2-!JK(~Z-Enj>lyBQ( z!tt0^WeG4M4bvT*puLKVyAXv+;iatkH5Y(uN+dJ(Q3bS(RO-w+gtfsSphiTm0k z$I@f*Np0#Q!EXF7b@+JUyw;m;@6)fP=Alj>y|yH`*W13BR6FW9wG>n;QObz-Y3{nt zP%jH-5R$|T46$g`1udyG+%KG zHMgbhN>p5~3Lsx2l$tFvq)789m4FuOVLU{tls-NM_-WG4(>XT<_>|)ar_Va|6;#Hq zz{+ATY_Z(uBF1MNhR|OQEEuUBAbKTHow;kI-Ey!zXbl)q+gpYP*165T8TJqk+Nekr zZMC#S6gPkK+2fcG3->v`Dl|s!2s0DWLP}+gYoKPEO^i4Mb2-u|O>z06?zN<1t!q#A zGR`f3pj&D`VFpaRihUN{JorsWFlH=d`U7KoqYQplpKBl^A#_lr$U`+!R(};Sn2o9Z!7N&5{l5g#SlUrt_APh}EX1>y#CT$M@cDJRkAkU?enWO8LQlu8ci1&!f; z#Fzm?v)V(U57iw@#;HcEoVn#@O34exT$To9$zi(dMGgNEe|?t6*p}6*!bRi~xaeq8#>3LB90nAs0wu-I8!p&JOZP3lc@yE@QuhFzWn8;?8tri^m@ z%FceG#_i9MWpARfCjN#(BgZW_+{T^-uWQ`LO2%kG-{dn;C2PC>Sp&DQnan4q(07J; z_ieJ*?|0=oVP=Ht5vIV=wTJkKt0s!12uUUZt%HbI|YvK9Cg38NA zoT0;+O67z;GU(tqXs^X-?TXt)u&xb9p6Ie<$y=5Fc+vQ|(rw}{hotb{)on9>9lrg30`Sf4lcY z2R670&->0!%?7^KPuno7cXk?90c$I5wN}T`os)Mi=q8lI;#gQQJN}|mS|P&+0|u*R zEj@#`8qIj#UL-eVDa)(cn9>Q(2#X|2nvVU_&qbLns`ak#FUARBKE|FEuQ!n_u$|E9 z-qH$3WK@90x^C+i<$v>$be&2>K_Gj2E4UF=aM$9Cr)&<{bC+Mui)Lo8S=F1%*=PK+ zzH5`Iisly|-?sV?@T+R6T3|rR(uSckt>+VHX9pUr;Jt+p& z^YJr2NkS02?6@cY7EqPD@k+L=32Pt`l-Urfqp*9^aj-fgaFD;a^o0Mt8}b>kQ>q*U z=P8z`lBE%E_mabJ`)N8l$E>;(&NY^JkYVHkCUGiTR zy47CYG_O6VB;CVbUsW+~G|9e7BK^oo6cq_yoi5PF#bt6>@#zlt=TQ>sIE7+@*($H@3dbp{I~b5NDB%f(dAmx(X03)t6abX@3u(4#*3eaF zyzGV%cLpif;cVN%=SZ?2R&iS>ess8p(3mE3?#rx^jiN~ zw7y_kZD4%aL}e&x?^XQbK}Uk5;fIxeZo^(NlP}k08@5p1-~wJc`V)gYbr?YYDpgF_ zP0vph=8zi#voX){8vBb>ojy|uo1?U$eFhzu`YSS26dY&JN%l^44TFrhpN_AaWQ^Cs z0!Mp;kj1kWc#8O!O`iz_emrq1v7_$z*)8K6$tW_|lM&-1s~3g!1xWrg1_0 ztx48RA)j#pXu+F@*l3=l=4_bcj#*R3d_)^_(YVyhjavD+R=I@}w1yP6Myxj9J1 zY|0N#5Vqt6FS83NbRXbIutd5L1dugqkt#80jurq1_9-U?p4O6+vvmw72ubCwKA0|6Y4Je4F4@;tDPswQd^6W!T)q78;9xm z?d;ddc5m~rX?COCmgD8tkKYS?ZT^Ac7tms1Pw6xf1K&S~9D_N7?1GMjf0q|GGCSBt z5wZt_6lJrT>W5B=(w1QNOow`S3&r|CSV+{Mvb?}_REmdSA7y-X!NLbO_Y)naE-5P$q-j_ zu1lGmo;I<9MT8-K2RN+KaI+VJCbsD%LlR7q(J|3Hho`%Rm{ z=`nvkECCe)F8kK$WROcOQ=Q26eHqQbtBqFF@=Wu&AZ$H00yU3e1Cb-h$e4M}k9|z+ z2XU}`CO+{BT=+YQ+E!O9M)*;PI!M!OHDo4^9$x}_s<#Nlg0I87g_D6tmAaqt;wORf zRrQO)@evEepoAqBf1mjZx!L4Jt|Uq7TBHh2_9j1T*{>~RyHHkGO}uKPpZBxxrk~Kw zhA)?s4A+7LyZ|s|g2+iM4dA;uUqsQ%sGKG?K)eJBqOPhl+7O`?pH&sAhvApl2v{jU;C&d&51*dr?O>wIpog_5jhuXHWMXZpR! z3_~a&ot#@T&EV7^vZeG*8QuK{w>phJx0_ozS2$R&h(ZBR7Gd zj;$Y&7;mYVzBq(#x*vKs$duI`ef^e{+t+XS z`S;v}c|v;^nE2^{5(Ry!*l_i4DVVqXvBhemzpTswU~jvc%)7&PNGvYyP-fGB^`@w@ zzJ4W}dKp`kU4XNDNw}q4O0%O!zs)LhAFpZ+`^2&(m^Sfk{v#P~Li>|;?Kg!wF@vkl zm}`zSMFP3CHr6J5-BwCqR8(LL51Mc*7x8#X1emNa40rpE)KkIf0V&*J>J+&X-*o#g znzTPQ(Ov|_H<)M5uH~2fbP`At4DZ{oc2nKIycliACGdv-!gSgz{nYlaZVj zc7WVK;!!#OroOV}iVt^roe*$2u7`Q8F6;pRPn2cMU8b^hj#&!tSAIDMW;<~L^K4!d zak`AB<>ipGN{6s%D``H>6ge5*r}Y43W#^I5SF`Jqxt(|hbggOq-Ma38a+u_F6$&c# z$)}5+2)XcPK97lb2l$$%cN3Gi|6|ta222UAy^85o+FpX_aQAH^F_K2a-?2+eT$hjL zSeETs8-siWOblM$X?$$@dOwfkqDi|bR9hGnMUohxEEtfAT>k{!OoT<|;`gk!N;tQQgE5J zP)Xd0gxCKyJaDG6HY|Oi1hY-8)wL=-xUznZn>UPyKG!dbjW`MH4-1m^{2cm%heMlO z5YH6{fiozw{q3T8Bxlb(MdDY8JcEn&Pv!CPoq+r41UxthXlpxE7_}B1G58GpD04$3 z+nUHtF0`P9mLOab`!>L-({Q&n%AR-D>h7=lC*}bypQ`kwnlJwFtxe#v>l>FL7K6z* zwna0S+nqApgy8~7DNE9*MT3eS)wKluga}`*xi6C0e;dSaNL%z%+HGzME=e}@FwX!> zYSJ%K;pECl_(~<$q#?b%7ctYXg*_Bjjk7b4z6}{lg69n0Pv*udyi)M+An&@al>N#v zn+{Xzi_24798MuR)MH{08R1EO8T({gd0{7~lwYuw&0Tc~_bg1CsL}|yZ1+78939QtB5FCps64BM!v}kbE{3YZVg`crM!~pv3Ffb*0ylU z5D|Ia=4^%VFTQS_nuV#|<4olPT~XJpG_mTh2=xPs*4-TAOP`y-`t!6BAC+>4W0CQM zAD?8XC==yQ6k0cx+}}kaeSt;g2bb`)KE)qH4|E#Q;N=l&yvdZH>anm|Bf;5wn&k77 z!1$e4*dlYL|G1_;)EH<00u%jSrJehV+|=2N8$KR5&m;5P@&^nno+dH#ER94u!tqlJ zr7~o5nZnnax}LPjJHy7&Oz!;4bUf1*{2Q4p*~QZx7GxIo;|qBwn|UKd;unBJwWp)g z^~q4v(Hb7Z{Pa~6!(ECX5qM?cdv($PP*GC3bemq*Ih@s6=O!U$TZ2p8uYotv>okco z^t7cvNJ{iVnv?g7Q)=pyr(nyvb|h*e%H$UNk=2z5orIXKYppXU&*_783Ok`y6S@oy zo@Yzhwa|kLXLdBZnslID&%SDW3*xqUzLaewOJbn+5-3Og%V1IVGxc<_>~1RUcwC&*~>!akgd@-<=VK0m-rC^f7lfTm`a^Ob}e$r5ojl^Ey4uj&k-!P6WLs zCbpm(C%F>&=&b_bkhrcm`nC-gN#~h%xBoYH^tI0Or=w>t#``5{h*=0*EuXxF zePYp9ksaOX{f6-q>BGjn$1k2rKzn=(GL^mv#u$5>Y=1bAanGBMIju2dGiaVXC4qf> z*o@i9?KKKs9`jPgM=uwyXJNn$ckYjbvZ^XO_#AGnSWh+=SJriXJM$y!8VHD>gd z$i3fV5Js?{F$E`l{*)T@VJG-UmbIy}+0BK)p#m|hDTJhia7DW z=m#n@D92$a+r!wBG2;|oy}mO?JTQ-N#!vs!k|kzrr`S3pfqnDv*_wbifeXb+f6}nc zV1YwIZpJ}m(cEB;)PrCdepQple!92fTw_|#>m4qmf||IITuMME?3i1=)&hHL!UwDM z{w93Q(!VnfNp1nD#h*Q0EXRr07(wpwVH2O)4rE=0|4l9g2fr4KO))te!imM3ZXdzw zJX2{??dD|hul~>tE30kXFI(9Sfc^n9j(i}K4!o-oj$cN;efO>rAA)2yFka~Y)o6~P zY7*TTb(gSFuZzYKwG{VL_Y2~F^IlrjQZ35L)F{}dwF7i+EfPKoeBa-0Vov(CJ?|Fx zecw_>X@~wdI-dosS}t#!P%PBXN*^vF!wi5o@^IUym9w`eDx1IN6E8Ha6_ov+PShn2 z%R?Eph?whdFF!{UPM0=$gMYg#uBAYXTc@arGX9UE^YCZuZNoU_C$vT>ZB&ew)+)7$ z7)6ax)ZU|J?Gby`ZV@3?(V%K?YR^)8#@@3=5TbU`@t*fzIOlVo=iJwQUEfP_n6Q#W z;p`$4owMyPSWD@jpUPe-P3>qt``@VV8>9!=*7unS0o|`rn8rPEDY#tZBbcz!FEOfj z)^g|nYSyW@rP%`gXA++&baHk&YnH~)r!ZaX@H@wS!gv!{d&YQHQcX$GqJwt%sK4jW z1g`YZ1b6$tduP{kdh7Zxf9`=fD8OVg89&;}`#cSOauCJ_JHe<7{>R8I23HuZj`W$F zyer6!bNb=3*lL>^Zs?sICi|Hc;g;O~xz1~T7W{Q>BwD&2G*$YULi+uDw1B4p`h2v%Rn<({Tmr0owm%w`%xfuyVc z$-Ow>tl1A4uV}8FnkiK=m5PiXJ@_x=?Z=}Bu0?HADpg{n`R71|S<$!=s=PD|gYGiV zp9`knma$*f4%vip{n}_g$FZhrRGqSbpb`hX`vEL2ks#D(>d55PPwYIQ0q3KJZ9$Vp7Ns_NHmAl&wV@-&G)+%< zOhq*aKRP}k~%P3(MA3xs@@vbz` z?VHoqAnzmEnoK=#&_w*3*cN?evj3GQ`Za5|WP&kvMrFCYhXmc<9_aPNPsv8c^BtcfZ zo}uDkd->v|>E`l8h-S_0lov%5_6Mrsa~cbO1&vN_w9Id?&;sW9FeiQR&52xRHjrk8qXgLAXXMA<(M=cF0M`-mm0f>QgV8~jioR-M;Vz~RXV9WsrI=9Y5uEqG`3JTk z8Q{UEg$6|2q~SD^pHds{aT=*{FEx2gwL=kOg4cR0cYz<{Gyg}g`(A|Jhit}Hj*-i9 zTfCYZHL(eZY0&QYK%_w3UTc_CVk9T%asH!i`K2wZT9%jdm|Kt7i{h3@p7RytPi0jc zW4>V*70M3>5?u<-`ccw8an@vyRo0pMO;b^E9=F(WB(owX-w*5cj^h1%vI3$GPAgP5;_$^vqJ|s^5a0T1Zc;nh%+$$&t5kla%VP8a=SmFOyv|HP z!;>ixC*;HK9tT`-&sca zyQrGkX^dylQA}~U4G}Il##5MY-*do1X!>sG-Ff+97@_r#=(}EAV)@qtb|3kBz0O~^ zeJj83Om1O+kgHN;phnx7a#`yCYp8X3mvOg^sn1jCR!(k(Oa&*?k_)-#ew>d1$svnr zV~8Vks6m3{XT{Rn4Ky_v!jfJorO3#q|3MW{2qrM{ACzB|!f?rIw-x#2RVYYH7^{ZO zRu%|_s|Dz{;>_sR3l@nh#C?ZESWWtCh{omx&4cLAONM?o8Xz95g%70gTqf1?XC1rP2 zo{)>G?1jAzdBdrdo%&>?%JYXr*Jdcfe7V4;V*GOQb?nKr?xGa!QN}Tk!Kzn3gB#Ib zdYXumTfuB|jVVnx+!8r_aXG@mQXWCy77UmKA5m3@0uc}@s`6FM!COR|;hLHS)*mV! zdMDfI&NaRJHwh6i zRh&Z!f##o`FKBYMH`zAp#Uv`0d0o3)F;*4w8lCD-@)^m*!JL@QOsdS1PRrqGV*KmN zMme*2_xhN`M6v*%!nQk!Aok|VFP_?JDWZU&x}P=z{;M5IlC4ry@!s?+HJQf7>Nhrz z0G7jE6Y!QV@yD=iLA=5On^nc*The&LCn1)tWR2?iSJebCcwkFc&5gpYOMVD}ovVLa zRM1NCsJFrZipl$rjfjk*i2O@%0f*@($0k^01}tW6*{u}VqeKoR*bS^uoH}vqI_M@qImMPE+G`~ z$RNUB{NbS$s!iT`u7F1Bot~cYEYfk5_B>y_wQloJWm~)dG7oyzznMvY)~fLK-`tW~ z!!u&cb~P}0aKuBjLQgQsCxB}@ZOC**(_g+jXy9G6xyNFHm@24Q`;~L2rtiUY;q6s@1%U*<^}tVw=Y+Tj9BcfT?WuN z+7*;OlC}HrMODs`pc!U#;Ic4j(w6dr6n+S=uM;Y~4 zmPYi8%KsohAldDMd&U|X#@@DlV$*%c_Y^(yR6gjd=doEc^f|~Gtv>FBvkDw$JDs`S ze|M0Skg>f(+lB`ILvwDgC>H)|nIH()!1b?c5}g*6YF*KZ@@oqjA2?H7T*;8n_N}b zmpWvtd;t{=F-eJK+j1pMs0eZ5Zop(tupH#siPkfDQZSNQq9h9bPBt=1*n+oEMXODa ztSOZsq{lQH(NCiqbXc#n8+%Gn9x@Y}nYKt0Cx`G%TfeXWOc+BsO;!4o{C9#e#P&YF z39vccLsixExR7nbOr8atYQJ+*uH8XWs~lzio(Zgp)f~Ar6C2oRkF_zJF<{Tm;;owc zeQ3R5b4>Qs$z?->*t({XI(z15yWVtP;tDeV;iOAeI8@zWFp>7IGwTnCT}8b6;NWYO zJ|*6vo|cqtjyatIotBqti{;0qE~ZuP0-Cesiz{&H@6Y9dLZBZ_DFt4=T=_uV?0!*u zpaXoKj2ep9Vm3Ww^(6rwXVtLH_H*B@z*up4Dl6wV3AhKDgXG_aN7 zVDO+)>gQia!0r-()N>%%=)E0Vdk!T+$z9LL3+WO#+VS)(rw*NLl&6_CwTz#(h@ad^ z>?XRW^Bz+?DB{Gm!uoG!B6W0L-}>tYh?LTDHVs{>9!OatEYOlr1zi=K?XZ48Q~oB^ z&^19r<^Usarj6k5=9Yli*S}nhEbqn*f+VD3uZ&dRF~R7qE{Og4eQ@qw16b976>$!m zyMiV>E`ZEM7DF3n6m!QOgXqE=&FTCe(`_`hhE~wwV$I;d8<|a0`}kjV&n>a@(!T9E zS;4L?pz`usAoa|C+_RvmX5?^Kkcjo2YP+WqAG>#OKQs1m3%x&cjGeV3;QRNHmgp*8 z(@K4@*kgl>K-CuFHW5KdF{k?L9SF8%qgF`fkXN%=6jwp+zqy)HQ-%+c zwqR6qmGH6I)T^3Sl^*VXP`J}}_3Q1@1oOEF=gzOqX`;cO0q3vG`|H`hrNIacG91LC z7xztCI;G;QNmbusS%??O$oyN%4ZRH&D-%$bAa+#7O5B3_Q1^!x& za{0<1)IdT?U03k5ow{FRAUxRW;P>Qzj8~rI*mgDM>C@^?S7PX$Y##pt@?D887>A)w z$b`_>GXGDiwUiK&Ywg1hfAW_K=%k*&>)DT1ZSl^Gup?3(xKYgO4-y-vZsc{Tm1Mw>( zq=iIOmvIqj^Q?8e1792GJy+5J>H}^tZtZ84xM0amJ!tKW|Ng7yZ_o6;b{@wnHYq?) z>A5&%xqtKSFhj*d-rJZLM6e<6p=)99MMXS8>?BVT+>EzVOc@sJ|4pv6ddCxu&Gre< z;Eb?5!P|qo`Ey-5MWZCE@yUqR=?Zuq9lS1{JCI86=lU5$ zG>(o*`WCL`1GIAjm~;E+yrk&Aii+!GZeu%>m42o~6{~;*IgRLbRSAv~37PbYG{*d_ zSR4S7o`kC=$wzB@AUAK-IWKSFq|VspaLM}Z*xby?U9bLB@S_&oZyt3zB{OUG?Y%2bh5WA7bq4FTRU9M2NJm04Et|a8d!7L>c zfb*QG6^kjou-iIK9<c*LC;w=oMMjuJ8=KT zucYC}P;RwTu6qwCzT2uV(N|aY-x7oQj_OVm1)AM-vbk_%*W))>3F~HReR<7Q>z7Ne z*3Pt;br#;%1{=eL)P_wC>*gv}X4Z@7iMi2HQft%g$7pvF2qt1qbku}u?w3{@$)MB) zPIUN#`KDU)(e@{4_<0PSf68;hMc7HWinaO24PM!rU3acdno~5=6J90D;Ir3tCN}b9 zSnefYH|!lMSr43yfik5NXZszROTt;AHz!~3mlkM-)RA6=?g}ALDJzlx8E}MQasuAI zxR>xlLVA>9-q+el^YzidR)AIVNW4FX{b%Trn)i@QCa_;U>uoYcHj@~D<;Li^kO zE^BLc4HFaP`rlvzrb14R`yn&DbkWY=5uq=bB?BjsB}5Pc_%eTH2=Zd+0Ad+|#jBsZ zmqXgOi?q;X0M&OXs65i-fs!!tEw4U}gSnC3iUeTn#3U-g&kDt;HHa;tom;y3eNp;8 z$fTuqik)oKi*CptMRBK?B;GB6cO-YH!wt%&=m+oAsd<|B1E0X3*7xSYz4~d8f#(0D zRT!(XlhpSE;Are!!K`_!g)x9rXKB%+4TOg`nO+>3yFT7IYZN9tGTJ=B*a%3s7~Cl~ zX|LbR1?x(XCJO&?6foBFwFg=^3_PsQ`1H-58%Eo5@MMipbpFOKR1}#EtGK@Tk4~%2 zeyu*3$}a?P+G6}GWQOAu{Kzy|89QwG!n&qm{yMF^b z=fPo_;%;3~7RG zWWLlz@xA5%@ztukR5AC9tkeJb~U#$eilV{G3#qpmkac8l^zkxpZI5 zqfFR4j;z~5r$hh2`E|cug60_Rc6{{ji?XNp+qjc~_|W>D})Zu$1IFeMpT#sAl)-ej9`wWu6`FE|?CaemJP6ZV!Zu2l}>$N&-svDa(U|!m~nHHPV;Tw97 z0_k@S^@sDW+m$r6@;L_vb=W0bgfU*}=><3Sa!+q96V1zC-pM>MgY6F=Kt(?qDX|Cz z5?;uaDAn@1FA+PF)Oj;#eXejKt>cG#?M&DVRjNRq7uYvc2uM}yEEo5_EH2>J>N5Q| zky=mjflX$$D8V@FsIZ-hc_a?pIZ!pSI~h+1Hou=EsvbbG!=prQo!qzVQ%tW$Uw+dN zF#wnmz6Qz^<;Lbb<6CZaD;c@us^ag|9PQUK@5)z+&{U53dJC6b*pa}$9R;%95u}yC zelVEmFZwVZAuREx4yD}gnQ1m1&zgQhi=ww{Th`6v{`P1pZVOoN6cvvhN}%U!pWlY# z7~)DBGq`w`(MjLipB40VSg}YN9qA)Gptq{rHJwp1>GAB!wMI0U32`i_llmep`a~F&p^FDGG-^+ zam=6BS>CS}eAo8185+Ff%g!-chdz_ilk>W9pM-3AQhV}(dGGZP)s8)$DH!eYc9%#KZG}(-Re%qsd@F7{t(giL;qevbY^A!h35aw)IV!w7+4v= zXO><*AsX}BM_7E6hYXKg!ycD^oQJMtEl>7(wD*rr<0`yvn9O@+2BtD%5|R_Cbmzry z2?-~UT9aPblszKnX^pcCoGi0MHfl{VXh+OD!hwy)Z1xiM{`v_y7*t$R&*1aIfcf3+ z-xYn6>N(1z4yL2et1Az1(Ore@i$`op!O+U$DNog2cwwb}+KB*gwNc_2!I$OJYsJ_k zvNcV&f&uYXK3<$D^U=wS65~ssho`nr6zJb6BPbBeo!WBul%VD zI0wcaRClw<50QCb861VaA`<=A0Tw2Wy~NEZD}1C1VW7ct6RiY0)pHGlFFhld4*gp{ zO2OBXv3N2)mL}Kaak9xNg)mCCke{TdCcfTj4UTjDtGH5V(BdK5h87%qjbttGynfY( z)wAqXu@23rq*D*ZQI_doXv76Gwao4Rjh|%6$@G^}ytt`kaut#r4d5{+ae_pRm+@d~ z){}@2wR)zTXP}1oP8kiG-%)jQd^i_0_MU_U?>DsZ#PBIPITSJ2#cKQuz7d@LbvFPr zH@|CRlMJU|yNdk{Xd@j?pIY2_S(4nT{Yv6A;K2a=TA-y_KCmYydXL_|(ga+n_>O+0 z%T``)>lXA{zck`gdxNN_G2rXxv-z_DVZbsvAD>so{)wr7#ZT`$@bsHx8R3+3`f{5u z4%#_55XvT!3OLL=ezw~=g7w&bHbPL~u5o6Er-os=2RzK2H4iDF9YlhXx6)*vtm%ik_ zYsY1&=k8=snTX?xIB7fH-IVV)eG(Ff7I&mN+~W^|L6Y;+U#%wJRQv6jU3SNP7|Be_ zoL}s+F&t!uQuS|}Y^(DSR$d88PTBugHO~ywYHM%~BP|z4haH-xWb;h9asNy({ zFax(e+t*Ky6)Dh?1o|E7<6Pt)@wI(_DSaE4xhvA|@yL~!nOxebt4GH@DPpp>-P#NI z^7oHKN{VWodbVyUEhF%mTlG}>e4@ti1#RIeDTGB%u>NU620=bRbRK8&<37fYd{Tlv zexwL=T#4$|dLUNDVb8OSq*GR1?I82>Lv(bj-sKK@4((0K`B z%RI5xDl^P)KLzQ`@_FqlEDvs%5Lp-P8R@TzPT67nwSQ8t^jNiju(Z`?nS?Q5$Nx=d zxCUWYA3g6QKu6Vm1?!q(|1NJ3J$@}42MnmsFLQac?JF$c+>hbgs~whO-2h7q889bW z@vCJ?$(t_kn{1c4IV;tnJ&&fBR|!{H(w@2CR6V!#Yct9S3ERpfSbOIqJthq~_9U8D z6DCy8M4oX>XM7R9p#0rw->6{d8>L!X;+7iXJjX!4oSJR{1Y$SZBz^`;AH_4k(TZ4;Jv#d9}Y&x@v=~y{Vv-D{qo)5^x;%*v~GgH z#8oer3q~gGGhihUnqC^vuCemPy9?Xm$dU4~QutB-=^x%9x4KVnCoqp7HsP9}J=3Pl z$tabjnx*%#sW4z0@k@5YMe?u7-cjALHz`m0ut#!sc)JxVnV*(c>X`(ToyjOA%tX6{ z+pGa$5OM>YTjz-N98jLeXOmoy(3?-P#wVJw*-VonIrp9!d$)P?Z}T26iQaW-^zo7> zz*z!CZdQoY9uU%a+?04__U1#U2B;3b~(t}pmPp{@f*h6r3 z*%Uw57KQmEtR4}$ysE*{|B|}5LHw9)oG&jvK`?1K@JA!gXL(CXvw!trZCtN`er9k> z7ro|5)E;VPV8zJ9I8`|&rLaXHejJ`Y;Bovsl;V9 z-X9Bmr@Hr`OXgno>zw(!{oJOmtDTtGtpf4EGSQDce)pSmjWw&VZcc7nk%=W(HUK8* zP}nz{4Gy2U5auGPh9u)n8S70{1xyG&I`K0LSid+e7g>!om1tIWsy%aSx2pY*t{?*3Ar6(#uM9LE9RXP1~C7$zp z@pU!-CgwYO61g}a)?n5OcNXzkevx4Y)N+&qQ!{j6*RKja^rJ|dH}`N@r31&C=d_6Y zXk}E1Sw8{23NR=%GGj_-Pg0f&L(cigB*mGAshSS$v`NHA!_IyrzfSxT+S(+4hh(+w zp;~5cc`BCmSf=LP{f6US&;1->T_&1q0 zacPN3($EyGx6Kf@gb=}TpR2G=g$3_~_c&%^Y~Eb9dS59ys#9(nfJh00AXiYmw^m#n zJRN>he)y5}CpArwZ%K7`d_ViIMnvW{Y=M2GDgQnP5?3Y7T`BHPMlAiGvFfHQK-FJ5 zTdo*n2;Gf7s51p z(a~4?c1uX&zdYw~Ru1IZtwt$Yw&ZR(VhNOb8)g&#a;EtEpM`}O{m4HWkyJ&DD<~Xh zqRF+>(r@g)Ck0qu1YiiQUOsUTKnbtrlM=y_{0{`pRn0Uu?oi^2N5z>w9l6Mk#f39% z(^!;nvae!l-o$93{&4@-SqR^SF?WZ)_z?|#0) zCv<-cIH6N^nU4miCwY=@SzZ=JD#DaWq*&Uf90(6A$;j^9$5zpkGOi~_gu`YY2{J0t zFm~eDW3@jiDQS+|OsW{j0)>Obhha^GF_?}@*8z<-4I{&LSyp(~JR~A)90C6(t$|P& zm&cq=RjyKrBZF5K*dt~m36JXtL0^23r~S;l*fzshdp;!Xm0c^>+a-QhAR74N{Qb7! zE%@frX|Y~ULuGD4P!wZtfS;yMrdSW>Xs&D&r{F#f>$~33LT-xu78Npg(WQ@$l^ zi2#|!VXgRp_^(bVx%8eV5oA4p1-FO_vj$owrl~giIanMm^M9`>2gP2cdmjMn zToj>{)q|xaFoa_l6qgqBm%7H|CkSV+y&1&0LH+;^rb zl}9I!Iko>J`^Nrq(K$Z~&pPeX8!URi2;O@k{c0#P^(l~$m_AEuf>6cvD?}u#8Y!e1 z{p)e*>*TqGZd&QC0jg~X3thr`BaF)3W zSx%^bPnq>KZD)zc4b9R(3qwi1ar9k*dfLvh8;sXy&Au zWDu`4eaL2mUe;|PQgORyEpW=vr7$P0%&;OMDY8x7!#R?I`t${pjS17zEGB<|P{P(R z4}ray@qOVJ2`Ll*H&p$&*EhSP*qFws;}3S7ndo=_lowRZNXoix!TjO7B&}xiA%a`n zHLA$7^MY#Hs$|%`VRvj~*_&Z|GJYlg`E*~~v0mF3Pb)Bq6~jLDl$xiHfPYyKPm z-ZpK_;kpG0>Sqv3E|Ckg$Gz-IH7r96HMR$dxQ}Y#WLiPmJT5W?O*U$ApW9zRIrLh4 zWDtVjO%jsN7nMAja1JTwBat@b)qJkD02FWbG^Sirgs#+4?v=tH_?u9VL57w0Wlz+L z3GOFF#}M(j^Ft*nBYho6Y`&}X&%oH-y07ZRgOu+{t*2wXzjRcVUN)!HedGb$n??SN zRILyaWaeP&2N$T>^5(W^kf50+<@$%w_4Wl}hPtx2vNS{2hYuD`0HW=3X4yu&rcT4$ z)>J*0p=8b{&qE%k(NTe)dOVyRm#}I*$R5|4V(vcoXoPj;m^jN-Dc$|s@G%M?YyFER zI#0O}IehbtM+m-%SgLpb$a+_Fg;j^fjl*d>C*^PqV6@v9jN3M;%=|*~>TN0in;iK$ z7gKQjl6F<2!?d8Gnra#c?e%Ib@=|MroXgZ-^}TtoTSF}_Jpk(!=CNw^)C{6cz?=Tf zD}lSYz?5@Vp6iUyY22YXeCRnp>y|1UVZr1$yZHWkn~NaM%m2dk?Ei+z8b4R>G>ILB zb{n&9PHCraqTjzqJ&|C~#4MlL4o8n1jxlkZ9vX?$arH#p3Bxp21pVP^UC1&An1-j= zdw%b=GS{%txFG{OiA!E5B{ zsO26?DwNGPb9nl9j%sINs7BW$*b9Q9yz;bsB`ueP2(Fpz=x7|~;i=o*UuF6x8DAP( z{q*4Aga)UzVS({776(TC9R9w>?g~5n>!cIdaEYxq*AQb9Np5GA{*{TwpsndGBBJap z{CkO_1AJgvwe}~ESO2EHwn{cZB&dKn*(yg*W5ZVyQB+~!e~7EF?yt2oy)0-_>q4^8yqvT_4j?h zH6v|qb)GTeV{a&1X;fdUSH5R9&H`LuYM_LX_$2QPFh2)LxC-9b_&qOpu;27v7@*p) z51ZvDe2!~TVjfN*E$I(9%>l2i@(pts2!(b+xVsn#+X6a1N$hu5l2|uP0`VW>mNmHz z-h9YF}%aT!aG;nGIw&`DCX|6I3ip_&N2O7SW7?0f_VKQ-ePIwuA8t&ba%RIra>e0XQIA;}q0?7BrYYcFKnF0ZIVN&+@)&u8f6>jgZQ-@cEoe(0Z zuepBFh(-u&fxq`|QPdSnLY-p?-dN02h9H@>GAgvKuU5EAMo2w2=5{E9eY&hfY4%-w zU0D2v7IPQ6-RO9{aKxXazvRAk4@21L=;x2LRRhfIE0w+>bj?l`Fn%KpZ5q3%_O&os z^^P1mYXOAD>X>(^J<(+1@>TMSERs_NR)ce=IkZcU>QU#mO0n`y5?y1meYt5k?9b9v z4mjgtHwVcs;t%iCs6%O;L9$4z*{SS%J#YgvFy*jj2F+_t)^P z?DOM=eD(t!`&_yGu|;pbLISjtBr!mrsz?6qSCdeQ3qXn9$!S4-(3q3L_idzV$uXgT zUJGe_{KV)mo{LV&v;_sej=f%%dQjbt3aBUnT3l;wE#JgGwdHNH2^Wl=ZkOHNM?`Uc z3-~3aOP6uTIqH=Dz~%(Rc6?UFcC4!{Q^G4~8E{v#Js?BAZYdGp=t2=h%kdLG@q4tU zwps2v-?jQzy%f&xD{gHbw%#=KcJ@FlX;Km=^~Pzer&p8a9o~8}!qxfc zEc~0JMTUyb;DM99%8W+bk5-c;m1v~}etOoFpH5pp^%x`v{j)^%rZj6zHkm&ul-4g= z_IkVCP90?2MJ#r>wl^A9ns`V6AD+{}UOg{{yF<4!;6Zoi!#+dgK$!n)gR?5N2^}Fp z2%V5$Rm#Yo#H_k6%yO})#7eh1P6&~1iiFo zU+}17QvPISBxP{u_H<1Ea&!CqIR&p=K_BWsLr6}_u6<-uzuWk!q4$yKIH9h6L}Qj{ z6Be@~zl{1xl6V&s6R#A8kYau%CR<~M#0d3R-P-VwgxC$mcKOgmNWou1`F zHU0X80O5aABR~JFeR@L-b^hF6%+MJ5wg{y@Z#%@jXTcm*ya%8pbXKcaS5jT_ZKKuv zg&4tqHZxBXm^kA7AKz6yWb&TO^QSaUlSNG*U1Gj&G{PamceEGxo6t{&zSj-EO1 z-x(wKxK8E;mY62i7ig+n&ofHn-c^^e*?vs53}96-XQAUB3@~i;c?Z;X@P*vtFOki- z1G48VVwovhALx0QfV~G8dOkCBnads=^7ns9_7;_9&GUlXk>`y9&on3`OC1U z>xuIeh=0P-nsT1y(x&cD(#rkP?6XV1O#Se$@GgC+#~AAphHm|@nDR!ELX5EjP?gT> zMs~GLcqPB>KJR|@Te_?5PHmb1~{`{`LXY!aP5h8Xs zYY)%PYLnUg(Pz@Baqht-X;3%2<2ogEYX82w@}1ftFc-UnR?b-4k<+1Lv*KROgod~V z=fAo4-S6nw#_P^&loJ1JCbJOX6RDgNVox-~zj#Y@x?n;<%=m#K!u~4q+>CS~%Gr2_ z;M8!1JTN>Ch0Q6mY!v=3nd;DR>h06Ns9(8e@;vE%xm}Nc+VM4sdERb-$0@XNyz=mz zOLL)-XdRvKkr69;_=e{@eY1&B35%Vtn2I*RNb5Fl$KjWjJ7&$Kl}eueIEIZKnrs(E zf*Xy`eIRzT_)YT(HAEbWHC}Hs$sewG%)z9$30+>nZwd83)DzteG#+{?oe;@c-)aPo z0!n%?e|T%^b(nbWY7UQQ9`#Kl%fBO`dgykCo(~E{%0w)wETukaE0{3s8w;44YQ@7< zT$U3HW8lrlrk9Meg2dlced0cwEeT1DmqxO!vt%4vn4N!6P2jZ?a|95AJ~@x%OAVO9 z7&otH|9(2@S-E4w;usG z^YQHT={(Gw`xO+l#MIP)ROEs}C|$l3)&an$^s{4G%0F5&5HD82es*ap&Xl1))qYzZ z>Sblw(!h9jUV$Q0^O6Py7=ek$@1e*7=aOqy0^>JQZmA|gi-^R~7>LK~toixFt%F61 zfjv~pdgo!E|AFS7UQ@Z~mfnB_#I`zcN?+yDe#BhKU%E6B@DQDMJtl6}_z~iKZ|Y~k zN>g%ja^^{ui`LQ`Pa(g3!6}i+6CxpyMp-Y#3Q@DC-_f*vERnPt#0QH|h0TjVI4F`^ z8(&TG4Q;;fk`~ms@MXvV)P|jwm=G(EQ2$Z!5$z$*CU&SBP~9O^Kei+!h6VFR9KHT~ zIf5OMW98m;WO|h!Me%%p}vbgs~$1qMQip%ylM_aV!8 zjT6-TqqVp~i_b*o-|P=>PN58evLq!kzh0p}wo-iRYo!B#1-C4fVzs&BH5XN|+*)Ax zDl?C#bbdb;0e6ie-Be#5XbI}&pWS4LhwR+ZUkS_J za7ruJJ0}F+w|HU~w@T3{o;i7yE@L>zW1uVCvJ*`74k3=E1_PbvoijD4Ttb@x4|qu|%Z@oU9eH z!yJSSM6c?yFQp4Bzq@p^h0?}a;``n^E7qMCcW)uPY#D7T zAIKfL~gY*V2$xD4i~^ZLxh3~Uge7ro&{?%%CxIXJ)P*n zabnI3GpPY{-kG<@crfAoal-=+%w<)!x@*9zbjvEx>X!_<7b@l+eg5S;pW@^-b#7nu z2m?{mt=Yiu=)5xG`e<@#{6LC@a4gILs}M0KaxqDmM>mr%H*a09(>dR7z`!L6(h-UX?~dUsOkoR$c}bLsAJY7r8EdfSPv19j@H-I_LhPBke)A1>*}l?zMh;~ML#yJy z_dUNmeLj6*n{S3xsgJQa)%V}B?7l5Q^ibUq{`9WvVyL_TS%9z9+yswQf(tdbxNUIs zFT1tT=4|#>NZ;ON9-(j)s>Tzx1lg&n zhiY=ZPZ*Nr|E}`RInW>{d>c7sR~v!{K@K` z^gsGAd!*jvu%4|FM;l%)$GO@nG@GiS4{aQp_;A@$SYP}F?tb)956B+Mq#g#`9(dLO zx%GgQ`bTT+TX_j@Fy`l{WS5+O<5hk_a}a z&D4O)K395a1>X;8R}5lS3xo$`W3(blmReK12D^s&B~Q(5%M6D_5Bmui0iOZGG2zVX z^2#sB2OqC6eyO9t&AIs(Vhp#p(e@j0HF4*_G$;oAH~E7&n)Hm6n)megzN29UjcX=A zjxigPr$w(eyV$r)RiUM@LWZ5hWWl3e>!J>*yr4#@A7(5UMtOoS2qOUuf1H-29xz5| zMuP^qS*BXUd1uCK8Q-KxjlAvmaWo^KHc-+Lb~Oa%r~0JU93`Vc6( zijeNXDZbC-Hg9-0Y6d}{MKkjJ0``NgpyuMS66q3l64=Q$WA7_&Xyb`Acdz98y0=MD zwey{aoSe)d^~USL>%z!n3tD}b>6+El>}WpR%C4qVg7Imv=F91)t=W1X4t+Rp2Zo5A zE!e)53+!XCS{h&aDHAV~;lYs{RA@>`JH>z(!0i1a5|kkKAUJ&#&8aJ$&!slx0Jfbj zUBd*dmE;_(+yw4xFY-vdE(Xc+`x=P0iVurE#eV+O=Im}D!!UzfUaY1J_N#jNGdjn+ zaA9d-tW&b_iD5R-PmAi&&Z5>mcit(?96u7Jr?4U(hDta8#$(+3ll%Po3xYDYqtw_7 zAIbwp8W*v^QGS&?rhL9cPd{dSm<5^B11=UOxR|e%GFeAIC?<+W^@csR+-wPO36A~5 zJP^A!s}p}GQgVX+9p$#~XrxiW`9)iQZkrCjkfPAJTz87VwZ=h-$m(HPr7qzCo|D`<^Bx4 zj{SH;N>+o)qM4}k_?J*0pmyO)5_kqc8E1s8CkdJ>KCGD54n7F_<1g6=q(EkVNWIBj z!Anlh-S_zphH&dqk_iZ6Zc9mTl+z6%r^N7JC3r=o6mt}&-CCXQ)UcBM7+@*fYf@|w1DB#rOh;*gQ7IKSX z9ko)n_tURwGCvtwq@?4*?$&+ryhv^vKGzH(*6~A4_l%p8@-@q2*29(5eQoEX{^Z_o zu(je2*-Qm`fMz!i4fj_cIx(z1XUr@QZQWe=70^C>dhWD}pTT?YhNR=fzX*AJHr8Y6UhncZGT| zw|5BDjMeWY4#%8ux;a7)Sro1)H?wi}nV6dekd(*ovd43s)eGj&#+Ox8#Wr#(6$8&s zX>8RCZ6(Cn|IJO+&fdSk4mR^}#0b_KFeSXgwaaYC)xT(BW5E>!@e8h$@L?SVw*~F3 zz6xMTw+vwK)^|mLs3$V6ZTsRvrn$mhB17vkw(S0<<<##dWEozzO1@H-nFn4ofA&x4 zQS~U>4|?Yc1t9RJhY@xROKF>-!Mr&@R1nO_&icwA>%D3142oyq-}ok>jUj+C-pR9! z9_jlGgUJq0SGug}*?zt1 zrBq+t=ZSElr?FoyVlLkO)W|X&i_;2xM;{~+ZD4gNreWort-7@-8(PKI^7DRXica2#JZv9O(lkXU3XG> zxM?MM#CQ#~p6R;omy1iCO$8-bRU|~$;kCbTY2EsH`}R^eYwd>WN9JJ`r_p{rgfYQO z{=UB0I>~`ymsa-onxk$B5{#Eb6)zR%$bDchFjaZ2i1~G+*wVa`s4`F_SEO+3jTMQs zfPh?8Vm8#1YYnmJ?%OOSP@QNad<%h|*H0ahb75aK_&kWK@SkeSUtFGON5&k^V34l; z)~mHoCZ27fGk_mTM2_*V{^`Ai`{pY0GO9p?Vor%X&N%5_{-MPGs$^h2HJ zoSv|n`?eSGaDCL(Tt;aY3OU^l7UgGi?#V}Y*^UNZNg1WrqnpE@+=DHO&s>|BjJoy@5;9+ZNmn&hP1XYQ!SaQZtn zW4Qw_p|Zb}ks12he+C_CqEUUYy8`TBWeoW6oYr|)MP&zyX334E}D8IYbz@z8P5AaXL9jJ2=z84 zTpKng%E)g&J}8RK{Wm*mcE^f{o!Q-&SksKMjC;{j5_wkjA&q;lT?Vv7g3w4$TTb=$B3useTp z*q~hQ8X&TQhe4la^yQLFHR&mb&;dpIiCcS!&Irli)v^KQE@v zS7!HG91POmeVk}>){oOv=g}i2Pj586Bx}&|KqV9dSJn8`Uu;GJP@*{`M8wQ@k#+aTh(lbj8eD)ukZRmP7tIfkjZ``3E4z9yra|!zWC8~2G&+OvU#pM1GdCgQfIcGCBe;}|s{J)#?y#t(V zO8LnKw}PR0Z#DPZfmOeC{e)0si^DqudX3DVSryKT^y-z&wUsMAs7`&e@%3}Na`Y#7 zDvRVslL4Ht@XYz2K-1I(Ejl}ceM*!x%v}IfGH_&WMyQ9q?rwx~+zPP1daVM6H9sQ) zt=7KE?auD6f?Ry&L6OjSpgi;fiD|W3t3VA7_**VaVO{vO9AkrNrW!yE2!q(qaoFgD zmoP3XNpv!7J+W>%Ks1gHUO-;=Cy}`O6-GYYCdwL7kysDw4|oGXeKUq8J$(GO7I`jn z&SZEoTzUq!f))hs>-~SmIjSbJZmP8*9u&1S{`>~Jz1S9+g;hxRO^xcb5~5){Rk#&! z_cH>&m=)9XRWYSAg2>1}gj&%3>a$xhA9hr9jBgufcZ5M+nGVwQ~?^#g zln96*9ZILtj1-hE=?+P0Mt8n|)M!u`Q@T4xBQbh(H={cSQu;mLU)Y{=&UN2c;UOvn zmSyrz&1u|`h7`v@OWF8Iwo)g>nDl<8-+CPM1O;>0nXFgWPQqn`t@sp068s9oMU2Q- zNc`a0xdm;FBZtLZF#Vxjk)-yeG7c4G#E+w{JQ zfCRhsHe2M*=KIgF(-$McoA1vdqPAqaR@JFF&hk%*Eak8j2MgPfg~K*Qiaj;|CMD?( zu_;%7dws4=9>CjkH-(Uo5Y@YO_8pt@u=@|0AoCDC$#rA~ddc$^KAQ!)7fW`hYZ4Am zC%OiOY-wI4-D)N2vnY=FA{*`Ri0AXeid-1y1YTZ*`E*m>f7}^e=s#5p67597GUs7FVe*R0C7mF?}MNA9~Da<2u zg3B1mekTob-`9r3JGe0L%60w2cx4N!@{8oqFpl20#82Gu2FlWYlP4~+Q4*F5mAqIJ zjNkM(cYLv!z8uH*YZoMV?vWDj$+O!uQ3xgo9mGq>DwcSm>X0P)n;<*~C+Uygw34a} zf}=^Ra*~^5WnxB0ID`VWHQVBlwp5cAq{)Z32lR#|yQPg*3~9DDi#TEfU8VLpaimJwt zW5v(@BA+JWcJCWx#2pOj(SIALqRUb$OUgX}B!YwK%R}#%!+*|}{KGI`T;H4(T!F;y zo8i`wgxm42eAbWo1-+d z3&t~^OA!5=i}YDSre#XNE&F*#Z3I)Oe+tB}6U)HD$3xFECbm-f)S!-JLU)x5RcaCb3Dqo^3{I$uI4t7(fFE}GLHOs(7r=$f9B-KM$ zV}S=OHM&oqA;$GgL`!)lEWVPA|JqL$-2fZ$)@2d$iQLWTlpe@(&u1qC$P^O%-7mCX zv3PP`aX#hLEc24BEN@RsCDpKS0#4|=ugS!0MG!Nug{;sjqm)}umh1hdnzLPvJ?VaY zXz&ita_AiDZd^YVyF;ThkhwNYxvglrOG&zylCL{|6LFU8Ny4PE?hB1q>SQP^u2U3v6dx5#?>uvb+k zJaDwN7_S-QHA5JGc%QBjxp=Lx#)IIxjB&N9gxLe{7E9(d;31fO_m-659HHc3cYLE{ zD^Yf-xap~Q6iCWd|IV)Ql%6n~NL@o?S;o-o1BJ8YG*vv63!MADkKf$aqKNXUv`N&V z8Wgunmo{|_uiCzQ5=c-||64!gyTqT;#fH=-L#CXl)6J*xaMsY-b^^jTT%VYCqI8O| zfmQiN+e@$NKap&OAI=AsOcZuupfX9&cvg3i_=i1OWwfoZEKk7`B3Q~!jyfmU`)JDK#UKQI$@Qz?EFE+m}BT&(Jc&RlPUO6 z_?i=UfO{UsDdZ%yQFGr$VFLo%H&V_+emFjnY!Z8sQDxex37D z-w|*mYi1M=B4Zv44GfIbkEB$@O!&;H@C9`=dq;f8bfUcRbxmbOi%DKkDj!4V@AfGIR_>b>wIw0DQm4qu=yzWY686NuoF#eN!=w_(jDAW_;yU526ky5%~{Vz4SV+Xb>1o#||tX=5ZSrbZ`s8obee;sLI>_Nm1Z&H;O~f4kbrRb$#lyP?wRo0FNP{KH>2)tG)F1v z-N$pE)SWi6T5aallxYImLZtUUoA`L`zY8ewaU;^b9wqs$BtF`XbDUz8=tH%+xrBVR zRBCm4$+Reawf_l*pZ(TyS7x2YIlk$g3hXQ$8GJ^wcPG*5yXTR!!Z8k~am`SzX z78Q^#m}N^z@L4#(JP!Y1jtmDInrYjqR3vVY8z4SNZQLzRhzc%!nlLaeC-yH#n#f!I<=7v8&&9FOJ{&6Eqiqo@iUkiqfMRm^pWsA~HIj5}n z4(NtFPKZ2$3Fx0d`X5s54#5srF2qVFfnKF(a%O{depu;a7z zYI>^3_+Hy`%#Q%FaHyeLU=TJzJ#bC)9}t02L|bO}B{w-adHSal%h80U6TKpdoZPQT zjQr~7K-@?LxL`jXSHI9=*_lg@!}tri)x)q>)-pswvB19HE4nOfPfr}APrhIgaYE6~oV&+qdItl7 z_Sv>O5?Skf-1+)0$EP)GbBhs8lkZj3+mfIz$ZdT#xzDX=>eLfnrwY<2m2@V8@GjC@ z=FoE|mWj|-+P@8{9Qm!`swW)X1r*m27}wl`j8m6|)c)8BCmbo$cQdB!CSki$KuX9H zyO`(V3W^e!p-Y)|LVckjZ>=o9(%}CQmUQtzqa8muUJIe07;m}Kk3s)od^sTVVPt|` zsSf)06T~qm}kqm?@22FN~Wq>S?@4@4q+z*-#%C z=<;v`p;UF_RDl4YaZs4POoO}0>_g91JbGLb_gj-?8FSDWYSSqWg|jwB)sSva>$Ws5 zx+U#W;*%0)m(aT;B{daE9A;{1WoIsz2?)A4O+0y)fOUU3D6mz$bVPU#QIj76v9!`u z5cz&3Bx>&Oh-jo|Bk{p_Cz5ZJYQ<%~D1E$G<0NY@_&V(b05bb@*7B^k9;6Au6e*#J zfPwAd)N?GsJ*^$P;}`Y}z6|V#gdO6uId4i+1xRVXN?HUz)tiEE0q@H zAUw=XM{*Gn+BI0=>(yw9*VE@fOr}PC>kk9lXN12qJs-C?w$hO&FI-C zPReIL!#;FN*aeOJGS6N`Z|fh!U&%K3P)Pnx`taFX2*;Stx5Vzv>J~v+C^CpwfoFnA zJf;3jVU$z9jE}yt#M2@t!T!5R305R+wcT2^2w-zUTmSH(R@iDT2`6yHqZswY%N{Wf zb5*1P_X!XZN?NFk{M9i!dg_?R==1vqr=|$Q9rEF@yJXwD+2ch~&9wkrJno9-kxd2; zi~|i8MnF+hpIF$3F)3jAjWQiV*b)6mX<~4nh+E+k@-_*K8 za4N*g=F=@4^fvn!b{J2vnr*QFQ^L?cj7?~zA#+V!1heZ1A&$yiAeDD`+BIWF79h{R zRMqRPTOL4QkjP;e(|1i>0Z~u-BU;isTa`FpW>dGw9bDjx`F(A(8}OIyDQ%Pz2=VL6 z*uNwqODd7nKUWogVGKyqfTz%TX`rGR;>W9`pLq_aF2zc9rQ*+;o^2KA&beIO>Pr-5 z@)&KKcVXm7U{<`%E#m2)NX-#+`LXvGf0lb=iu-umB)^!JKLxVWPO>PQ$#6bUUepY# z`q{*lb%M~iRN4jjc$0Dr$~()uaUaV8;6)1fu{e(f4G+WZK9;-cLXIqTY&>$*e-Ivx zmuJFiN>o>}6n~fefnenWy4cCMLhjZ9n%LYAZh}r>$U0u#5lnpf{}5fh{Ln?W!oJjn zawW1qKT6ueWg;Ll6**M3T}IImih4bXUHV)-BYnx%51yt{XYX2RkKTt)wcz~fdA&P~ z`YS#qIZFMWt5Zm1U?5jdU8ZUtn4RCkRbKppq*2l4ldFXI-c&(ti!;dzWF6Ow&3SL9 zV+MLr#G(+9yk>)WSMz+^lw&o`ml-(D@*ojO(YhiDbx zoM?lbDt@}h_`IMZ#9^ewDyhnjRk=E0n-7G5!~7?Y@EkA%DdA3)pmIs(vs&Vx3lRk zK%sG+Fjd2-^SL5fxhP=rf+v~Q7YB{+SKlz3V2Dsq-sxo+uz%J}_;}Qx5ltI1e-B(w1fpYu~b~y`QT*|3xpo8robj1dlZd(xBKHUzwY6P?ksyx|_tK zWLkpS)@;V!yaZ0nOU~^K5rX$Vq=*-&E#I4)s_YcwN<@BAbcurD$}NGM&viLjz;H7- zZOkasvwyOOiu9Q#w8#J zQ%@RWL|=BRv9I&?x5a|@zuVd7f(GS`_S_rckI(1Gy*EiL z8o8?@t?})eOxdOyyeSJ_Y8yS*q%>8Ifki@K zj1RB-`XI1GEu$?i3fE`3O^>Sd)Fa-dU|5+TsRwn5FuwDjcFG40T1%SaK%&s)Gjdtx zfDmD({V}y7Gz|BCDV}5RKCQZ34<;L=Tc+5q4zB$)nXj?)wh?@>Ze{Zm3}`TsVLcMh zJ{4|TG+D|)iP@dEracP&4q2-n#c!i=mdH4qmh+E`e=Zn>aAbYteID8^$mJK12-0lz zCb$6WmI-89q*emY+O)&_1IjZ@ad*|fBmc>@)jod*~!+D+<=yt z3;Y%|Nc_AR;ur8c8}+eur@L#{K@*zgC2LaCY29?m3wH-{De4pRRVu$rNy$hfH)OYm zN9Uaj*h-a_4>=u#!q@K&k|!@%Q-T_}1d&s%@MnmZXB)#yDAq!kVS@t)iyoD?ZKCg9At`!tTkT@#);?FG!> zdxkqR-}GlBwc;WU*D{@YClFL8_pNntwm?X_Bh?)CYD+ckh6|8dc;pmt$rj3Y{A>0}mBKvUphHB1x0jYt8bsj36A0l% zrtg18M=>LkBg!v4l9aHr5iUTFT&k%N+j2#7>BCyt(lS#WX9k1CCOrv z!uS_k_V1H57&Y)bp=+TEiNl-Caa)tr!<|l6v)h6wzVy|y~)X>8KBYAcPG+l zoD^;(pyD(oeHlxZ`q2}S%fU(7^g7YxFM6sZeENK*pcy^WlN`WBlUr&;9R`C=JC!TL zW71X5f}9(&Ia1*`RS98KqX^ zJhcTOD{u^(S;Ny1tb(_G6`Gu@wk`X;nJ?^pZ51-*KR07WBk87_{3=GcghpI3$J8r4 z{0-zdkVeG&{nva2)0sxiHn#W!A34|Q!XG%x&yQ>C{an5|MFqZr| z?!jw2`qXcXnLK*p}dvwm@5`A(Qntqz~77(ZuW@W7v{(rHzu*Dr0_BpYjg=xD@Uv} zyYZhI-3wLTlNa`WJs41U`lU`Y4Bo{=;?pNG*mpn+6l71fKkdc#woK+XSa?JD90d_- z$Mx!EGhyh2*xeEyU+k(=2_Nw>4P= zpnFnD?GLBW%oR$KHmkdy3bi$w6jzj^9%ETfga^C-rh&9jLqLAF02y9G-|dYNlKug2jYB)Z-8@ zX=$2RLAV_OLaNOEkM%b~PjNtAE-f`R0MGnvs!i}rO(9J#?o zC0P_r2@ctUI8)xKhqXI^!#nC;EVD5|QG{r}iTM)={(gDGhh1W*y`MnfbLF(<8h#>S zjHKhZSE>^|!A`PJPbOfCaiFQbalv@R-~P?1yI(9E@~0#UD|Ads=`M|%h&zhGDh?Ks z867=L0PK8qih(-otHZvb#x?tQ*A6wb=q z82r*;+z_x0E_C^5r_Svtd>N#^E9L^vRVe2U&8|u$CUt_}{QoFt4&QiYXw7^11$$gc zdyM4adRvMdeZAba_z)j`npU1Qp?|il0Yp2K@(C%*F8ia-)j5_zL{K*poI_#)ln6P= z74||>&bg<%;d6%{jK4H~96(HGe(TCoClk+B-g*0#v~d!9Z7d&`OdZF?PRfcAnZi3<;;?AeqN3Sh>dnk|rP<+=6H&ihz zXs7TVzo+gZ8PE7a&W^G+KYS_fGE;9(njS#k!p&ARIagw`!p91(GL6`NglLo)x4|Bh z1hav{7s{t`0X>TBYHi=3zPGY z+etMlW;pZq991%r2M-mK{)gVpbcpC)CdZVPoOV3v1Dc+%5RVH?9#3C2w9;*+_@zIa zKJQ}COK6dJ!spci8l(k(2wZ}oxwGMt9jW<}8H{{N@o8%QuQYv=cTb4g=axv1TH@zj zAo&ua0yf7MYs5gG)7v}@P)0p=7 zo7!^$6_S5CZKn0<1mp$|6Wg8E?$eJeUJfj+{O=gt9ZdsMH%yjXzl5YILArx=_!Xoqeu4MPL4+%t#TcL1nMnS)C!Y|aUW54PX!}M623Vf@UQ!@80$9s^;K-eFk4BgD)rquiF z*6QtzC;+Tc*_LAl0w+G0sg@LIiU-c&UylXsvVK1Ue@wN%{%iY7M1+Y@%x~Jl_zjm2 zU0#y0&|W|;9R**a?^cZZO30Hi{D)rKsttKvUV`RDiu?0L7$v0;^fg0x5_Ej76-*Bh zzy(8zdYs<9>QWTg>;f z86Ruu@eKWcSR}S=fk7xu<+7pPFG+5`)bfVE-?#rz6HT-3OiLMJA|~9p0OSS*-r_00 zEm!8oy(>#y6$>N$fpfFNouQL(jB6do^&jMeV{pCH?`*3k*G1BnR({3D<6l5Y4j{Ox z5Ne;9M?W5})KccceW{ck(q-m#2M%z|9VDq~t@AxH|EjZsa2Q~PEpJR;#_q^?r@-xx zU5=0W$$E-4qINMJ9VB=fjG``VlG<|dVK}$@CZx8i-Hj2$?DP9YTO`s>rtb%k4GYlJ=UHDtDb6z`l+!l{#MYW)bl~RmI2h!|ai6=>f_E zhaFChI1~!@C2n`u;J$i-k(V>GQl zPTkHlv+jlp&DtobMS%5ikmK*Ug=dWsn4m&h5;{fwcu~q@Z=N${FNU2o7lTl-EF4IiPBTlrtbvin zn%$rn6Ns{ZM?9v%lGm*->lfgJlQr~;pLkJ5|8FKUD89OeX&2)PRNCnvf|1@$?-BFx zJJmPOxoEuOzjr~=gN}qhxV3utM8xtD!x!h!+4BOHlL4oI(dWL_uiy0_m-^A@ur$x} zX;+@K&50?)G>k~|!13Vw+@c-RJXqQj`Jw6T9K=KQ=-NFm=gRNg=J4`zvm?j zeHp}GhVh$J{~iOkeFgy?9upq?x3%DDKTmW8^&BsP8r%w^zI{5kof510*>)Taa?;#U ztehp|kfvmS$f}npWd^0b851_Y+;cdrwv&lyg{|NkLdo5+RA8%Ozhf30!I5L{Icq0_ zyp8D=cG}|HGc))PT(?;L;=SIP?E0dhTUe8Ivcqy-FbRjT9J`{|V0jawf0G5?oBq#P zzJT3Ix3H2qM$>ET0_lLJt*XEZDAmLEda0cFq#@lu4AJ)ZcJUJ~F|3s3zdEM(9LJXg zyOV8ym=0D_VFftw`J(%kKRY#*0m|02!!nn-O~y|+=L(|I9GV^eVPMd}O}CP-LH%g+ zts3lt$PbJbAG-dYmv7_A?5AhX07j~fHY1nsn=J{PGy1z1WtnnSz9oNzOo#_2ag9bl zF~WRU1@};%WX3J*r{uTPTN`%EyY;b`1&43WUJEnp@1FYU<w^(#kd>2=Rk)nUYX9XjorKU?e6VQsQ^y)MDG1oHM9&?+)R~qI% zSbFADCJRrpyfGu(|`Q-(f`9$0DxZ)IMcHG4)Un>=v?t3uA!AO+LTldC)2 zt#^DR@>{0ic0#v$rKqzP?mHqP8!J=GZv-`uspbw|lqR|=qHN=INmD3{ld)$&XzaE- zaNDFK1F&2E$SOmp!1;yc9Wxejx%RGU3&z-C$8X2JJ^$X9Z1nd)!R`EnDvEUwHi zOnoD{1~G;B1L>dj;W&2a>niMgdci3{zdC4V+XX$(JY^S>;@G6Gu&@Pa9%zkKBben$ z?k~?1^Y*&4xFnnR~8D_6}lgTtWk)SaTS&v&m~6w|5xwI2Tp)uhax= zHg?@}6q^AB>+H;Qw82VaNJ3Y>9AR1g;G`tj%nD>!hss{>tsv?45F|=<_=#8tHYRSoBU%uZl*peg}VvB_k{G{W{-wewaREvLPTU&`i`AT z(mE&Bpl0AcQI?Pqo44?AN$N6pHxjBYGpw`10*Hnn-*0AZt`494OASpZGJ>q!BvadX?(#YU;3#j2Cplj*tEJ?VS=T{)OoCme-b>wrCh>bhUhG@>0?I8nZ0V0 z=OLYZ$If8L{Ed+FCRF?H?+=5g*=PLSZkhdi1{P@Wo`<0;AxzrCli*SEEpP3xMAjI)3NY-Vr=*Y*jN=e}o6=@4Q?|q^S1C>3z%UhvOa)LDW9A=zCqrKWudOY$Y6Kb-Slx)U8b@aCsR&N;VJAp*^VUdY*oiRLu6o0&OzHNbd?@YSn5(B!Ok)1km+li zdw)fCP&dPF8P(N2p208F^_Z;b*VH#|Y|20EJ^b8*P?h`(MXjauzWbNgkxSt~=*@>&@nYz+N zL?*h*yM8i#CFCP?R~&4nl_4jI_`cgKw5V)386X_YbjIXOph$aI6!t-%4*XN;tfo3a zAQw_xmiKRZrqlYO>wte+m~1-;lwcCO}Rc->Yw503%Hy4pj4 zi|;<_x0>O;(@jnDnlbZeWHZ&-T26IuG|QylTH~~flI2G!2_te(bPlOeSM0))z;-T} zHqsI*rYJ}AO8so?o-^tTXW)7{FLbLSFi=5G-=7CTm8C-k+-63$t9d_j$lw;J9AqH~ zXKJ=nvUidHLNZ2on>lHynR@VPLf4Ie-KT6f-ei6Bz}AyE&iKlVtGLB_Be8+MC-P4( z!+c7*m@azFFE|f%5kEXY{ZcK2qA5Td=+G8cP1jx^M+z1&fE+G;n|{9*9*4hERjA8I z;MT*CP~j_B;iRsVIg3gsNe5(@A{B?e)HYt^T?1qh63jX7hES_oo^Zg*-yl?o;*<2hiJ$@BI*$jlgE{ zbLsdlrWz2fU0FMR%QF?iTZz4elrUE!khpeH>kA$Lrl36&T&dmM_(b*<#-HGFsi}*I z2%-%4PT|ysY)E68fO7XZEkj)7m^N)xn7;tS0zu1cb}<=bf21n_8jF(gX7H6cUmEX zF9v|#*UOxuaq4D%C(Y$h1ct4^AkDGGf1%?6@KLe(lwrxYiYafMnXBa#5r?K9U9m`4 zF5*z@a}{d3G0ltho>KoN&#A-8ZwKi@sH~jA$K=7Qg~AGltzWa1pJ7qgK-bY_lrKLO z2WiDw3YL3spNO^UBbzA`kMTQ-X7e;)m}2d`ecF#RCE|^`>Y6LXNtI+DHonoiN{Qad zcTM$nPy%{`TLtb;rn%UJm;ji{VrBqPxO6q$+T<$fTrqEpE)l=t(I<9?;r99R+U3Cu zqi~kr%bWU;ws)UGTt)I+F??@!8|{S^F-3yFi68cLoTSCbRP>(4fuu}dh{(FeH{=gW z#9J)G3RWC}Vf+f6fW_J=u=#7ZQ!;I<(0g@#;$*`Z$N^Kc=O^w$GD_f{YB$Kh>E6q2 zpm!3LTEi0gr$jbWTC7jlIgC0@`=Qhr7hol&&9DDvPV!uPQAt4B`WHpOG(&ZJxQfW_ z;lh6Jd&1sxGazpqlqR$SL4A5}gD5)J>emj7!lBp_DHzW=WDo(iP&+_mkXD9|_;7#e^5l2RoN zxr*a+UBTU{fd)?eAm@-~jIc}wm0!CJS%M?FaexShDvC=9wIT22e{(zNR)r%^(55lw zPyTI@2fJ08PA6fL#^zfe#~K`ln6nGGyBz!VBhduw{fKa>#ECFT4^1hF0xFJg3zD0Y zF39wdt&TzU1FA~$&QGH`;7D!ermL)MyKGo|!-1<`-ieJSwGlAm~s6O!9ztcv?P_Va-sN50ij zeDoz_a?isVf*#VlrmxV`8@4+B_6a$j^bI zbG;%38u?y*3qSPS6~61Q*?Hk(i2p3`jcoGwe{p}*oZ?o45^fJv(^2CV<|*R#1-`AB z4M2_W?LFbxnzX8)g*op@wyy^-TzB`ghcTwJ*2x)9#M%f>EW)2+K`_Zi zN6TDhX2(zUN7T%4uoyfm_zslHv+u}tbyZ<5!KJ2%V9q}APq{=|fYkpX7cQ{6TC1mQvDm+JdDk3DNleT-&Do3_52wzqreB z9sgV~BlgphJ#~?)s1h=&3g2w77wA~Yv1@;jDRJS16Zv35`mUtTkn>m@5oEJN9dd$uuNE8qk>T>4azD7Z|{*Rc~xO<5Xxl|1J-xf}wx4L>;@YT{*i3fl>vB zW*SMjvWaQRn*R|e<{Yt?(gypL(PahQ9xvLxfQQiYJ#KwATOX4<3Xv8ACz<^mG^~R! zW<#`kru$UHw*RBZ9O?}es7+|8_0x;eZL+%{peVrEa5GaA1}TZXSy zUsulZx4mq}oC2)gmm-U8kWB6imSAAx*g)}j!a+d%@0q!|zb;Xv3u^p9nkcJbd^j45 zphVNRc*Cz$yGS0sXG8475>FHzMA|t1AQ%6|^SbPL@vOgl6NZ)5bkpS!+Z7Wk4T^=9k5YQ*l{Y8hRvACxuD zDQ>o=l%Vz1?;7N$LukX)iCXTrV#SXz_}h-tC)3q;G!0kjK#(4C>Sf0;-AC+sk$y2< z)JEonyt<5p!)4};PS&NwN&oBo$_dHe!s}ZSoJjF6c$r2rLqip~&tlMs_COw$*`wiC zxp1j4$4`dK6Bs-nBEEf1cn-O(Qk(pqSkR1cU#&sDEug3q=WkyaUro5O4*njO^84nh z((OP7my2|_7|68v6QrXfrQW<|1#BSB*C_fs&yrM#g~sQN=^Ez#(8-3&YJYAUgdXoo z8D5q(KN`7rknh6xTEwuM<62+AghF*2-h`>Ck&9!RJp&a8CC~0%aZQiC>KobYUSNC| z3S}4+bl(`OwVJHtW=?8MoDkYQou8Z8PLHSchyF93pUEsG(9N-v^4>LtrFtGhA^2>dgPk}bWR+yD(UpU05 zfR@#RKX7g^S~Gd=kNNIG-g-AIKX)2j**BKVw%`6Gxqd!|HZnmvb4-r}Dv8%&b)!dpZKEq# zVn1^;eL{pNVz&U_MVb>&!A#Ibw9}cgM(EKOg<2l5rd6b2-!-uz&-*Fdws&Q z6F*Yrm9zwl9m70Uw{$968U*ux4zGC{q=TlBqPGoG{VA4dTFJQ32V7S9jW>o%QQpbV zWr7X@@-O>2w8w#KO%^{v_e*jx)g48pojd<%uZ~AXZPApM=*VM?_0Y3u=!-T2J$Zhj z+VBv51WTvnW*kR^&!`jkqq+-s@veN@2_)$6`+Fp_S8XDZDdzy1`}L;SHTP>w_pt*5 zRjZN<#q5S(OSXaea_38nKQIa2;>(F_6>=6g{jQYr-J*3j^|G@QtBg#o1O)rz%hR<^ zdIZ>7K(s{M>@ljjF`e1?Hnu+Ij|#!Dj?lFPoagKO`rEU|UcdBDpGsU^`OY zKaAc*)i^ zGPJcHcScHC+4ReDeY{SnlbFtGOFnCteTkCj^KMjlYaWs^hV3`Kqobo>S4#VAC~abV zF<<}~k_Tt<9Qle-;7fN?9x}sRc&Xn{AOPd=AI3B4*e2M@fE^LT)lFrV{MnYB*T*rA zqxdveY(9U0Yn<)vchxxXnV!(WJzEkaK)(Pb0HYWFm_KL15b9uVnu83Ukr?CxO>UV7A`d8J46_JApMpbxyqot zbjx!ovEDcF>*a9ue^b+~TGtvd={<+UIS=jWMs|iHi(bnjvh!xjQl8VCW2MuNe8ay7 zX}ZD?G*^|$lWRxkr6E42Cf^r_%9VmUYwmc&6tRn+XNrmGQ?C1XJ#(VSkLhMZE08zAmeHkn|}2pNV#KxFOa zYpvEyK+{;lt{H4|YnUmJCV6{2U4`T`j2cQf-J+aSA>(a+g7KowmexV(rHfM>up-&P z9t!y&rJZjPE0sqlU(wwc65OuRG*DgB&=x7QWOcRNpysuRxi4!G!%5%=&OpD+5#J}|^ zw98wpG*oTv>ZU`pL|RJ`W2Lm6a;+tNG$Gse(KVGXpIo~yDkSg0-baFVS)vl(araC3 zYa>1-VE?~)dCR|k_bFr@ta5MA#RT3r)k>Z}-X=D2K@O=;RVlsRb$?1(k00ScDpmJ$$FYkcFfhAJmcyGQ!$D-*dR)YG*t}g>4Sue=iV;)BK!@Df zZA>H=**WCB$W5W#LSl74#yXXkotb~Ow{DHNd2+s+VhM`U7{&`z6+E|VA)Sfa*AEf1 zLRB9sKXn{3hP8KAfEubDmx5}A)$FXNQtOWDaOS7Y}4kEEbpIU8O^l&m0m3szCXUTSo|@_nehBDLE~fR zi(wvYAFNECQ}gP{UK;wT0!pUGZGCd&yTom2MMgSV106DcRZsGM@avfwt2Hdh>f}K*;SCA9{v$y(Tz_skJOSq zSuGH^#M`m%-}I5rqR^t68}@s#>74Hb8xa4P+a(7NKgfzQ?*Ij%e5d$yJg4ltdlA4H z{Hek}*Mr8Z`5uk~`#OJwr>Sij3MmqNr~2O$uI$M-V}AcIG}JyZG7%EGr>G6}0fOb3 zs}L-Lgbb_@v8h?rqNg+#9o|-cs%9T9buvPv5g3z+OeXN%@u^NQp~8gpQoU`Xs8&GZ zn@DLy0J#Wf(qSz3@_cqEt;(+ zK}7D3oQ05ehp9+a+K_#I_8tEf7fovQwM0=@X7jYTKy@QQBf{>DFJps8SLD{$LnsxR z_j!7mr20!jrCL)eghTLRn!j zK-72CIzLZbK3~jxvjlp6jI_Tk(?=8d|CyJ&|4ztBCrhC*$3PQ4JvX%V^R&`SdX<^8 z=92z<1cI^MW@H}3fu{k)A}Cvo(0=;+mxVg|>3Y@K`D$})wy1khEt%bgA6-=DU0b6) zrzwJkSxHv`Xk4Bu)X{&tNRI3F(UvTBv$r`#Z4LkA4IJGU0Ho=Yh?p^#I?4*I+R`ZmuJK2Hj}!#z;66J+~S4;!{sv(Xw)OU z=C~%@?(GMmL}dM|*|s>9)UBab*njL7jUKNj>JuX!0dCTby(xX?(?Y*K9VenlPP5|V z=to_8#(Rsi_Tz;ZT<(QX?ye&Vhu{x?7&qHxo`%_ zi}^iQhuT)^Bq6lfY#Y~Tk%=OemTpY~zq9jqrp;PG+*JeZ9NcbvPV*Za!Ag>#F?-8Q zCz|qAN|-_JG9Kl!0!`Dc;6992>q);z7X}@A>HFpz%{V?2>o&6%T;3VU%-LZ3$^QW|IS5SAKbdHagk*Xrk4!vt)n`M>X zU0-Ze4cJB3^`8CGq2?eRL$HpW=|%nwUjGbZC@ic)x@_cFZKz*jfaMJpzqR_1aVT=O zIa~QddoxV#{Sg6>FQqAjnwwhZoGS8U#E;Dc^gh#@4{!2@^WW$(q&uxRbih4@hRE$K zf&m5*RH0+o1XK%w>os|qY*XH(V31%|2K~cOCt2k>ufxV22&UTWYEUil#*h8^5gLrI z&KQ4`^PG-UFL=X8vWMWa%pA>7|k10$to9IpAgV?-3ThHpVdm2aQ8Uhf)mm^+|qeCVwGzShqBs{(v#5F zj60v@XR|yMy-+CceY1C*9P#`G5{_0T(rwkOVCP6U0A*gZ@BCurBO6)|>j;mzcKx0v z9~ft^wX4fC5x~{rbZ;UM1*Z1F3SDhwEH#`rxOE?ZJFEA65{at>EG-I~R_i_HaJIL8MZ*O!L(B(74} z|Ipd9OoNez=dTsY-}oBR=#~;ljJU#%1$`zH60qKe zbA|m$tTbr!gYs_Jxj84F#Gk7Y90LV`-+RivAzZIk^jWrVOk_hs?dsO>>?J zzcK1fX(-qw&duDOK9%)3wG~$hTAN=EtMfc;E|qS(p0N)W8=EKTSr6kV#V*jJ&3uIQyM zuXdu;B$yL~dR&HmE*O0|Cj! zVO+-2WMKM%UQHDFt~jgQ|I^2x!?t&G38uG3e^N4P-L8`zq6CUCh&l{(uZO9RZE|!y z)k$+)-O<9W%I?6)#z?DrqNyilPdsGTjaA*g>c)@aM?ZUM=b<}GDI^eUD@@a4(`J#a zfsb*?8Sm>}-V(c~?mn#wqUM8T{epR%r~!)-02mdkrl=Dt9oYW>XRTghuT`{X2m7>t z|J1d7iYMUi$G;Vx_JUwlfpGcGdJ6pZljW7qe@uv?G5ibim90T}hD zW6~myLeWA9Je(k}N*IYNtLRrMX#dq>+Y|xzkf7%sD{o5L(4B;GdXvvJ`Nko+H6(k^ z$_7rLtt5{b$R)BH(x{yX>Lj3m$PeDGtUX5*oOdfl4N5Y2V%7_XK1!xDz+MdyM)sHl z@&`)Zb-kjr*;KD%PToXGT1PnJnk{0NTE1JUUB1l``4c!+J#&it%&ux!SVbQ_h+LJY zS@b{u*6K7bu}7=Kbp*|+Y`%H{>r?oXPO*bjm2}zs%W|NMauoL-{j1xYt?NO2raawkFLia^YhYlBGDFnDR^PEG5l(5RQx--_x>&4B&B{^W;*j$X9 z8m%Upk0+TR0FE}1l567?Wfc zzKjTCCnLDY>sbv3SeNOK?)R_Fs9q6%XT246Bz7u6AObpYKPsJJWrW57+nki zVx+Fgts!KYdB6k_#!tOizmIbTT%2%zze*(UEfAZtxsxrn;fx<#W2vN$$nE5UGr-19 zD|cU#7Gu$$|Il+;^O(~ux7RuMtM(DUm^{VK7mzyF=kk)N7M|z7bdd(4jMpwPhQ}Eh z$2CXn*>DKy*8{zD#&L>C3O3o1tSKYmPbzsG&03D%E%F{zyQY7_uKmXC1OIF-+r#__Orh9gH#v>WsgU>jxg_UVV zrhEDe9iylWv9~>sVOk2U-V>M#O)-*P#xfY6O86Kz6nnRdVf~#UeEBRpWG_=rI&_5L5xL`~Q>~-A^B*#L5<_bw za`LV=k`4z9(iu~52l+u&j`WG}gzW6M2k3q`uc?f^+n#lV6DVvJ810i#y~>6Job$)M zUB_mB|J3yBi32Zn81Kzt-l$eRPq{s7$(E?}VRAbL3n$CDPVP3>M;yLgq^;aU$2q|1 z*14el;_4=O#)mACYBw?l3(49JIrgib4-YquOEEkn6P||JC*#*xH#r#TL5Txn0E}_YPHW5MzUO7d*|!6>c{t{P z6c9r5lh%c(t{YBsvmrq(%{L*AK*o9l=|zSvI2gxX=d~uq3cv%L@k!p{ssGmY69{F; zIc}#tDFkYAaKxT5)0*;{I_@BZC_P3v12l(rF`SY3Q?Sz^mBI%3TaIzop$;1WuI}L0 za+Q&yR~I+~j1xizbDh8w#@u7QO3)Ag*Yze{z=0+Y*S=|0u%mOF-m z13f7VXRBiaK9nok;`0DN2jwK^k_|ySlng)s5!1G5#oQU>9zB(w;z5v^1Qi|Y%@`HJ z?B#kZdRMD>^4ND#S3m#I@au(Q_&7KuXVco78{qu0{pRWhKs9RIwmPhrsXR@!)DlU_ zIPFrqe(xY0^PVcHCA%g%=uw*lfK-fo)1q)!zCaiPrp*@(E{mFDu(?lC*aUj!zMk;9 zgz)v4Is1bocmDtk*N={}s|y-K;b;HR^${TlD~=9DHHhl%++)_fl=>aX2-3zF0>ljQ ziWWs|e8jN-0Bf%_xwO=w+t&qv$XxNBX;*0jhjW}98cpgggk@K3Fg-yW(8{>l0O!}e zQ$j!g()9uqX9O+>IW*rcGDlKIFj)Sz3)7-1|_Lv8$KmhC>{lpGtPP zT$HBXLv=igX-pEpfyr(UQfXOOE+ES(AZ|Z}K=I1V!H6K9{b{ATV1NJ9>?KmIl*!zA z!K4%6fXCDx)$@00SoJhyf?N&A57MkdaAa(p{Am<4xjWBgykNxL9hAOF*4wey>v zncbi@}5tnEeB#Z0pnwl>5qEHyo|@y zN}hh7T-OdJH`Lmm=l|8#zKJevC53{G%gOIvX)?4dVw3%3Y|HY4(!M7ypYLRky33nt zQ98eeTC?fCA@Ukvuuh=>BaPnXy?l>ezQ(?j6=|r=bzhO>=5Xb&e&8Dz5l(yU&&+F>pjFgyQ%}xqSZ7y+Cx_4%^wwo=Qtj>TT zJ#sTv>50%jW58O-o5_-9=d$4s^56VMn0tR z*10``Z<*|Ndu0gvo!y7Rx6*CZwY_H2!A3BS;fXzSpU$;Kufx3+g9xY9Bn#F@`F+n( z{!M6YwfxFlozip#$EjjdiQDY~31DgOXhi1Efd zS0!v@DJ#jFLl1rSFy@|X^l4;bNg2WCC+cf5OJ|gjvJC$KD*3!dVNz3Tq1_5mj>rGe z$xStZC64S5#MJ3y7*Id}XRxo%r(L(9?`dmTn%dW8(#g*akEpF{@0Jzp04F%EYV{QP zO8Zta9wL5FFa;pB$YMF;rC&cP4Q$0rVZjF&{&k_I$FRDLWQ=DOomsaQhSJpk(tYL? z*bLwvIOeFlMVw>~nd@Je)06m*p|^4dfDTv=m^l=mW>p~V0G@GOQK;jo4m+c2$f$s> z?2WnPcl4~QhGu5t1oq8i?)zJWbSu1FnF|Bk8KgGK*#&s+bJDg_^8}Co)6Iq;vW|xa zwx=Z8uQ(&ndspW5J?lyIJ?FAAq;2CIf?ELQpf=!=2>G+=Tl2LPkhxv%BHQ7)QG=We z)!jLKyS_3Bsi`k@->~g%OE>L{f_h{Vw-rUumnAwLymMI7(Of8!4x-iyxThXv#6+ zmjF_E1&gZZWh3jwv0RaLWVv*UTjb)S5q0 zlwjT1HO0}+LtJ$f1!@ju$Os%{$r-H%??Nvzzr2=qz<6=6$SmVdECSf zayejm{*`vLxruMyA^y&gF5rcfoMevlpV_W=W-p_A)$`6v?;Db3ORXjR!NO=~k^j)ZlHI)GcMPApNc}1;YfAfOjWdoOA-Dz^&*5JkmsaG&!b`dI zb(gqe_)}Cmb@`3JYk6ENqdyJ!RV`6JvbFV=GzZSJB~VJdE4n?IdEbi0XMl7x_W3txxgse2l-g57_a@4{*O#I2-UkXaCu z*pFI6qum{ZMak*Ap{{5`QuQy|ME}v&eGcq1e&-zEVY8Z;>336EfMPjQx7_!y&FRyr zHlWtqp5&?~S5FP!+$bvmD9yKacn990Iu+-b%3}bjImyN`-!;;xIO}}{?W3^qqE1N8 z(g_^rx%aCUUK_lUW-+3YubvKbSvpgx7j4RQ6`6kLPq>i;LvDC2lZvkW!Y<2fX7 z;;?a)5=%ok%~=1^=p*pu%MtR7ftCR0ikW;hbt5#Ny9$G&w$J|nU4C;<56vqx+m&@; z>!4g&N{sIZAYjKRY*XaYq`j5)GARv=mg7C@UKuHJ+@EWGNmIeIO#`}322d6wcg>Gl z=k+}mtQh|B812soBzv0Zl_|#6FMAgX+|QQACYTJ`vP3XUhdhi5>!I-Mu{z4mg+@yS zZr@t(#zoYUYpOAf`Bjhq)9G$>66&uT#g-q$-93#uFAKbD8EdGPS0z0I-}r3U)~tnaaQ$3;M;{?dU0 ztBsnO#Rl4ltQ?vA;sBGKY&W|mp@xF>3b z;QdWRX~j0Lxqi{4&;Qiumqrp3zCjusV3XFbTj=)oh~aJ#xBTBJ>0h44l4{OJtty&X zA}I7L7o7Rm&J~C!3NkpW5b4m^T}sxo7mI!da5KerLYx(xUZRxb^m`R=>|&gmCJPZc zA0VtNy*}9)$t03CfPHHWPcHWAZAx-hNX5Uhk?j~jc^x_JS{hr3VMG}LN9$i3jQK4ze zeuuT#!QuO>Xzn*kyVM^o&RIzuf_wAcpK)oSHM{vwIhh6{JI2$`f5N{n#Np}Tgxj~LOw^IQFictENx0x2Us}hW5oo(MwH5}` zqmkBlR~W|t0zQ>8U+D1440dshbO-gVx#^_bwPZNW?0^5)?Hg3EF>Mx*0l#<~KqDQq zRSnb(;70;v@&5qpHSiTGLP^S*ORP&to zt5#N$F3l?v#doF&8)Pb2wn-f~4!l*rx9RN}3vQ@MJcH@QYdJ?&_6}J!qa}IcnKFrm zMF0>0KczWuqIh;X{ng_N2j^T|+TC>vJ8FOb(CP-KYa61xd(<}|t}{)2P6m~NMxja0 z-MPo(U!OUyR~u@3T#f2DY9MV2gJ?NC4sl5}$dfXv?dLm308+ivQdS2BnFN}zCwk%W z)b*xAtAw3pWDZp05^_3Kw&81Z+^=PIaT`@LH#@l`<2+NFQNx3<{KbA@SXGmhn_YGv zv(W$1=-TKko?$ynb;07JZCsVusBlhCBZFU`smZ5f+~iD*>U|W41oY>MML z;REIEm+ z<3d2``c~G^PDlUK^y`472t7JvbgUc2RVA`Bj2!o`DoW9@=)&l&V<-*_eQ-T1Uh?rG z(Jq^C8H|jce+uTLzj$vb-K223L#$BTxfv{_QHbXiukh|XYxh&1opxi_pMOf;t4@@> z+3i;MX-@r;Jsn$t*FM>&lFU!uY?5+YG}E<@nP>mh_CUeg?`=8BU;h)na^B%`%r`;w(MkJo(Bey++2Im#;Z(TtD z)%Dhq`6@Z<$9e>kh94t(p5T3J$-6sru<-dHl>m{0nox7WAOqH(tVsWf_Q9n^rd*b+;s=P74xnq zqp=s1(XtK>5)d3)J(Axk_gXE z^zh&*&N}rSO=!}35`X{G^u-a#p@#(X#Un;l7~^;cHS?`l^eyK! zyPR~*JEoc{!_`0;&*MRGr#rTs^~V&WznFzAt_BD>6+-&oesbBz9CWN}q_ip;Gyl}- z9@wj%a1UJaD}nJ{iLuoTs~_&?ZQmdOn)n`re^&N3}V;lGFsWFEBVUIA9%=ciiW zzPj~19hl|LHnvVj2Rve&8`tpWsXLN?|JC)C8-QGn2d*kN1xFkX{6+z@MwW)R zB$mcw3pk02=asN`gE*QI(6l`1jSYIC}+XpjHb z+zH@c3!r;wCDrvUr4H;Oq3nMTPW73r{8QAgt^UblaF(zIA+7CjHsE6!>(a2PH*HPh zPoC0d5t{Dqb!p{1u14m_!K)Ez>h0z;gPfjQCcbMMnAE#a(#L!$DDI2VY0)VMF=f8! zG77S6qF(8cPu*Z^=c?A6r0&g9)RHSc1ZyHF`@{k<%>zw{hCXCNZUzehpQUrDJG&13 zkN?ooj=~8snG|vW8?b(urAvEeV!NZqaL1=={GL*JSof|k+2BF~lpuEpJo?p%t;0+r zmRN~p!m~dgolA0)6K=(EZ32ZRSiHv_2d||&%C;Odv5s)u^rrdS(0stQ)}@qrv4Gj& z_N9&p*#7O5usGeG!?iojq=&8UfB(_GL@gml*^}M7)N!q@PtK}Nc*TBI6)s&5eY+Ag zc4)iAkgz{1DF&c*unGvBIpctMtB*5U6L#2wI0_Q7piq5JHBscX^LDf0hZ)CHTEZ>8 zN9ZAv%offvM{in>%(guC>6WchmG;<4S=|59jb^pSP#@`5e8gpqOW`xu75TMDy^nj9 zy-72shec_=T;#UWarjkvr?TI+J)~|YcwA(9){#<*)HzyvkB)sjk(Sg4(gO&2Fp%xFN@H>?MW+UFfhGwPD$4|VV>25;b*CQ zy^A*UMe>FrdgSAvtZi>t`%8U_#rFU{cU2i2^sB%$Q?7ZXWumRzOgD*qjEan zBH)wnT<~({RyZ#-_aS(!q()}5LZ{oG#}%JGm!itqSc)>JUK;~7QN-1QZYI?66=Lq0 zLhD4aF3)Xp@q#cF`x?)EGISuvB1@1#=Le3J=~BksB_w*(@$S~BfB)6NDLuGVc*$~d zN{r*{LR_q15uY%(B=)bBr%_7riTY$JMz6w29G33H76FeOjMJXc8ZuRePrYp?C_f_G zaU!^~+yev19r-m_S+si@K^<7`1RT{$P@ar;QjM8*&sx>=+V8L+D*5v}4li&ccz7bAwEl_Bh$TLQilF7n8JG+fj0&7S)7oPQ!u7+I!TW+pN)S z*xL!t4m#INx#6nnOQxApeOxnPl}O<7Tjj;#OJ$$NxJ2xL5W zrAw&pBiKk(kYglt74URZRTS4l>14T+M+bia(zU5ql7)d*Cyu$`R?mqqBERtcjEcTo z&<06ehCv-ypYgBQC{$IbQ=j#*^7Y{3QpoE580%tv3Qax9GFuJmbJcjRt+ir=^!7RL zUz2Clr9&{NmwWx^v4>ZtmthxIbAS+?!y}q^_UyIc-I!(CFHxZwxs2^RK zP8qT>+>>2ZCn+SD)g{=sW#UwfVtY{R0NO)wnwV>^Ex{20nP3JVr8!N)E0^X+v)s3F zc<{%#9r@tqp#IvH6lK_-HZa6=6~h{{Z9V#pMlW;!(bxXmm_G5hmIDeZQLEfPQ@j(% zJ*)FqIoVrxbKP{&HKw5Q;ytRQll09^b8+NkZD4lfmd6LZDM{TY*p6LvGv(H<5bg6< z0EHMNRSETZ8Meuc9uC&d;q|Rlr`7j1P+ORfFO#UmQz`?JFiuB)Yp8TjoMBFDO%7-+UC;m0(Y>BnELAkZ)5Vna?j8*!ZeRiq;CX3J@8fB)0IXK+Chwg+w9@mFk} z<&C!%Cmed3{K{>{E%!a?TE#1ynIfBq;I>F7prXrLHjeTxB?JC?5_#=iv{TWp!f?7P zTo8xRZ?WROGvnTXy4;bJv=>x0bB9D6N4dV;f|g9)R@v)DYY}Qxnlcaq4T) z%CRxSRc$jYT@P&wBmdO59;4={2rx+GZmu`RzAKf#;!mar^Q0SDkaB&$`t|E!Dn-*$ zMYSi#FN#~)1Bd|mzL z)br|2rCNzcxz1@{5CdvEBeam&w$QGbI4AI~+-d>9JIDlQ9@X$1LxPj7?NZVHXVqY3 zYJ8G+XqGF)np3s7$8*qB(a6Py(daontK}t2mJa8lteOAS-n`t9j>o=hD&p`38Dq~S z4@&&*5ce8e-1nos-o#^2RBeTpB1R5QKMrbTx!k1g0B0R)<%X1Xmr}Wv%MeMKW5>!D z`=|O^tleAcDW!ZBzjgRr>NRk!VJ?a zZ_xbRKBBuQQdJ$17qTf>YxbA!MYY07u;rILiqwj2#BE}E&oxzOdpo8$v~5|O$01zg zki=t*XPT>XJ3~7H!12dCeJh5G_pH!cT~Ghlf_-Mv)yqvdLI4{X`TEtHEmq$8FwjpM zs|=7Ep%w9S!MMkrYy>u=$Gh`d7r@XvU%LG^uFN;WZ{~SWD(gH-psjIj7&tvR%u#I2q4u{VUFd zU$nRNVaaNaKTz?FjfCYTGH(ob6;f(lJH;0Ig!qBY!jYU{f;~lhe^h*qPpO}@N%Ein z(U1{5rII!Y+i}h-QpH#!f#?oTzhPgVR$lXp*&j!H#I+~P#BC#Q8S9#g&p+C*s0E08 zo__BXVbhGYeGIv@XLk`|NItm$^{YqRk&(_CzP3ARd9QJjleF!|eF>`aE3pc#f^m(b z9M$Tz8bANi^o!)U!w}ePV{Ulh*FAd_o^dh~eq4{6it;C_Jt}U+TMJ*`?(+{$=B;>< zV}n7@BYD9j^Y2(vZPZ6%cc~MWfy7!nMoGi-yT3~7ejD5Evbnfc1ThLQxcn;WU)d$y zo}M+eMn`bGo!!Ccc;niHZhmeDJvptmK56QI|I_w1U`Pi9f!Gezgy4Nnc&{xq&avBu zd*iU_LzM)K;~)%*I)g(@sv895iR^mP=PQB09R)NNA(l68Rk2DEP)=ADX6u@luH{`= z|J3#1tUY)H;M154HzaZnMtawjmbW__)Cea%4{&NGS2@QXxD@(mG}Xjdm^KTNI`Aps z3k;lq2Yh~YI_q$3b{r3v9IsG+r62?$Vl#o$Bl%O~^9F zJivSN#{!{cow2~@u@#fKqOgG`Jh9p_zyg~rG6=E3Ju(kkQBLD+Bg{S^d5LVpU;v%1 zp4H_umE6Uck-MQ4>{7FWuuZF<|JLx^f`co&9)$7$rG#zCMeEN!dQmvLYHum)sVro( zkaP0(Jn>S<ToXI>e2#zxv!`6fsHm5$zTwD^T{}`7aX~<5MIBb(wpUH|JU^53XVAh;8LrQ+_7$W z$mYDA_dB|Z$0TFcqhK(4E>AQup;^^q8Ev`wd2X~0cxGllPJ)ZH#tY^Aq!q?`o@u?# z;0Zhe4l(IbqZQBp(Dc<(Kp5-IF5HF(KEzjz6=S`zrSQyll6W~J_4cI9CMSA?M!wAvanSoVM#qrV>{WgqyN+F?gH>w zFwdy2Tg5tYpG@<|%wa#n*A?&+ZWU8q&!oas<*63?o_m6&muWaS#yGD@_-Cq3EK_OH zAP4Wp19R7&E9xo!@|3&(0FmtG&{Cyyy&z*e^`@+d@B&geia!1ei#O=jK8 zZ*+z!Ts}!qrMlN;8g0wnMsh#@)!;^kZKskal1wv<7Lc5KW~|xiZDTyrr1BW#3zcRp zefrnR)WmZ~mfN1kBx1$XY;CWT5Ara<3^?iQSxuo^At%muAYkrdD)9AcQ(UNtLz{C` z`#KA_8A>CNn@caHcAg9PD0M7qn!pye3yC+)!C*bR40kp3SP1(Ha;YWgapGmImgi-w zcZCQ(e5#B`VtGFJ!0GsTZ;3u2-)oLq=K5)X7y!N$ci;|{m3q!H zyVZ`BE@yUs|JL(comp;TDfXvAae@y|#;Mp?EcY_XWb&{+@HowR9P^58o|K@pvDl=3 zRug{g0mwP4G0(FDepC_(9c$+1o0CTIm6e9RsT2niNU8wpYIrpm=gTCbLB}Dp)Kt7Q ziH!-JfP(z}%Gk9K`{^sanGH%@8=CY!mQ@)()0at}Di ztw3+KFU;F`$<16T^Q$78iYmjeSW9#vTd4fUIl*8ndeezpB&iAwZ&wd0O7cWGE9ig! z&@O-0uuqrbdR8pirq|oL&P!Jozloa$3QY_y|;)`^~i=$ zP7ZO{_ODu=2Jq+TPc(X+Erag2B$L9E&pE232MWqb$3jkPhH3LVEe5Whg?S<}5;8jD zXeTG$sOp!|=+cF_kS=-P^#;1>({pMoa_*Mr|Iz1dBukrgo*C9gbC5&lirQxh0OzRZ z+P(_9lqF_+_rAt-i^tw^f_m{(ZzdMDtvkre99*%%#Z@%s(q%bVqMjwv=aJ$|^$oxo z1QJhb-m+b{K45U$xKa*5t*c?@LN}7!g;h%F7p~MhhU<}>o}kq!B2_$&aB|tNJxb3) z?`WU@(&n$V8!bELo^_8M&w5)8V#`qn?AJ>887rI~o|W+n2~u$9OGD_THM88>u){WT z4tffF@u+5QM?aN$yx#guX_)$j{=guFmUhS_bQNA06UZBmNIy0YIUmlwj5RJuUGC4L z!BcRh%=cs@Zgh+&-M<90V;omOrNAM!)2B7lM%?km zRee=c@3sf6es2m~`yWkbYnA5lUY`aP?$I05J!<`qtp)5r+s=|9%K{HmT~ff*rv*`% zsV3}eeXyHWPtTg8ESsAkj+r<L@bcl4z^sJ`wu97bP&a>p> z8;HW^(!F|Fbn0r1rBN*#KmXLvOVpCz&HfNKb*xCPZH}T|Yz*`r&(^*x3l^m$ea>5V z%+Vdx=_T;menPv3-qoFZ;v=WF_l2G_kQf{jiuEHIRcby)_?%;*WYyJz!^Tiu%CRdI zVt7-|e;Vn9(}Jq;HcwNI1uF1#WRo?ei=kdCmFh_p(79kFP(aB9)UsMde0Gc`Msh#~ zt`pN!R@&76)xb74wi5~MWjMx_UEo^=H#EWX`{s1*vP)Siq_soXKW0d`&R{Z@g!Tz zBExCtFGVcmel_$sTp!k~V^X4m)af*@5ZdcjzHA%QILbKW8rroC(H;(Sisi*n_A+s{ z#)@3gzjOcAz`rfMzUeA|3dOtBn$9L>DiuL1fO`5G_`ED5B#)-Oqp8W=_>HxTu+l=R zQ~+_#Yj;ez^I@LU1SVwQ=a5IHYxNwq31PWAA32D8@^1Pe-V)SKjpAsxx9+AQDKc@? z_peF2f8B=pc{$E8iuj%>q@j$2?YcW~GjfYe#nrXu(QO66Qs`SBCnSCqogc()9_A%2 zGsh?Q8a!b8*O5yv_R!`>ROibtBmdWrPrHup6p|O*8<0Wbx*09O)7E0#6M_e7{NlAX zs`B>z4|&UGj&ANxD{vi+ay}sOOj=y~b{Pm9@Nt^;Ff>(Yq{5`Rb!BZU#&)-Nal8y@8E?w86&1E=Ow$6H+M}O_2m9hZ{jDBpIY**OUBxqSMsE0 zFcpg9W_kM8(B-&T;v(FZ#&u@kv^!lc_4O?l8`&2UykW4TjQZDEqszWDAnzqbJkBaK zqLSIPXHBcNfB(_Bsf9AO6@bS^Z2mRNYSYe^bIWa&Xw-N4Uk@4P3f&2gl_E@WvWOnl|J#e4Y7Bq>n$HzG4rm5$R&pXPD*xX&Y} zG~1G-$-S9z_js?CrmpqwRJXc0KN8*C+3E6JKuBDN0f zV-@unY!x}ta%ktPS*4->(y3u|B!rhckEpJXO>M!4C#DEB@K~s?X=S%l-Mf@7Wojgc za0%`|8uQN->X-I1v~f&hjfcz^gMvM4(8|6C%Txc; z^5f$htvgngBxRNYc9jPOI`R+ntx!0!t)z{ho0DG5?BlnM~YWGvoSn+93*+Nt5&Zt?z zYZs9ONfdkxDuKZ1SbENrJ@6(QxgeE(>W0F%w-t^qgeMC$7+Uj%M=s?0OtV=1ng)=| zls;n?$qni6U45*XRgm+6)DCf9o92`wN~OD>T}E8+x&PGYH9VMs?s^|;;=E08VbUjP zi!9gyNa^oi34w!kQkQewSLA1w3yb|jQixq$$m4pc+}#Fo_}8jhzuE8QvPWrFFr#r8 z?O#cbrw?at*DEP~GJ4go@2RzlCL4z>k8Og^?SI9;_^X2GuNvosIe0%3L zgCaYCGI9yUaC%j=pKp#vB&d@o2d}+-mIG;>eW9+I*-iOd>%XC-P2-?TxW4DqAO7Wi=Nb_p4D{f^N9da@~tJ2CMx&B8r zSIbXP-V{$N3!T1Z0)f2;ImqMkuR_xt0s{ zpVF#gtg_z8=yKv3dNa`U`Iz6Gp!sS5U!0N9S5a#&AV>w~ior${Zc1BLku1rF@Q5lB%qGH524^Ih`)h{ih@z2qQhc zMS2~V$P{iI0m$iIreiC@%$g}39-PF=GtN0bN_MF((VtO~>}%$zUR7?+iEfiT`&ICD z?yN2^ppgtQugo`q+0UhCcw*V@wMbSsU|5jbN2eICvT|Qm=3ChF(^9PCWB<@C*+Oj_ zgN{aeR>hLwWq@PHKjUAX;#7U7lRk^=vcop%2PXs4n_{2wI*5^$4~!Al+MO1pM#{%= z86c2ATpS*I_o>y10F#v*SJg%Ps^^_ybJequN$0IZ*#u*!U#(ht>IeVP^!uU$p8oZN zd@xDNZ3ivDJXe)JGtj5LrF#@$z;M~;t`0txnd7OPL2YWKm7TKK^gfl#hHX@B`JRp) zUc6C(ddjwuMmmw(j2iU61lc{GgssB?<~c0HX0@u9JazW;rjn#C4?g3XN!VJ9^78prPNd*q zQ&-Q=BkI1CdIPa4mca)(9W&CN2?LY3_u%!XchodL|I_vln6F`$&M`^z63huBp$5FG zTMxjkFk=qY!Az~CH= zoE~Vs*fams_2M^eB=`RJAB{6^QzwC(XEo%uc3cin5J%0^rg^3}%)aBP#UYWgU;qo( zq3Oj_xM$k?lV=#qRa;V(k1g@+q}y$AozIM#^1IHDlq?yzAmbJ2(N;;OI zRGfUcAKq@1=yFLIY+&*|YNsd7sncYekuV-xfsEj18Rn$<838+vLCEY6)`%wcMa4G# z3KAh89u9cG6w7sH1#oZ=88lAqG{{QRy|g+H48*Ui+Q!?4B?J+H-}SGi^yTvO_*C=q z4oJbxc$nEgZ3X1ctFBZ3*7U|PtAbo)kOw?b^0R_HI46vH*OZS(%v>oP5-@nlq~NH| zMtXx%*sKURJm=-^ds4HGnK|~QC)76@ioF#M2e$@-RY~KLI}T`@5&zfppR_80^0NSP zanq+-MY`PJDrHH-5PDaUw#R*k84lsp0xB^nDpZVsc_FcndK)Nj+LHi!_Y|unOgAV5 z;B_4O?z0wo%$OAJm=ub8D znS9)=x-@+~Z{K0BFQMV%;_V=vhwP^UgQyOE=IKqRFF;DYJ z1x`5Vaf+3-hJXLl^&GrtNCU5Nno%xWkO&{nzJ0r%gEKWLH$uP#Wx?YgopMUZ%CGZf zh5#hwSIcG!^SRke;jvElCpqXUj4f^*y!?d5as_9Gb~S18n9X-AS4gme>_Zg;oZ`IG zQEA@wt`*%R%M!ysFFe=PWt5e<-(!mq($N3Z;=7)-UWv1n{{V!dMUeTZyIUXvdK1%{YC$fx6=MwYGZJNU^9=U%>t9iX z!CHFkV^V3sp5yTI!8%ujG{n|?M=1!nc%qc#yq$jU`4!ImLin|FuFkeFIJC2kzE~q~ zzIzw-$6?dGcH(NL{oRqt2y;ocdDY#_w+gIeXD#>1=O(eQywo@Z<%b_B=kc!&H5}yJ zk4IR;L;uzJ=2f3LA@iQbyKM@8_?E}!a2a-z+?@N@os6e?Nh7WrO}prIR_GCgXba=1 zJabLD&eMa2131Ne+LBXxYIUo)oL;FjPM1XIcx)~QYR}N_8pp&I(k}N>;aOL??UCNT zj+7InW1elfdLHSfF#gI?%DaY14gNKqI6R%*xCXu+s!^>Zj@W3f&;Qos_1mYjw2`n* zP~?tqE0EWvN%ZRirArunFnaW_n#*FjIoa!0lZ~uvd^WmbE-i~W*x`bn*{@N#j4CSR z9Aq)wpT@k5=_QJ6x)W|F%AA27Ip?Pp&Fa(ak(HMqDIn**IHNCLy;s<}skNczx|%eX z4=&A(oQ58??A{c4ZZu0dW+1$fuJ6mZsIOR2W{CsaYw)NowaLzUeQWJ$@~SeA zPxuD~M%0wA)b-suGSIN=!Nxeip<@Y?fq~n#d~~MN+UR$;eN5}w%E+q{46!*G=dWtv zd{<*}75j;qjBSQqbH#lQUlnM}sfAhc>EwU^(DM(6A-wCD+i?nVGtgw$rMyJJ%JH5K zJuBk#k9QODJ)AoC7jr$(81Tbz&qG}1svhRb^JW)nayM{5J?P8Ql}$>n-K>u@yo+qa z@^jj~Q^4^_{gVJ^EI`P~?Ox7m?}oX{hrDXL^*XDF^2(>kt^h-W(BvLF?^aUJ0d!`5v8g`IV1x(45NL zow*0U^{Tqgq_NClOuM#u>N8&=sdM5ik5>yp)T*M4+e>i3IpF6!jPp_IQ^jo;-aCNh zm{+my-6QIjkChziYK9MK(T30;!66UC`x*md=FdUp5XFipmH04z8{cGc5laoCrj!VQBUud#) zEJ^b{dwLq+=C=tc3>$WGNw2oRyHZIWRwgn|$N$sfwENX<3O_B~!B8@Bo}QIO%Ltc~ zyK?Sf>zes)TU3(sKJzF~**Ox~qRM1B`Be1B3TrFGQ4yzGZXu-H!x`ib-%9PmT~*Zc zvucgWE~h)8z=y;Z>p5kMcO-VNY0#U^jx!?phm7QBipx2dEj1*07&*&UUDdSPNp4F+ z7=f97{9t0S8aeF?Z;__j3CfR~@vkcji*rd!euvTFF$OT%C=hW}9dK*YT}Z+22!l^7-A64RqcN^Pp)Xc>YE^zUk|a zTH&oYx>oW#xf-c+(sW!I(OeL@;lcT z^flsov~1e6*l%7O<4I#)hs zl&HT^Q%&#K|IpCYLl=>Xl|4wsa$3r$wV!Y-tr_5P&3q?6*9+T``*d zf6?AW>%hpa@5Alda3eVNsP66y7UKl; z$7=Z~M^touqnFo&vS~8K2tI4LIXs%+E%b}u?Gnb`Q->pQ80dMguEErbrDo1bkmqdw z(aX|CZQ?Sjte6G11C0JP(rK<$omH?KkWO>-uZP9T^`zE^w4-QP&Axn2`S%=GpZKdv zlI!M1X?|RlBPWXO%Ow~~)Ky~KY>t0Q&?Ie7n0J(z~f= zVPL!CV$=|T_C#D5_-wp*t9k3*c3&C ze%-0QaH_PkV2DCC6yJBgCGxWja3CZh9HjU$P!&WnlC6j??pgO?EBs{-#Uull0C^=p zoU|CXTR8>XHeuAXHpLFBuJ1EUI1(tgC246`TahC5}e&7_K|CR_n~U80;Wp)gM4QbFf4N zEb%{W(J_=r0%10vi7LyWF{KTVnV;S~ZfA3XjHLyhNeDv~D{h>liF0rV`XmRfJvTG1 zqtg0z=jn$^d<2~hiD=0nE&GP9&m1pT5wU6)Ycrn~?CqEj;H?RkVyfYIu|HZq{U=Y# zEN=3Mq*~T5O$FN1ZE8)Nk*ODC@!nA<(1(v z$Sx&?4a=1C(cz9fZrfHwLP)rb@WfR$P!S=Q_0`lo@BL<`L)pewz%+`xy@8)2u3`&r z1rPMhC~jf2p&Ik?_ht0>D`O3cm>eZN%YquhL%J_6YV(i(8yjvyZ|Ec}INHFSz&Ob1 z^Zm@ERksiMxU#~ItM1I2bb25-Y9>;6PxgNs&NkG61S`8Y^F<{`f4_gwXM>YHFnuy) zppw9A$gBoT)Y0LPKI;rnxFZblTvQm@;TM%Wz6-Qz zZ32fM)$1n>Fa%qF75ms#jdD#fEKuRKl&>Jh4iu}T-t>sKeCe{+e$%_X1USoePiu>> zqlUnHm7djfUk5g9!1sJfr1H6OvB}`G6mNM0EeLQnknAal%;+XUZh`>|7dgDOnZ#^0 zFBm9Z>y<7|xDn>Oh^+KgTh5yKurqTVqr)pAX@31yQU4n)vFMZodD`a{p25+D)D}fx zA9?{J)#;9deW$*ItLx1UQ#&oefV_9SHFCR$N;APl6`Wd4!U$vhxKh|C1PbAGlM^mw zi7}wWVPka7ZYghUdV&h9C|q1o&{@Mq7DTNJybsrz#qV3OqZk~eiHDvLBXVHq)C@sj zYq7Do=5Z1~Wh|$Oa?QvJq*SGPdU-L}_vk(S^|6W8$>3i6zKCK48M@~Y=)LE!#n2F3 zJiM9W2hdj!EC$FhQZNp59k6@vQD6Dx+kLumC$%9p)%8RZZIDQm?0*h6G>l7K=Uoz` zv1qVphFnMoGF1LQb1R$*SGvu`4aV|LVJ5V|PK2{X43q3~5Qy=-noY-}xMU8g&EO7D zvYzwzRQhKZU`J^uY%;V8Vua~ry<=ATi*n0P9|^hnjG+yZl>~8*+~k$)4ZgvKLVCnt zcne9~Iw6yie#HPhik;3M8O z0s1>WNc_zj%f&JEeHC|x-X@mQ?X2oJv3S^^G* zTKd3*0Oys6ARKj6BDbh;-Re6##$spho7%#X?Zz$7GE*ev&Gf2f%${PdQi*P!BF>Vb zCo0{NaRYf_;Ql5LeO9b4<_Rgsi?GaUp#xUcR{7(FK%IZY^_PG!jqXav2)#92A4Hz5 z>MzceESkMUEZ?Ui{ef~({DZT?k*_Y*-GKl1)KA~fst;=G6HHWY5X>##E)V=zf-Z6n z>8Puky_#sgd9Sx>h=Z0%sfC3ls(ih_6lh=PutkJ(>c3VfG|v6kVb>NcEDXkr6P;!1 zKmhgp1=Y9VFdQJ(@eJ?o0-a^op)Om;0suTzLwG{3lhO^zGQcT#1`jk*}d}k6(;4X$8f8~m|I8B~?kX{D8%v5@#%XyzHs>oE+x6QJ> z3Gj)|{}WjmB|g}!QqEdt0P6RuCaF(km{%^3q5|u%jr0bBtlCIiO&OQ>%C55;>T3^N z{^}`zF6C_2B}-kL%)3Iv|LTSvh_U61qf3LC^JHY7$OG-Z-vg-1)SNwv+_^Q|e^w^p z|#;f9A+q;l>d*P51@u!69?b<3waeL3I_g90r5JI=g2X1 zBvKl~WC!mu6`}I<4Vd0Voll}b;O10tLjNz74FHSl?=Y%=VyhXu9ENe}g6G+Uy5c>#;6cbW=UJ8gx~ch_0AKkt|ROjsez44nRS_*Z_9=C~4J$_GmHZS>*S zp?a%KV!`;`^XkCc9=Opp_whia=h5pG3tUDyr_Vk6f|MiZ)vEiu8g?Y)lhx?n)f+(Wswk7}3}ZJs)*N?leq#7H zcOw^Kj~9#sIOu`_FXik=6N`31{%~G0{8_*Qh>fa`L?#1sd?yIhPefnpNY-(J0yq|W z*0rB7+lcs&0p~Sa{t`vSQJ7)Sb&fa1=y8>2Ev_Lp^`PoJHWL6({qem%2Yl2=TgF7@ zy=eZ5Z&bL_VVJxP(Rbbb#^pO((bpW7_NX$Nw4NU?4*%8HEGY^f9vaJsSALB^{;3TP zQT13q30w$`Buofx-TSos{+BD#hf&9Jk5UY^5ybJM@PKV2y}{CEuF|VydOOZ14&@4QR8{|p`S;L4%31^J2HAYn zjCHFae%nn2s!(XE=AKKlhg{|-x8B1AN$IaN!Ch3V89%=_X8(Jk!h_j1@8i=vBGl=I`G+7-Q@<^Rs?n4R-FQowBSM(;E_`>bkLa>mZsV%A8*v`|vwlyD{?p z8>D#D-0rADPTOS04%OK5m@o@9dThrp7QXwuRpm!!*SIJ*!F27<=ST-lrQe_HBqJ>Q zTRRBTuAn(g_&<22>CA$jyxAZ7DEzwS6Ly77aJJl9ya|NmxHhSkc6udy7P(m9DDnUz zmfz4S{Cnrbp2GZ0Sci7g6P;fK0M0a|%jEc$je)7jKMUz|F+ zORr&ZU{Jj2yR2ibk{$0Sng!JxPFANIU}4VSCb4++>>-++(NnMBphDQmRk?N+TMN@b zzqDp)pZ#LND=PUD$cK_OT5O{OO*KUryQ2!)|5gMfiIPF zn}T}NP1Yyvlk3V&3h$M_qolw&_0}8B$AYaK**Xl%XgE5%%gDd7Eg8ve z&w8#$(XAH}2SyJCHcmOFA2u63Oh+wHhRJR`X)6|)Ig!|b;8X2zoV~0X*4!zwj*pf& z4&0_eoR_=JzZ;2U(!8LURcfk>Qcx3GvY8{5f(FCq2vcTAxU^KVJK)B|t(6THTU&C6 zziMeDi9PA11S0uOe83iN0P0x8X$<;`{P)AmFDG6~7ZrlGVukK~sI1jiV$&uyV>QQG zQDo9*rj<^! z!V~M9JbMwTB$!FbZt&v#k_W621U}SQ`dr0S0%_IZViW8yI@0!53Cbqv5OACh)3eFu zsMfZkdu`+32%G_wkc%2QnYixvezH?akbU*8T(^2XfMc~n!j4mve#2ML>JQg74NmCX zMiKOuEnQ);O}rvhiGtSbF!vxUo#oPnEhLu}8$|qA@lA5V?(cWpDougrymbSF6n!9# z8`P{Z`=D&upW!Jd2)QZL)@d-Dk*<04vDu#=BW_)|eLTp%mR;jUClWuIhu_=J)p4HT zx`_aF+hk`~)mbB`-z52jenm-sYOcu!^v#aQ=NjqatAl9zY!f}|Z;4=!pPF5<(nC8) zALpIUBPjsE*?J9eV*bcy4inQl(wya=1)zWc2LPDa+xtEI^|bDQ9 zb?n&$9g2oiY8;zsa`j_fLLj26-M3QyBe&^`Ibi-FI0xxf6iTzS6N8k&wx-M zdEXg$DkPSE%go_Z zwDr3?VW9gmNQ%3-jTdGPGRdcAw9(9*{<>SvK;SI7IbCSYAsAhF~P z|K$7hSMdwOPn_MKgnYhtpU*#|bD_)yZBRg=s?D9D&bRpPKZuuy2RHB}V#GO~{*un> zr*)mlFU*L^oKo_21VWDEFCAX=>4H2)rNoncsSMukRpJ@xVmz2wD68AD?~1>gDQ(py z2s54+*0=~nxQU=%;5}+6I1vc=da1U+VxE&!+FzvqoIUw={(P}FKzf?qPe$k1_DA(L z9%KAJS)O7WqvQoq-{}_j=kh@7(3VQ$BRnSQKzx`qFyDoDj(%Hlr7oRm7uV14IfLfX zmVV?zMbWoVr|fpc7(}oHslacOZJN#=CEOkC>9z2Wuw|i7pB=Ep)&J5sAND z$1Ng;Gb&obtlqE4dP-;7Mi5mD2#<=~j@J+cbT_;3irmhAu!vKGAqjW_jwt=)Az%G`T$w+p`+BOd+Y)827OE)hgU@V|St&Gk*7|<<@@zhLl$>I0= zIv=Z8o{ad&JAD}&f=G$Ig!YttH}w_S!&YRxo%GD=FHu(+Kc6F{Z1qY{`Otmb@7tF> zztMF%w^98$3#RcFy|j{452$5#<|Amx_)zqc+Yu8c${de~MO>q; zxDO7)14!GpdZrMVUY(-_3e2-g>>#r8?Z*R5^vN5CH9Nu0+I`#1n7F4rXxRHYXW{b! zu?e*UPjX|qpR0fqK%IBftGh6}RF#nSl%}STMvL_(0lt#y3jo+>&Y6?d+yWiVT%pc7 zR1(Slmnk(ndPoJ0VA^c&!9y*p;GVlLs~&hAGUKJ+q=K+ab9d|tzYVO_b&n}E5B;*u zAaIRyBUa;Hnw&OHLyG(*@vUx6`28?@9H`9%aBpCb4o{Kl=BA*gA0Tc~=)M0wY^)s3)evf6!cUCz>(}~f?nMPvVH_WEquSa>D4PO1TBbTizlXagzh|Hi+y=`OoxejyO!mCBlM1?(>A}d+QDN;GpRZ5 zn+g^(OQH0av5f!xsGGxtPLv#(0Rtc;xc-zI4LxmQBNb#|p%NakA6jv<3WWY!BC)^z z1bbV+GM(LVzhT(b`CPF<(Ssmt^D9xp^FDIF>Gu);MAP~Oit4{Ixi-LLexS`wEg^_!b&_+<;? z<**w>G&jXg`u9K|xgH_a}K)Oc-vlqPQIQ#WHDriQus!?z{WAH+qi$=(n4eP*A|QZ`uA3ymFW*&k8Nr)#Aiqmg*p92daO_vkUs zBgrntt19x!``=6U2Gl~1h&U`3y04t2JW854An?u1;BED~A0YXe9&241X=r-phr};k z|B`asZt-1YTc=h0E5!4S;LzSLZ$?YRMpPAlBafhE`bUr==4N^ln$)6EB?8Z^S3GE! zwQ*vgw_EwnwZfNCB3Nr3j}WYU0+JENpq2KKgl>s$A_c*Tz6Vm5S+bihDJt9~A2^#Y zE1p_a4``@7CB=>zOx_*wlz)^kwfr&f={yjd%~YFSTQE*{!2)IH=lKYHtPES1g-S^X z!v8$#G|;p6Y>&SoXB|%%FMD?tAJeyP(bmfGnUp`rp-qkj&PV35c`iWT_f((hb2&7C zAW=IV_oeVMlCLeu2b0m{gkG%NJAU#C>c!>fJInlScA8e(4fEM$vh74LOBhFGPaR7y zP+MVNN4Bn`5aJ8sF&cX;>@K_!Tm`i1v)fj)!@0MRHV#YL<_(+&kW%rntA}X)VTTdI ziLPRk)jSEZ`>E_kkP^47)6Utnz6L2a98-xKu%}pU<#n33<-N{xRkv?2m1)osKMAf_ zF?_8Y0Is$6cq>QCCPvsnjGAC*Ns;iLjW+05KJx$f_Pf~ec*BbnMfQ*PJTvv@7lIo{ z=ge-x)!?yoz4fH(N}#4qF|^})yIj9{iba*@NRzXCdA#zS(}P_1J!~hjwvN{Ql980g zjFxsnAexn04box9UiEa4U;i0H?b->-Y{sK6lZnDwTGtDkVYEE?L+1B^qyuSpnLdkt zF*B|Ad2QfVBJ@0CRMlwv^(%oGWd@BJ;~)91b2q*o9jK{zP5J2@^=1dV%kO{NEl)Z4Od9X67OMU@gb8m5 zqiYaJYrj%Bd}e{XAk(kblW(Ou@IV`2p#JY%K43KQUAQ-U3k%}1{JEVA-!5}e#uS|(|r;=!?$iT-(Pzq}DuB>||GeDwd<8 zuROjwMdf$xP!!@PK$d|DhQv3jo>L8us)MWk{_Qw67fIPs=SroGkLb&L6fmK;>2$2r z$JJvP$e6=+&W96Et5IDXYW9~ zcQ|KRP&|Jv@+NBazG~6s-|Bk0PoADU{wbO+tpnqHD^6;GbXIR~^C@_QD=W&yCr+HZ zRj0P<-h7#R67_5zDEkN8@M)kJ&oTr)sCCqhDQ5k2lAc- z{yvwtAq&&eVizG+r>HC6F+e64|7_yLZA`C?rrku-Vi;lqHc;lnlvCG_)u3(};4)mS z0T#shh>)6PxSozh0m1J?&x4I9MDGKrc5HkRgx2-~il2Rho0&yVSWGAp-7v}z)4=X< zsrck`!6Gkd{Web=q+)#oDpIXo0MR8-HjLx^mz~)a!bo$ELMA^qUZ8%CpS#`6(XFgj zI>Q}rjy6wYWG|2I!jiLRa!INCB=h64>wH&J@H#oUQs6~By@&S|mjx|MRI;0V^W@QU zMpE=ha$olZI!oU2jcVP)#NO*^9U)!4sYCFVtw{9P=^>t{p)g$l$JtjFXPrtvu9r(P zrBpO&UDmXgF2d!t`;2sb{GLpBTbKPxyU+xLp^3#72c4&I%p{BWBPGIvuNSWmbaBj11MF083&?2iN{|l3c5sdZLb1{^ zuIg8izKuS^PH=gxLt$L`BNT9|zpu6V@6{>i0P(lpvnF=k*$zm9-8TCZTGp;higLFo z*jCI1&|G92M*7!wFQs(#N;|Xg@^FsB5?4Cu`I0)eYL2?iGz^im&>lu$w8aFJy-n{c>c6S`!CP5h$Yb(sKar z_PkV0;oTqi?5FIUO@Zr;;XW_X%+-_E+m)|Fd}umqOm%7aV>vrMxL?Ch5$E*DCORh9 zoLyt5RqxIJ_p+S(^(`+|8_r@2jG553!CWl7aoaOS+zx!*XNpZ~{Que(DS!s%m{9-) z=VX0l7|wIu>Q$LruK~Fu59r?>9a~FDd)zR6b_8Q`f~r$dQ&*pv4Gb`D1mPKEsH(wp zJbkFY92;NbiXSsE!h1o3K{7$|V7kEc>d>zYfW?`DnIz3DJ89_s5 zK|+^VVs_FbRrfA(ia&z_2>(r7;5Es+DV0Fv@C?+y^)pAQDEY;8RP?zh9AjV-b*umO zFeOrI|3;eLDaL#r*w-A0yPnG*eZ%yXifWZG>2*lp_pjm~zHH8Q;DeB&N4d0d`1`vC z2a3Qx+lb5W;=DMCC9c5BXg0o0RF<_Jac)6OEcH6=x1749oGjj-jdk&cFMWe^VH|aZ+4_@CstK- zF5cAbk@pzwM6DCl1s?iGY|_I1=bKZPpTYa8-jOB*U8~Skcg}PI{ZLeV*B;x@QNi}= z?c}0sWBS9)VN#edM4j2XCR520D6OqP6}qM9kbw-g2#*(^iB5Qpw}XfVzECO@@`H`2Msyk(W|{R}WtjL2S(hHG(E={7Qk*Z{OuOU07idsh z$}IGIf?-8&kaGR8%-DFW?;`1ln2g2_e9~cF=~L;M&8Ih!3E-@5qp}!+QHcGQ#__c1 z>pfD1(Jk<P3Fv%1#I4H}R3>;WC z(Dfoe&xH14Q=C!tvW?7p2z~L<9#mZpeb(vXipoK~T5fOd<2Tr!a~ceN8~1EmvWuGqyF_4bq5z-%7mSeo_tJF3i;v9axtaj%9v-hV zYh2&ZH*5-Y*&p3JQbPD`3ZqT@yJD(y=3X*v~#)H~;v#xTOUi zmH{(Jn&tG4havfZ;NbwsjhJJ^{V0~L#C5oQ@jCn};4iNag*`{Jjq&fEChWM85$p90 z8eSh`;BA?A_)mzotA~!jJYF>bI#-PIn7f^B>A7j5QYvhR)=~Ct8G)Pz^&n%|pAqbj z!C~{S9uJPnxC(f+XD>t%2@2<345;6=r6AxGq<_F6%s3gO07w}me(9J z#bGx@6sHvF;X`+ue!4_us-!#pkUgp3EjAnvmUyUa1tUkq$FCUh{zWAuF`-!i08)(` z2l5I9WQ)a*lkrIJf9D-+P~4-43AFfj2HduHWXOqkiq8uz=6%&@Jox->gZjMu`izrm z^=^11;05t_0eamXzh-@tDC2a^57QF0$D0wIIws=IAx$|tG=aLJ!J{OM_YToCvaNT+ zWj$#V4Te{YPTJc^n<0t=bnF)~!_6!Rmk!jzQ^$I z3&(%?T}B5Ib3%_=6y{%hLN1niJY<2A3h!b2D6`P!kHvam001zl(o8;xSmKP3JDt-w9w zLp4##&xq6SJ^g^ftr+dT7__Dvc@;M)vC`rDYOoP{PrAxh?rQn4vM2R`01n+;*iME#|& zv4^=u-5*6pykCqAQYz1RxV%o65Le|&IIPQVt#>K>!8^3bP~@!wO}&A7W>+p?TLC#&%t`~2 zo7XBrz((mUM9DY}R5J$IpDB6qjm(CB;j$IbX67IMpc-l6f?hU_yK7U0lIkWHKNZ4CWE0>_vSWBOlA*(yDI>g7So0SW5k1<}h0O}Hmc90O@U z!*$DwFMOtoVAE>sqdF}{fP(E*9eR`;k2YJZ%Jjm?hL6u^FV;KHJC^Q7ZGV{+ZJkmq zVu3%*4rJd5cUmkFE3y=+BxXhR3!BFun-cFR@wA*94Y=g6&~${^!M-pTuYriWEE!B3 z3a~Wy<{}0UY$8I+RDaGiwY+^5Qz?Z**d|!OKS-m@_wA!3bcyH!J!#IW`qtlQeS?K; zCI?2G-yg6fI8f+pXGld~F!OCo6SsiTjG^}10FI}?U~FazeIX^R;86>QY{%Hc`1b&D zPB}bgn^2?Wm146&Yb;5K!^SJ+tmdluV|kdEcQ#euwpSO%DO zoNytE{#L~wotX&Hd@fxalsJ0xr_To`kRnrH(3+8!OnAiZB~+t6a$ASbCS^a6`m+Ru3wz(i_^#To>}l(NhfCGA8K(KYh1s}MF9>dfhTGP@tzI9o~ zAbmKwc%TzZRIgwQ%Z0VOJ*v=Q0*FxcC1Sk z{3v{TmAIuX-f#-`2XE&4IiEx(7W|j`aq5%wP)#qDGd%mTN3`D)r~AFD)r|e0WcSd;|PIO#wUpD5jJxqnIeByqjz>ep;_|5F&Dm@7_pknnz zb5>?2)2Q_YfyF%4rkV4Ox`8t5^hJc>C$;pA#F=lov#JBm1IOl!&g|ZJ|No|a!5jxH zVQ6Aak}u*s70##-Ad}Ge*dndwSBhXN`W&T-CDMoK!{blniBy0@<*wy!pLE;KvfG4& zdX%HL{D!`R)!xiIWK}VMu2ozRnT7#x*L|{#bJXer-7Pj#RWTK#^Un1?B=gT7&{Njk zz6f?A=z8PUPfO;YD@@(bOz)~^UNx9^Ca}4sqy9^Ca_h(0I5FE3S_YXu`6_pN3!r_z z*kBJ5`bK+I$k!(YRzbzhAFs5hT6*b6Cy9kLGri3i(swD6GBYgVynIB!Sz_CRw}5{H zE{&=GRY`=v-wn-xb_{Jx7qxej91|<7#u_A>i%MEA&w|V9EL#6(HV$^CY{Gt&wbfU^ z?0$)+Te-=)o28|2;g%fO%e5hQ`q;c`c3EB{65}1Q>3+nc%ACUJ=DR^&l{@|dCt!)h zR}RBcG7)wpUIH}7rrbnpL6GiajbxmhG*ouWt_h@G^ng8gEqr-@mj41L^z2?$_5!!} zT9Gz8yF-<64VSOKY|lQ^R+{fCi>MR}#CE{?xW7o#6XweV?Bi5>gElR%_z&(fBe56S zJ2M)md3Ij@TWM_k}e-EXd+1!E8FJR*|bA zwu?bnu*8d^=3_X}4HKnMfpO@GA-lh*6Y0%2Js}+ZEh_^nV%$&I=-a)H)wS7qjlj#u ztkPxNosWy&jOQD>Qb{n-Bc9OsL{)rSd|!*0=%xgTA1RewV*o$84`B)XtE&bVC-*a6 zP;3ZS7@c#;8HH_H25J?5AjI{wyeiu_pObYO>BgZ~zbIq|R-pJ|+5Z(EzIftlVB9i{ zRd0ix5o_kj^vm%X7UTKN$K~XH7|;PXnRLKIgV;iY!*Kpe(gmZl6r0L+@>xQ+Ug?n{ z;R>0NuYxy)u`94(M5-a&T%UC^?EecrvP#vJcjnOJq!jRTpLgip>)DU5 zHG%;Aep_g~p>{Y&>wM1hh$41A*Z*?fVVcicP{qBo%bXJC<(YZ6sl!Hv! ziKWpYqXp5%w&}Ea%45nGoxJbprD5O8k#26+fTJOr{Q~5g#)jFEF7ZsZr_+HV7o7(@(9#?gf`}=!fo-uTCBA>8` zJvMV{T6o0PG+ZU@4_pravD}K{-QJT3ZO)#pR_vDW|DZ`r3$_hbyxXkPzF!7KMO-Hm{Q?h|5ehrkhsP$$ zQQFk?#tEz@_I(3_7{|Q91>x8E^(V}fyw}!i(`l<9-+uz_F3ulY85))4JR6B0M@R?m zurQ+%kL;hMt{86jQ-fv`Is7y|{Bj;9)-2naoBnJK4Job!ezMQa2J5kK@H-DD5CAr0 z3o5-CotnexoH~)G&wqMm)KP?a`jA_Vv#qxdVi~$r9p=eO3oI!!xHS{xVvM?@p{*V1C$!~x>c7;4D|nWFeWQ@#yaX$#b_DocpoN3mkI~EOuGL{P5Osi zTjk6oI!1iX`dWm@ZNxklHQ2=f0(7$FM_wNjj+bY>moE}(ecs)fk@+I0XTyu2b-z&* zCudR40Dg38z24YNnXY#qYt3|l6}*s2+aaDg3HW1jB~rOgN+CCQ=%^%w7h~cy0XP- z4Tc^G3l^|stnO9hcE>we7P-{=0Of*=!NK1A{atHD!hy=4=Z+T_Qo$JrEK_0 zGuyhMm*|Yh(IL=HpWQ1P*H03h`S-)_*g?A*hTgO4DZ8&_ZKI!u`IDZb({37+{$k{3 z*7+#M2F^txf-){a2)drhs3u-|gu(?HRbe~YM>*@3lt4wmgyhNCz96A7+|^C!X699_ zCyMLA+ciN_5}uINS__m6RVx>JpQ&{=Be7@)CQuwaW8%p>zP9pB7H*xJf%SDn@24jY zKG=-Vkvz;KY{Xwh2#=|e2qb&Cn8oDOM%|5uw@<0(PZy-LoLt0IBztcL@)Dl!8~VQZ zj$30{_mdXGlw)w|VD?5Xyxy9yXnWlwj&^?464bJoeUa>Gz$kZl-RVd_k0y({-*2fm zkb7HEb{{fdGH1yuzVq+B{U-B&!LOQo^y}@_83h6aX&xWKe%Xk$$7%v8b4M0RwZxz= z--rW{?3`MawdEe7-Hi010%uC>q~{WfQLe=uPl$tMfXucLEpfxwND&sjJ8P7U7* z@)#CgT%q{ZzeBOX$AhoR-}_kPhtrh*lJG`sk(Ys1NC2WciD#8`5g^qPmCA~yO;(nR zGO69XRnSELA{gZ$T(|y$dWHvBY)*FV@6~=z6_f$*Rp#-_Cj?@Rh_cvAJjo zf;WdO3^I#dBXA{g*{71+@r6doR4jIM^7dO7rqsp;#%U^8H1xD5&-ZEswKbAXvB?5zj8{?IsE`?t}Tk+BR$O5#a^_JP!8xR_*XZ;~4;tJa|_ zYOQ9a1K~;htYD1XoUj_YwWD|9nR>bWT67cfqMo@9d&+E9=+h^9biSe>{GEi4mz%?G zvs+urlhJo;ecfetEn@~tW5p{pX|bcc#77F30&Wr!zFQstsD8lS5ziVCeO8(UcSEbh z?OwBLUAoo+mAZ4XcWY6*mtx;J4!UH^@V_UHZZ3E-h}rG5R{vy!0P3TXqFi*pWk{9s?@j8DllR1gI2LjeP)9Z%S=nW3M4Eb}05CXy|U$FVJJJ zQIp^!c$kfD%zjp&fRN3N^H=E*wAFD2W!m}PBK35} z05mEz*?(DxFwwWRKmPW60SOG!X#t7XiiIt_KaDu>Fe!k?rT8UJo@Il41v_$=Z@gad zZcqzMy}?Ky)+q1>Oy`65x>LGgDu=yg`hC>E&kXgqEb&HVQi!+HAFMZ@+=;i)TlQ)fFSMEbB7+X5QgwM&IUN6FidtZL7D1A!N z^E4Mgw7LYyJ@wouWi>C(uV4D{+^rHl_b@ftnUsk*`;mPy;2pB9>_G4)@=_tXn+fsq zKmV5BQr%@!xWt&o^~Px*IsvGVR^y*}iA|7!$me;aSj&-7*SHxT3uI zDOxNcx+=))11PbZZP_NaYld|`EdXuu^hQ;N!ZCA*&TzpYXA`!B(q4Uw0#0Zs(qYqe zd@G0Tuoo_?SK`MMNgdzV1gQu*aa#USrf13C%-pmrelZ;!g;DxZy72Y)=q}k3=Q(fS zMTASQMvK^NjovVZz2-4|baIrv&bBbQb!nLBI;BM3_5svv-WD9+H6RdK%4VCTugiiq zll9+vJTxhisF(vls7wJ+nxY^3r+B6%!CdjM!oM?Ro*q=w+jbPO?1z&p?Yo+YZ?gg4 zWhPNe>`Uj~ZwW)01=mm*W*wB{3)&l`!?pv;1CBrFaP9 zjc{^b=9_xaH$<<@+n@20bf~X2IM9?aF|a4Ls2y19%KDV`R?rDY*}Y*s7hM*nwv^qx z?6uv0amyr0Y}tnoL+Fla>L#xYtS8nfoc^Kxc}y0fha=1+7N|Yy?Vz$;!8AlAhoHKh zof&u7Tky2z^D0dT=8rK#KS@T;PA0PX_gfh7e{Q;K1e@|f+u0Q5Z0ghwjU#T1&m{z< zpUKIc76v!$gw@!39931g+ucAKl3He~n)lpB6QcLxcjN?1kv~=}7U5SllL8&~2BOlEw40ac z)Q)my-{%H;TNlP?+M@1&JxQF;B4?8&iKUz0VkrK7N^LT@av^JH9<@(ZrEBe8 zn)~CBrA$KUPt-a-xx%mbYLOI*t@XsD%0>~^{$)TZh4!eH9xq=gP?O&9^qbMWe6v#e z&p+-j9u#u3-D&ewd9I>vf7g6U7a{|l{V{W!PX_oO67=OS*6S_*rXU_bZO8`@X3%VI z1L6&F=zOL-HRJK}Hz(14)eDT8jh}Mr;3he^e^NllNo{RO-fQ@9tE+3oT$6;Fqxy?l zMj5?F%P)tSSU!vy+ajH+^==CGh*W(7v7EY?ZUF(hBAY4vm?7M0UBZlU0cD#UAOeg8 z*V9jK1~mXoyT>u~O|&qWiit{minCo!e#lM4PZ#M0Cv%E5pN|g>u`65+SO?foyzC1~ zubY=PO3=*XwV}yGfyqM6SM(*GzjNAtHMOZ{7+!Z zLsav*{U+czy(lED<^|z3CpST? zb7omCYIjFR>Zip5>wJRK%)x`$;F1v=7Y$f`Gkgx=d8`=@?iw5%D=TWwP!Pf-w#VU* z`cCj+_4%I6R5`x|j@B;J2vZjndfYEdh$t4+C3(hm6N{?72)aOLi3(b<#HJ{bC22Dk zAzUiqTuV>7lPF_34*Ewspe$xd7>k0CsFfZA`$>s%gu|ZMHIm{cdHOM4M zuY@A!hM01Pk?Hm>MKEnCV}H60Wt9`PcpAV+p4kxyd0(`vD3HSB%q_*ip6E6kv&I=N zX9OCG4B&T!*iyKKw2IAF$7Vlv<&ZS+^U);U$?W2zGG#(z#7|o`U01eJ|IsT!E0L8C zsoVxrnZNOAa^u0L(}*FVI0w;PKX~BKe~ZkL@)c>Y%gltI-}1#?!E~szpqFDI=F@Lh zv$>yhq_;JGy_-F2L+ci57mMawB+tSEDi-`}FD)$9ctWbEivR_BCj7{HSNB4rWFh!c zA-qoQME}QTU=3}_NN)hRoocibazd1TnVI#&8;OMU@oUHRGjR&${(h^!=1O;NW%q3_ zdHCaf#(}r9@kutJ1zK_ggg!sIaJgTc;%m1vPgP1;O%`{3Ib35?Oz0NV-dm1hlZqmR z@rk40XG#^T46YUrp(kB!|Bs}fNhS?& zJbvFXj^D3c3USJyFUEbAVjKk+xGxYf9aP^?QCm@}|A_ytH1eegKfWItv}aqfpWr2N zL6CVWR<=@*A_tXB!D8Aoh7y)r-VLmtSx*l&znECoA)^ZSDpyJay>SdlSjBhozupAWgz1G^5*Junn zxIu6YzbV@}u+vE&u;`%)&{qKlbi3H5-C6VdQAA{-`2Em}w9kJDDGE#JPQDJKY`&{3 z^sKy?9u97yJYjd}H_+I#e*a0ez{GF4(Z@UQqTdomINM+@3CP>QFw6@@vhDI|Z)*8|J!X?t0vzM2np^hKrgba+6MftJOS zT-tZV8%f|Bb4kyUB^#|J;@Qkq;Q5U3{3QOuSN^CcQjt$ok2oD~4AtRk`>xpEiIKaY zMhf-m=e#M{-58)uzjE&*D{AJ?33VS9w=xb5m3_9#D~;LeSgII2V7~arMCWTo3a`ae z<#veiU?mnmw?ST(_V{l~5O-6JvER<1`vuC6s=r3FI(9nWP5WM7$FiJQU)B^Kfbf4b zN$uHXt+BNypW9GLx73Y{{*B0{{9A=4S4f-sL&xa3A^4Hi$@D{YsK02)oEe;itHLHH z?m@jJF34I)U1r8}{66FLk`F0Yr%+bNrEI6h^L5Z2o>Gu-{{tiuN-=Yo39Bf)(gULD z7r#XNP8ECg3p!q>#{xV1hR;46x|84rhn(DKvv#(f3H+1?A#-~ds4V29-XdFxwxt!O zQDGIH$98Ek%el_LnT=%_T~+WPv!LzBKbhXh^q1cA zl<(O{mv(6OQ=+YsJD^IYtH-jLTjFX9)v!qX-jGqor6YJcU~E19YeaojqrA$xVPEay z|I2x1-Ip1ErhUb?+zNByOtiOrCI4Rei?jExRQFcpE{1)E-biFCp$ot3Y9yqDu`%A| z@|);p4Qf`oq}t;Z8E_nMlPxU4I+=%dqCE5c(p@8=6pY0$e&zpmdSv`V2ZKEh`wD)` zuO*!ZLCw-Kqd8B6Fe zTZa1B)v%G%Ki*iVm18A{EC_n+B>Xd!zwMZ%Jv|9i=SWHkhL_Tvx7;KL1~|ljWRt&T z&2T9f|2VGsfL}d;{NzvkS+J|I#>tDBS-ekQYrA%fPKNj-XybT?&iT|r!zASXctM6rPdJzECPt3;iAF~R<2>@ZrAOVX zKz|bnkT>!F;aHb;(Lz;MDGf$Wf@^2b92cWKKyZ14bdnf*)Zii>^>RFW+ zJV1NG2Gz_bT9KU2ae^|K?6NRnrf$L2)>O?Dqus)tVrLgZ?-}+~E%NKbR(EtXJG#zUP62Ueyn(svK9Ws0F?AV67 zjhn2r=D>`zzB~CtwfLVMGG2$Tn#~lfEl~%sLgN2iFUtyTyRU4~-Qj(Ci*Oz!`^I=< zp1Rx0xoG_&ft3h{`K=a=_H;yizsOH>^MV#mDcnbo|7YV44oqOy>y>n1SSlj_=eHZC z(5xvJy482Dea2;9|KwpWG!zdNOgAI|%K5h^Wx8g>n|n9aR?&K$%L7lB%uF{G3tMk!ol%E|{6zlvHf&^COh%wkGK zvYJGs$mCk?RR9Q5NnJuT zZT(Hi{E5HN^(GlU-BG%0|KcHrhyjPNF{vET+VwHXAEW!fJD_i7#xXjbUf|;ye0uoQ zoS94E=ufbDvZaV8=4QA)Z8E^Jr7NUnAYJZ z5rD5|eMwQinf)GvDA!LGbxJz~3OmLIoCtaGHIyvrAG#e{CHlhw*6)S7*SUQP`2gfnN}>J8+FAY~SE=7}o^-XJdNWux&%HPE)ur&( zP@1`gJ14ZP$EVL`_#l6&FAt-2s0TJnGk3u;uiAGei;V=sf44iJ&a_b2Sn&u)2%3-)3g}(fT2F zAz;xj=nynm5rXFEXK86CG`aW4>Q&__T5 z*fx0jCer1l`(GU7DVRK(CCr^{Sa#Dr1g?Y2hj^YpBarc;qCO-XEhx~zL%7m{Xh*Fs z|I~f(!A#a0Nj^QX0Tzk}40!u2>S30jUsL7bu+d8zsA5UD`das%Y4H6qKx5Zc%_}&` zoETQAmfgQ{yc#2Fk1b#N!ugF+VC)P6pXSjma%g54qmx)!UG4$#Fq4PT5h4yi|3`k| zPOIs&?s44e;ABa-VXWB5wYld5*sDFQ0a3IeptM;gnK%8B8xD;+jft|I*2z=Vfk!6L zCzJxg8{x8K_}8)A@Q+UdK za3v|SJzE0zV2()SxOLj{IQ7e$DWe74BkS}&>3&OP{L7;e-NgrFwCwD@mn?4jsX|&u zn9D*#Hb86NUreGR*wLAmV*ke2Eth%v48HZ0Q}cY(rE6oG9cozjjlyrkYZpAeLH2fx zp=%VF$!GE_VR2@!Rz=Hh=eRS&wjM>|Cxr(Zj&-Qm#QQq~s$2NA$wm~sbTULJ=4nUoD>JIZVYp zSzs72UU>ohV2{#gJ#6$}Mdd)Tb~298*^!mq;q${R8gDNKAR$nWPY#~UzR9=zL9I`C zHVfzB@a~ctgBADM>EqM0opd+|aqn@A=+*tpFH=<&iHPQGNiA56~d5YMUvQYFDi zD+U4sVNNS+LZj&WxBrB`9^{nN-D@yTH+zc4$vJ-)?<>G@UC9)>KbaA$TosMfFvOaE z{!2e*);tep?6N6P!~1qslbP%T|9GpR7m%nDJ=0F-S6Zp{{`L=CO9Za5b~7tXfRiQ+sLDcyn{y|R@{i;fY9U<)bDrJd@so$kV*L%&9P2P5V=Ii?fFOEuDB^wi z){;*fkmqNf%9;oR^2dP>(ycG-ip??^SS15nwB)~62c~lJlwmHd<@Z?Aj`jQeGi{4* zgbI0X<(AZ~*tno!jp!4rwf{1_ZQT|er5~Zb0MEEBa)$&r=3&l{pCnhc?)`IStwm@= zsn7D4k!-&Cr7&&<@VYFU!f2+SzoU7?3~mx>+w1Q2<0CWNwrP;w#hT3KqvW1D^F$gD zcoP$BDNL*HkSG1OD@m<{x2e#xmX4WCWDu(yUmIN)Xq$CfW^Tv@drb^J&8q~3V zZs2!LXx~C_9A3!t8f{wU0{b~TfkQ&>Jj|Y%XVs?DJEo>)2GrMV9gnf`6KWW{i)*XB zrS*{rAAJb9pZNSMMvw09?k;Y&v>=Avl}SVAks<^-85+PfO{R&FW~}kCz_9x+bx|)v zr`L)mYk#k9<#Pw1MND`C`~-wWa#EW}JNFT7UQ0q$VHeF}TG@WJylRW(K2*Zr+r2jOL_2P!>e0*o9?)w1mzzpSF-89Qu6Lstl1780;RN&(*MJIeynY4N#-o` z9G8%i_)NN#;S9nulguhO=!fU+(q=%)&>LvVc9h*;;bd|WM?^yCz|7j1{*R#{_c@5< zC-eCT)C5c!KMq3v-xIs(@uFEqN+@;iH_-y#F@ zl|z+5rdx;fSWIi;uuWn4-s}3`6EYHW*z}D==uzRPOIb3*Ew_zJsEPkBp$baw4llvC z_4OiwGhA4mpWMdIlm3uQ0?2~B8+xeUtddhw4>HT(Gp~VU{8 zpx;z$$t zM1?gUG1V4!%`G;c6b)0W>kJq`KJ@NKZR@(T<0y;caKL8pQC3M}YDy>U{wKUNRl<(jzWQkdrInO4hGlOf|5HfmWVfro?+tAcm)MV7JvUufthI{J zdZE93{gJha`K{?FQnoQL-H+e?l4QTC!o*V`?4&AGf)OOi_|B1=PYT0;Qa3UzncuOO z-Vr;e9{H>3^t%&o=v`(37nw{O!QfAEiSiS7wFoK?wtfz2K%<$tO;w zOziJOIvMrDf>2mfDQu}x#zlo3(Y0l!6(GM$OEnQnbQ^q&)&ysei<$!ZPdf+g(jFm& zB?@TNn25JQ4bHf*_OQdg2_&QTGW zISX?yyETZVIK1w2ziv1@@&%zylTk11Wpy{dDX%j7Z5P{*J&-H@)Fq%lC{-F8(mG^s z45ZWw|K=pz;R#@I-x;|g+#W{sP3_p_4$*O+$#32V&%`^R4YAHS^VK??COqAXeUOFH z*W&z96JL#LF8MS;tm?t0yj9)aa{?{Wm>sLW%8xbH0W7ZMNg}?WTJ~^@3zW_*UE>ki z&dSqf1Lvvk_{~pC``K^}>tLY6YPGTgYa{j;mH^M1NkGg9Dj8_EJK%dh|98zNpd?>~K+Yu@R z%Tn|(U@#+mcI_GbXIy5M_2Sia7D-gh4y7}dS#PvfeK+jeiX|>No0@=YVc_(b>;NNA zRcuu&P;_u8Guvz8W;g{|a$8Iu1{~DoJFgyYCMxn?{WDpdpsD1#l|eGYTNuhyIPZHi zK&HbQv$ez(Rm>K#*gEOxaEET7rn-EzY%_c#M{2`d5OfVJLxCxyQ1DZL>0&zjc85uZ zzVXwgJQ0@Y5Y-B;zU@hMx84u6IxIbyhbdin9eDkXwx)l_@V1!=7V02dnv+KAg4304 z7;PfFNO{+1vF`Upj)jBhW}hI(JAMq#a;0y277g+cGXm8wN)oD3VB+kbtFvA#iV4|Y z+#aMg0|j%K=2rM`40p3p&e{X$yHS>n>kZaDp~IIfbmV%u%mY#Jp2xiuenV6QOM7v5e(?m zo#ag0Rj5=T6EWVEaTV{+!FRRfCiz*GjQoHFz10biG~tyL91XY~03Zl6Mctk2DT<%s z8y!4FK73(jMZPirVTN?Gksr&ei|1;YTybVF-qoW}e?jb#`}CVW1)TJ}MpIjhpIGJ@ zLPyx=tp|?Xz6$t!d?ydyoFw+OqIinAgn~2E(+^1AOd$Q`@hSoUxZj2m{>EmYVgfC? z5VXLNwE`f1W_@-b1CPHv^KjsZhr9DW3z5N1c0NUGTbJjYrEuu-7G){&Z3Fyi`CT0Z zeP%V?@KB47aM$1au0wnY-$i~LoK_DrHflMiYLKhpTOU3vY@XT(3JX|Kk^&*dI-rJ; zC5`eGFX*Js#Ow*<7v%pKtbtPsrOIj=b+U@d7%;MYaA6s;nQS$}#osPFy+fH+fab;A za$-k1HhA0Ec=nErdy8;<6^GzHD{i`zi(2!v@6}ZG)t`b@@`Wc^NI8Y6^nMhE3KMlJ zBf~<>pEvj*(ESHYyJGvKpy1c)KEiW5rW~#UUSp&9*CLe1!jIUU8I1qw!f-1LMVE~e?X1GqP8Qu<9fZCNL7JVZ|ee?;Cz9{OW z1&bR2iTb!?zH55^lyII=E#OU7Iw3Oq=j?OB&0Kc}h|8vXdrgh<@M_G|`qkj_Y)-9%zhWZz3+)KN)p}>1lyreqDt= zN#s5c@E|aFYBni>Tu!RxJ{3^QG)iO+qgir_)RJ1g@nk?TnT(EOF8XWtG%aqCe*NMB zx-itXcIh+GrJL1w0$F-9YsX^>9e;kME>=)5N47fOM0!%}N2Q@8UkitD-6|L-mrS0!f6IX}ucM$!Ea*d>1)0c#4CF=iqYfx$l_ zMr0)p=^v(gfX8NwMSg8!R%u|{)i0hTiD5?f8hH8#2ZR6eXnINa;L!c0P-Ya%12av) zhufPFN4QFZgy`|n{-07T@BTC1AA3%eWL!vZh=qH*)&NLI;rtd{ZZ*X`%q3Z!lHt*> zPS=p>%~%vp&ywQm;V9W5Uamv0V=0s6CYcY{ZIN4(XT_V~JRQzp{A_bSCHRZ#f72 z79281?I~ZpPZk>R|Kl57KuLh?HHw>D9$n;uZ`(dQ($dBnJs3j`(>pC}(khURjAgYF zh3icXlrmaOxP8`=(acNI0OvFm*WnXJO_gdlEj(KY&muR>BK$iQ|K%GvO`6afteo`X z10ZLA@gxFQ)JcuigMl4@j2!F&eay!odc8p{O_ZeSqv7>ELD_t~Gg7@ulnI3BXE-t< z#1E3byw~Vzr6j>)X51woZ1k7|FCFA8O{YDkWChd=9yM|Jh~mprS-LN_T&Kl`z?{X; zCbjCvJBzJ~y~JXGWpLVOZ@n!}9dc)Or}o`UF{poI%4le>7 zl9|YvZ=Y-)o$G4orwT43!yPzBKV*lrzjG0nAN$_DWl)B8a~bp`)XTz`*MW>FS|*$W z{UNW?f#VC6Za9@Ett_w*x6$t6(68)4%LM<#SLW8G zPiPy1ftpfe#k9Y(e8o;@h?gc_V(ao=LXKLzJ}2Bt9=Y8_8H}|cX@rJ?`WgH(}*N6PfQ82a@cy<`7M;5)93NPr_)>t^~;~5~9UOgGn z2g}0<^WTdqjYlL~Bam5}HdH=h=5@af(9=&09%+ozymCaN{k&T!A<-b1Nw6t{>{pY% z$w=g8E=YQe1mC`!iNe^}(qp2b(sU zC6dbrjgco7zg~W&lHNb1S5o z=?$mCP_b>o{{EJH)26br!I`I?Ps83X0Z4tLd6&Tqp4*_?_MGX8pR#U)KK_lssfC}; zhEc!35U0pYEACGXIh1-$U$OR_u8lYG8bdE~GIRHDxB|SbY@@ zeL;^F{><0aD*>_&(%jM`?~L5DzKqC<=w({`U2?oJ*(q5gU4(@-j>!x+oGac5>R2??^~n!CT2FRXJt+28`6!?)G}7i5j{&iD6W>S!k#P5)WlS~mbX9Bo)dbt! z)N8@wgH%PDb1S_C?Ze3 zk5{{$6jV_+FRS_UpzlLRrhzNh%zoB^kK#9BTRf@NjhV1=r*X@AfVv%p3|?>L(a8h? zYiV1Fci64{h?FTLuPyqYkXvUi^+=h1EGQojcFe!Ex1(El9`Xw5I>02db9CnEOX#?9 zA$3tHvI9G$JnjUdKB^@bw~1dNt_Y#@TOYFA^78!2dH>GMQ5okBh`O%CKV`^LBjjD@ zf+(vZlBV%POu1SS!9-f%wji9ZCKYYPB{qIHU2&sC_j zsa-XbjPZDbZfPM>)BA&W8kSu-`P0TYcj!$1$w-B`fZrpRRq}Ma`=b(PX4U7LO+7*3 zNPm{S?QKSFU9s(s{JWXOES;s0G1;ee{t;6P1vXsLY^>hKv!!&`QCnNhD$B6*mY#wb z*kO|I*uAo)ou}Z2m@xtZ@lwIoj3)_6{cb1p$_$TY;c52r0@i(I`fco80#g6&C|7>O z7P#}O_ftM?J+@2W_&ZcxH5%|9ud@6_$jjsGzF0qs!;8S@sani&F-9cZQFh-}Yx#N^DC zn&4$m?AX!1d6#EO0s6v0`I7j%;p=WC-QKHjkip)pwg=BpbXAiiSiA%w$z1EpJ%e2t z^{=$ae^a)!9*xrrwO3xT{j1^cEOONr8k;&2)eF$L8(+5J)^qa9bSC~o!j8X}>3kXX zr4r%2Vi`#^E@qeW1NmahxnWx*_uV9IZ+b=`agc$?LA+cCZ?b9~Mp%08m&kTr&8Ht} z*QUMPhH1r(Qy-&o4zShD`b^Ki={d&{^8dUi|3Sh z3&vIzL#6#p^w*<7Qs?I*L64BGZ7jxH4wkn)ypPU&U35-jC<6(;vDBNe8I8Z%_Rk`# z^utfoSF$-Ne@v_D`v0<`8T7QAB1BBi(!`KRDZCUvEG;LaiE|9$g(kpb6|ocHCd!i6 zoI;v@rER7cTKxDl%p+XhZ5m$t_PuwB4wHYELnPI<^$FONZpb?=K{g>kr{&9_Z=1_p z4z>8gcLP2tKS6P80@sIK^0HIaMwI%x0}0Yr5N$!|lFVRw`mh6&v9AhY@XdM_xO!p0 zZK9*2X+}2UA#8hAoCcsV7JLL&__=peyG*a^ShZ3nCoYBXPrEQ2oibR6yQObZkez+3 zt8S48^K5UhW1%Yu+77=(o{xF*IB8Dn>sH8^YT2)PDhf%jw`^4NR~s%gVN1cB?VgQU z6wUs8shQQ)4SK3pMeM7|$+^XTZ+8X;xND2v^1&xK#$ODGO9TVhPF1E{7+ClFT%GXe z!$|*dG26|8e81WQrG=2}Oe{@uYZk?W|>?a#!)MZR4zZv^Y~Q zb4?Mln&!)MdEDV?dzl6D`MEfBe!cng5NR7R!0&8Fj@G4IdIx(!U%2}0ez=dcqcr`1<{?m|ZkvBw=tW=W?wlQv! z(-fhG#r7~F;;GCKD5AjgK|{ojvGLcUgL3PN837faSw#1A(%FWROk__D>yl&{507-PjmL3_;u|VW6hHbWYMIQ`#N(29N3Iy44ftd z zG?;&lFC5dR+rpkr_6O0EC|!MG08o>^yGrF^u27}XnRRmAbHaR_x9h7x&IOw#yWf~H+vMynYD?g$*uYqi3oE~HTr@= zF8WAl(?LnWU`Cb(PX@NpeSWW4UeFm#+|gGEb7{l0o(g;BZQB z>C(ed*(4rw`!`tuxbck>^^GxZJlL(Nxeu4N#FiMJBd_iXbrH1@xx?yL3Hyp{(35>ApC+_x4SFEeKkTD*aAVZbcC?8h$lG@bn7=xcms(iYgWOqoT!?s1HD)?=Rtxwj*bC~ z{Y?6xfRShOb!iJD;R=U7Vzvq$RBD~8O!)9tYd^GOHjX^ zC9M0Q^n=-N7X<^w;CP<_G2<#?1u@!EVCp$~x2{?4gNGB7ObY0+4(?!B0NFgq zx9bj%%;tL6r+usZinE#u(AWrxu`KRy45g$5A^EAo+k1BMknwL18NZy0QZbf289$48 zga429A2x+gsAuwCF&NV?Ezi5gIVRwbOQM3Zq6{UT;+y+R-x#a5=`A_Fi4XN+$^|5L zl+g65j~myQ@(mGkDd|-<==Che$=|O(R@Xif$7Eg+&&oWg%Ep0d?T7GxoSZ)Iu=gaax8NJPXt3|G~ z!HTUwb*&<7T)EM}Ntil+i2j_UGyq~voh8!Dk#_jSQcQm;^i^F}Y z#dE$kk7ei++3Jif{{X$L4c0i$zAP=TC``3ZSZsCZ2-c64~A&JFjYfFsQSYlc+AVB53441xkh?f-Elk}5S-u*F2zg{U9 z2V=mH{+64iwT$E!j25vg`vq}_Fa48|%tXP$Avzo~OnlqCU<+*zw%yvy{!h{?q|*CO z*XF0cZl>QqvHiK~SM+U`LOm;HwP*}gasv*h3HFWFgDgiLJ#Xd|jbgrC`hu71a}PVd z#;t!B_03gTv7)lke$4Ip4I|AaQdtebj|M#?-`MaHkJgm>Jdg%2e5ju}`=@2-f;DwV z{ImbU_qXw)b8A}cY_^T4x{#(8-disX(TDDJ~ zebW--+k`%P5Ueq7mif%f+WHoEYAT{qWMj6jg0ZnB^w%LrKV?nu<2#6GFslsCv;1xuHu>R4q**#on~z8 z4ww5BB(J=l%U71-UbC79yuD7OUHlzpvgc)a`P&UWjm-g%f$cK25vhrJ@n)ROSe6X~ zJZY(&%*<^AziWSX#tj@Db+PMx#9Z`6LJ=xG7ThOdS~Mdq!0})VUtMF(oJ4uJQ8Z%mAI!j39mMl)R?ZYb{s>W;B*0OI&^Hp#tJmC2&)@j4-Tt*X&E&9Niq-cS- zvYub7Obd}bDr?GgptRZGGB67Dvb~tr%WQRO$mpyB?v?Fob%AA4M%ZekNPKCjSkM(U zpAVykUTAbkhsm9=`NIxuzTMf&l(|4O2gdy;lKGy zA7+*cng6vuEN5HB%E)>ed4$xZ3uu8F-7Hpgq|@M+Z$#A<``TqvOS0bQpB;Hp-A#|T zV?i{uXFhm@_c|2PbQ`${Yn{xx`=wALYm~mg(wtvetX!7OM7F49 z9cTP_^is^1(y^cG(6@2zsxe6wTu(~}4<#$@faCcYV}vMzZAjHIzDY*@PE6( zt^$dDiyTkRAU3sEO)C0nuaip(0@fTs&NUyZJzTGV8W)wcutK_u$fE0&1mV=6bO7y= zWz;6pz1dbDZCKAO9!oALMs%^)3;jcu{PXSlq*U$0G_sU{=P!tO=HaH`?4Mw3cx|<{ zjT_gH&T>2Ri_hb4t~iSQ)~QXd&27lNU4gFK1#ZK&A@soyjZn!i%c4Dv7T6kQ%y1D- z?#X{w;@zQ^1wfEZ<>OEFhM?9jk6WYE9trXoCfZ)8-1@I*JgT||9g}XM*OX6MDxBoX}~KZG@A6X|1VqIjaK?i24p9j~RA3XK)_{zkbd|CD$gTUza{ErGD1aP)c79e8Mrh}6@N zS0uxbOo@TJK=%PMgJ^u@hgk<~m-6X%`_0DT@j?y8M=`6gEX%1Na#R7mlhO!zrpJ?q^uobM-!u`lYaXgD1zx!I{(`*L&j4WUTOQ)5W-Cyc-YniKfsIgx)Y)V3-fw=K zh=IYC;Su+tHNv>XPF7&JB5#`+IZK85Gx$sAUHr1qR!GRaJ)U$;J1g75p|f-&?L>g? zNp`|$@?~6MU=6XwxEJvh%bpj7l9%DTPuYo>u|3mI1$oGfOgd?8y}uM$q^9%N|5){_ zo!#ml_}gnONHfThogUxla=Bk7-c7G*d=m1y;C=Q9mHN?{L$<>W7gzGmA#H3n^Tf?4 zJ|!Wss!PcfxV_w%?0AKjX#TvJo+nn04DS%_Dn4+aOh^vWxT2!*C<5$~Ux z$FFalWS7Af z`%C5c*^aHP4ANB}vt4TZ6&M$08vPX~@R?Vg@h)!6AFOxyY-`6oS&q~kIlYBnoJtW^ zn3!w@*ZY4KmXlwvw!Jdf1if=i+K5E2n&(w7((x1#Gc!Xn&ue`PiO{kPhFK}?aR&Sw zbj+)WG0XDuyg7iS+Z#k_mLgjy7%$^aX~JB#8W}u^VdNT=I?8F>nQ9hDg!*(yh5_=s z(#6)~_RG;sv+oRm$ugW`KeMCy>}oQRt9GeFHNYqv0~y%*Y(QE1;Gs8Lv!QhZO=>1* zL-LYUCzM)qSNAm@&5u*}UDxk^Vj}@)THxd3zPoKVGBQz&DVDg7+!m`q?#8FzrE34A zCJ`SPdm3sppG7Vz&Eno$%Cw?+vwu&|{FH05dIe|K938ZD8}R00Fa9!+{WO(_5Fhy7 ziBNVM&Y5Et1?$9w_dZ5au1gcaHo!-FHk!v)l)~n&JKB0m-MzxVv~fwyKmcgVsGMo$ zNM!}%KWy5k>Dz8kPrWp^^q@&H4lKMwqzp^@T8E`G1(VP;Up?=StH)61co{@L@d7*( z5te@y2ZXkvQzZ8DEUi;7|1Y6`6yb?=No{3qmW{D9r+_EhV=`sE?$hQ(MJyzIq!iU! zJyEfAdCXLs5lx&UMT>{yW}WZV(a$?1f8Y~1rtKbrOK8(Cg_>ij6#?}OCt*TxEMz1J z<8wDr1d+M~kUMpC?~*W&+cEYLOLx;sg7aaTkQiLcUOYH}v$c$ofwdER{_Tn;fE&ev z_uEy4HHg`yqZa@xUzjH!lXS6$gI_|B%n|S}yYiJ7w|?)B$eekWGSG>k{~QIg^CknP z|HWnxF9!=Wcq;5AzjFXP?EJ-WijoI@WLQjjTLiF#=C=N}(frETUm`f_7dHN6YW!%< z1{PI*Gnr;jvJ8XFWMN-CF&At@bZtd+kFjSedB3wxfs9EVXw~|!Qc`uW+=l?dcsW{$ zgIp|9?4_avldJRw74*a?ID6kfi_JG`YHLpy{Aao`Z-Vgw(zE9SJ)feSI#B>k3Q+iU zQGDVO+fv{%-??+Uf;5igc(eIw?9~PB9AM=<*MGh-KrFQc9_5`#QMg*(=6-K^-N;@3 z`<%H7O*+sYf{iD@kl}J%5iV$d5JuQT&?teFs|4%ecc7nz@-WL*2o+GB4J_!(v63Ks2sQc zLLbe8MaEsA_OsU<-7E!)1dp4T!ZV(vmoQ%rGl7+b@!4_gSWU}knlHtUY(uhO2n|cu zpW{s(W&}Z9W75?;#c(ykd)~6*_-y zrHdMwU6C#3^MRd_kW2A}>d}SAV%*_sirEhzVMuy-`nB8Mm8rZ~d)?bHx*~GrD2*~F zh=Y_4UTIX5-fF%+gylZ^y}td|53NCX`1U{RSroApn^qJ2!X75lBa1Is5RRy4&j)`B&FQr$9o146vX~e%TMX@D~SN!65igC#jH>q zBY8J1rJn3;Lh4&vu7+B%`F(~~WUWD5cVMFR*@GT0E#v8WYZX`X$A}n!n#ZaPjyTw} zbJ-c_$Bagra0~-ptJ4IiSg|#q_C_HqrL=goyFkX-qm(jAQnu0d3*8!5h1hWc%QS}5 zBMt}c+D916S4mK|AB_wzoHs|wj;WOS;Z^7oh?=ELJ?m}|ySWRI0n41=N`2u8bM11- z1YaRd3#CZrZn3(!q-eF7VSq+iAQ+d9(IhnmClR|f$}$YRB+~Jg58c}Yv-N>x@+;7H z)0>SCit8gb%w)qye;HeEUVVOEIQ42~gn_*4{+kE(omRxL2^IhuOFvu8Q0G=OTxd(ts#^+h4kw}8+Dhe6Zw7s+zONlJ^fgro#9gCDBxWJg*K{K% z_^gl9-ltG*yM#|THQUuEKs@V8yRzJkzm#!P_H~SM5~cLcwON0(pX&wFTY=AwpXqS< zz0ekzz*BAOoESb&Ad4~MUtlBbXjFtEE^+^gJqjD6H^m5!Ds>ZdgayTxLemxCFy;lF z@?kBpWzErRfj~w&TE~hM(N$;uw%jn?Pyya^4U}!JAeX<4)0qe9{Xk|KOsVyw_EUB6 zOgmrPt@&=?Uit#9GsTt|EF_OS_oT-B_3QL%@8cKRn!&`{0R&W>vclm%IE=)i;<8HUWw6`2Edy?eg+UB+@>t-;Ja*(DMXVSIv6GMP{!#oq7&2RJTIvXm$q>mlGW{LIJl)oP+r2ZJ zywd4aWy9AQ`^qCzOi0VrA)Sz5s?pnWJMMjfPt)jIQ`rat!Y3DXH-?q`n(_6UmZWRH z9xvkP-_+5&DWY-MeL$i6^AgutCJ@-8*>2$FD zG2q>JqW`Ip>91NrOOl*3sAh$K=hy-YDcaSdL}va}5~>2s-vy};@K5RY`XI>{B|9m@ z)N3ZmEv5H02%7c#I^C(O8Qt@5h(r}z5uj|J;odJ%aMCV-M|z2_w0YgrI_;yx5q$5Z z!k4OV-k0^8>HT9Sh{n+{Mf%-Gujox*Gli>=gKe&2bwcyGMmN7I;lX2CPtG0maz%I5 zp450Q?Vtuuu{386#gHr6apt;&qQfOczGEK6u&weEK(?RSe0cr6`NNWKqc##BhLhiu zRiE`*xpqh!it~BkOvq+=<`Da_+{Oz!T6vjn#nOS;5`k;|kD{{-XzF33_y`3NK~YM& zq(tc+64Kor0@B?rA%ZYQ_ZZ#Xjr8bdbThhB!awhQzww>jd!KWj=lss$VuAgt>2nn& zKq79c%P+RVPw@4IcCJU4Dqu8YAR|%2T@kw33OCdXd%DkQB6e!k_nXk|rJO?ALEAvK}#5PXfIZej9>si`5yzKVgpN85BUMR1<+Xc(gMUQpJz3|oY ziJjGT6Iju@Y9n@TL{s6FI7T?I#!l7iew!iCT&?Zy%eC931GApi$g(&${+PLR)@uOf z>fu(I02(hV8=`?+o!V&oUOA4~gFnc&LG$M6&r@FW`Mrw${|Vey`b6=M!OkxC?>N&V z>RRAYd57n=f~G_1Uk}g+NvH8CU_#5p?=TEU-oS7D#=@BAGVaZ zITA$o*b8o6_Bk*0f5#<~X36ZUvrM~YC5!z$5|Bc|&y(bgomUXv-EI(Iag;|U`LJB! z4AcJN{&`?T3UqbAx>M9)eNUmP^#$N8jlVnatU0JCb!DP*1Ids)uM=kU_H=aoSnf>! zQ!cU!&I@m<{KQRn^v>99Cfy-_a{orsfOVG?z3%g58vl8Buy+@I+TVqt%b6qlQu5VO zp)N<(oX4SN5>lRRy+qV@V;tAm6UYm)OL&!PKsy~TEke_3Fn!j3HR_6z<)C>>&B2)O zdGEfK(5(QVq@{V_W{LuP*79j8Gf#74tuVuulIq z^mRrTLdAJTyYSdCd^>3cmqAYBZ0ya-!AQe&VcI(O#C8Kfa)4ftZb3cw44MLrbu;v0B1vZiN$QszCHop*h54=H%%c41tmqp2o2&a?k z4ltLSb;ss)hZ>o-Sh|{N+s!0AZ25nt;5Xtb`y7o@WR-mjv(<3BQBV9Gd`*6WkQ->% z9)>|&#Aw?HS-GKMWW3`5dg<5)>6?y>6>~zwJ!e;LoXVO!G7nRY`nBE}0-M96Ph|mc&B4)ZA`UygcLC;Nk*}UWpFH2d=9De?q;iX|`lL7vt<0bqi&5hM`(4UBW z0VF^ct*)nBsBin;N16^#ib&zAQdc?dO&VvM(hVRow%jsU3JY3GoIM}X8xWcWs5S(J$7W6azj54b!pJQW z^11OzMNK7X{fKs8BY*MoW#&=4k}{Yio3T;Z=lC0v%`t~yF=IeloL#Jv>vhFlg};^| z!+R)OoEUDhYfQ;$D+%b_Fd#mwz81TZh9gdb9l<}|?sc7az)GRBZ2Z_N&A7QjK*v4t zbyc5Y-dl)i-^hxa6lqY?Fdc_Yo_1rUv0Z54l~_riE(j*-I^KzbbAs^%wf(Ki0}0a| zCIq!pQD6`T-pXV9?xIC9J4 z$16pYm~XIXV@=yf`_Ud!!JC;~(b1Pm-y5<6tZ-#{_P1=rRjeI>%KPtfM4tYN!zP&P z(2i@Ox<4(uBwK`+)&H@0PE!1~fq=ma-|a`xue-o3sLAIWQqnWp)kLT6)mLmrFDIUm z`PM@H>EzoM4M*&>-v$S^(*6p6zblK*w`Pls>J2NRaNc81y1+k9;q?9-$Fa1p^G%3X z61vE+RCi)$R*I`RdW--c9?+e^&1MrFl`wE){QF$>5_&R5zVw%9ThuiIM@CC{YKs=j z_yf+d@l#EmOQ<+;C$1S6NiVl0Rp(2QR#5vLnGsgd&biHS|NO;Y3~^y%t`-$;0Dw*d{`i4k{NfGTD7TcNb36bgfrbPNoj&8s*Tj zPL+7ALm&vdD!A*(rY85uFH|ez9u0k1Kq#@0el`==r>|5>{ZAvl>Hi+#^&8*Bg|ZkPNmG4t?&EKbHxC_V=T~wOns(w;68HDf z%m`@$jMD)?zxThcn^r@HXe{nT&hys&I$gkEmda%bdUsKsnjpBZI(-@;sfB7k z=X3tTs-yqorPo9Vr25ydcG5l(JF~cSZdJ;ir)z;<^3pV$Y{=D`$7jkV2+)1>_~$bL z??eXelngd{0RM9gLIK3C(taR{(FwHe!k)`>pVFV3barTt?t=QM0>^TNAsb)=Hk&Z&vg~~SXM3!~>w#DTog@X`@y}nNp1*n&#ZQ7CtOGv) z9&0d<9t+DF`odLzki@ z_BBLFg}}#Iv#?Q@ibni$LQ4rOuhf~f;ex#tBuDNbK#ljP2`1^&lwzjQ9H6Nl+(qVn z#5sGsT#WR@G6R07=y>PTxLkc`WZ(S!n)_W>I|9JbqCZjtn;&bZ5)w`DmUodEi9gkw zf{ed>TJ;{a7`ICur>McocbbWiStPJ3n_wEGV#Yj&cg%joM|JR_joH3;_qIzdZJl_U zJTV-Goo-ViBH*Mcal+lwCQckd#-GRC#*O5~$%^1#*J3zekgEzkuP#$wKyL_CW*}BH zv;~>@EX{at(2HK4$sDj|rfL29j@Cfn^pf0F&tzx1MaWuiG1pl3oLrlOOunWj7;jFv ziiapar>rWb$=yK$^rF%mVdP%DH|SH`oVcMA zuM`&UE~O2)=$9>{obvy|rPekMGkq>E$E5WR2Q&Q8wJn6C}+ z`z{2p}6S`oIb~|QSlpy0S z49tb^Q)`-5K-Ux6I$Zv|~gu(BwE8)eTSA`tB& zqg)4>8Y+%;C)u42dA^c-CU^%WkrtDYz~BNbC4nCI3UqE+0Q+V~3doS%(2RAp}slT`LUCnx?T8phR zYCPaVbJ4-&*i#1M>T~7Kx6{CUV!usmf?iM;b!iFjw%Z!M8j~K4tMz~Y=Ac1?(guS&F}^N7&@}uzRW;@aPd>?O&HND&aii93 z>b4r{8rqL%n=!+taI;B~%P`D^?qdpn?i^WtIqNuV{+%x@c9*Mk;}<*jRi*f3Ig(X} zH%`WYJP6ssg%hEX2vra^Do-ayuru-Fg8yWEGhk+zi4S}9zO961e+skFngBZ2DQsnu zlo@^@O$)tLq1UP3bClohe^mWEv>-r^VPtMAsaiX6oxv<8n_E*AC`mbm=L2&q_K5cr z?fJ@kG;GPUsrIAIK2svFwbaAvpf;1bAB5rHbBic7sdjbopMr5XArr7Ifg?%p)Vy4yfRll z>9aeuXy&j`_ed}_mTUPm>V}!2u}}qIDve`laqlnG#=lV$AQy5M+pP0|&_dg^uK{#Zyza2dD(cs`n5B0LI{rAeITQ&Y?qwvY9 zZTY9OQM7*KHr}sB-St^+S=vT5=!N&sP*O~wA(ylsPnTuiCo!xDMP*@d=3aMC)Jk!0 z`A@w-N;8O#aL&4D;JB64f<92tqJx#EtWZ+&hd%t*`*bxIOSu4jB~j|n7>)TRhKP{C zUGf+o?U4y^EBTO2o+sJ4Y- z5rBWtS!m0{X? zY_pK0M1CXE5&UH;cYFIxI)HVTar~FV3u_O5Oy=RY&ps6a{Q9d>G)r_AVTEP^w7c@w zuS@a&0iY*H(Tb!)Vf!55&biDeQgpjbTlLW$AhX`ja{!J=1&%?Aw4m9 z;YVoW01oM&&q_m(S-j1^$@z#iLS1C!*J;SN9NzL@boO~6Q0a2DW`kxU0Zj56o}KOk zZEm0`Vglt*=d~O2#cn-hX8QQb%k(z~$#O1AVE4Q-RcBaI626`7ZO{&4>e9i0t|NyU zmR>DT{2j9$hpEMN6g8Y)3b5wf^1(v71~8)g8!9#|R1Wh|Wyk}s7AE$e8B==}_Z@?-6SNBjmZi?w0C*%#XwZxP`)oQ2^GvCc1 zv??nkdRMtA70KDwA!yoUCZGD}uvlr5v%>AKV`AK0aSn zZ}z8h8YVO77tzEHf!+=;sJTC>Ki`aC#Rh0gY0bFa z4%(#TRU{Rbsx2M>NM+_}W+qAP2D>o6kuovJ-Kw@jhQ*(Ci+L6W&4|uYt)+Qj3DmY4 zCQyF&(!P*J$=_LT$ZwRhS-|7dqThHcMumR~*v*ktxe9m1C?<0ef+n7&6tiA(?dO&I zCX?34k_rGR+1HZ1v%xkTK>rA_nvXBijgDv`Ug!r$h8KD4csm{U-*pq%whzv|`M(+O zVF{7FFJ@>Vt*(tE$)10u^ku1ieon_H9eD zz^%nLrdG)>T!$BT^eDRGzxHVHY+G zF6jy$lmD2$i#mxN?yshI%UMS!0;u$BF+mwbjFON? z;bZe4hRE!eEbrJqm1Z*MAc$FPnnD#FYse8xMqE=$CHq|v2|cCn^h@tR=gw_aU)Ip@ zG?H!9X4LP))ew79`#$eIP8*{e380d5>YAr9`ctO~W#Dx-P1K9-XX99eTP{~ZOJ?F* z*6Ij7Ez#|`m%L)z=I=df-IXp5AN9)$(= zfvJa+sN{j9WJifSt5Wi+VwJ>$@q8|iqmc;?#(y%x9@jM!Q!Gb@IE8*6Vwuf-b`Fm-e;d?f#(g4Wqfm|K z!D06>#csX?3wRD6ffoV-ekR8L?ic=CL;`29a~-s)&x?3Yy*+XXjJ@Z-A2rTUy%d5B z3&`S8uKy>`5Qv4Sn-Z<~wst7lF#(KUZ(T zy%pk@J)HKI@!kU67tXpaugT%k`~ZK=>voiW)U-^!ZSd#%+a|X@SI+}!7CdDt=538{ zZWdKOx+yMV+-fLUl5VKL;i&Dt5l!4gq&3vc;RPeS{gpohOWPSrh-s$PISKJJX~mnC zwc^Oar(ySGa7= zH=*cYju#bkAaY7szi)CV$V1>uD9BNIGDzWv?_Y+!WA2gBj|1Dz%=h?@WLj^O_&D28 z=aLky%2#{(K}If_MTCyM;k{DY$HTcBABwzWJ_kC*=BrztO%cX(hvF?Znf-e;L4Bgm zH-(rtyA8I2?Cys5bp1c=?oc&fo~C*xg|VK;I(%pnV_Vv;W@}0C*n@UY_a9oV`FqN*!$db92vyJw$fFhJ{ey~{k%%s(x;a6uxjI3HH#KiruvNPg3A1#s@mSL7h&Cy-u4U5aGeVIB6M z-8X5%jt{v}o2{4x)^z}eFj6Hp?;9O~SHJA2^L^KvYaoJT+w6X>%jD!>RX@`(F#1## zx)Dglgd;ry(1k*HnGW(w(crAJ<+}Z;0B|=lG_|!reBQnj*W-Dn`)e+$WSbbFOC#K! z=7mkYkusCz?LgicWvhy2jGeA7@H4lmiv4zpBp^sP+ZEUQHL#?3-ldta*fG}5WyK31 zV_jPw)41-z?0pI0oU$hY?ImvcI&0={6 z-jmK}Q1rwKe%XFLVM4N2j_y(UUmMjI4_X;;niw}~w0;&#ZQr90k+*r=GTsC0nR;XF zVcLux0*v`CYDHzIGla;nr^%kUQJt%Civ1|_cMx=`<@bLz!&_=Cr^*L%R576_X^Lo{ zFy&YD1>$AI@o|Fh53-soKhrICA~us_9$?D`c{Cjs4rDx8Hzj`=d??@7^o>nv(Xl5c zsBAaltS$^x6!u(5z3!uXW;Q_YtHq+)A}kmw4dBoJ6dMk5|FASHuZq(r->F)hcDjrH zgHc>LP7YxEC#K{UV`K|}Nnp&oSn|)UGzJN{&#rW^?*n3}(#_sl4n`iqc)&x%g>Z41kmL znF>NHr}*Q z$YOs&>+vuKXZBb>9e;($qV-kev{R55D!JO|uChValvys}R*#ZryCBa;Dzs?P_6G2w zDV*N(4wwY|YS;`Jj_0R?&)$6y$&e#SRZSO(h?3;Lq%$@Cd(9v{8m+vqi`(%{D2)E! zrfb^8ejigqe?~oE&e@x5rB8VGQ;{V3H#f zPnWTV(W3b=K(2~|vWMH2j3w2v&S*(SVw8zS^6pe#Z{Z=x7XR9`iF#X|-^b15mIpQo z4NDG!TZ|zmtU6krGt_{~KZ~j)S!{~cOdS{#ElHx4AAkLukw4sl8aEcca*k~C%Ou!1 zk=+~jBvejIFKU#{xQ1-k^rG3FJ@X+-NAV=qx4yIzuD2Vd?w2-B0Z>c#%jW<3hG*`` zKhozdL*;w*ruxXIWItLgQjFm6tyX?Sw()Fc5?i0yT7TQN^5nWxSq7j22cFLkf##Eb zDb}1_65hX1l|3Vu-Y-PdTd zC?lILaXD`chF$+K_RVPK{|LJVRPR>)c@JCGOM{7<}2n6LRf!3%}ccPUy{b-|Jbb*G}v9_;Jk%ZC3$I zMgGy)W9ocBP0ocK5wtoxa=nGT{+01B(c^38UOXo>Pf7{DfOQiLyJ^^MI*@KAopR`I zU^6Iz>synEWH5jFx)=aowehwjFAIcNG(<5A85AjT#zpv~Qc~6Xu80!F)DYk^W|c1f z$@o#qQ^%q-otY?0Ch4PUZ733c|6)+G^h(rCzQ~C_XuvMES(%Vq&$T;1#~Um|J{PHX za5J?VdHmLg>uI8OTR3TxUM;{N1`Cix3%ehSF{481AH(YXUNL-#lb&Gi|EzkkPwdpB z-T0l6N*P(QqO15aWyBI5HdR;G?5!*3guieMhQK>SWVZw+kd@L%S%F)jB$#0Rka`6&u$3Ck{eQ!o0~T{&U~8Qd%yTW^kpLH z0M?y`w0u3TY@E2DTjlt>Xx}vL2`Z_Yi{Xz`$pim^Sm+A$D@SyP-AIA$<-)A2p$(3U zO?P>Df{Vi|8ceEWx(Ze<%|>Yn#Om%l=3)`|_TdogyfIx{ zozFWlZ$^C+>Oz2lh-zNx9{`M+hmu)9vI3JRlq3~sG>j8pKPNwj&2?(jYJqnaC|4?E z5JuiNc@5n*s&S&2TZQ|oq0l+D){Hg<9P}aCar&ELb3Z8^GmN=uWJd{6^-g_pv_GBe zFLLO0;Fx0n6xTT8#Jy>vwws$eP`9u!M=rK;_b1GA2wOPhs)CbUIQU(`6jv~N53jzI`T)G#8+X&n5!RvU5YtM~DzVkDZT$fpY$p5T9Fk*O4Kn#jD0yxKL zyMaDhr}lVn(AI;-q{I-)<;>%i>NEH1^Y~B58~haJevT7nV8(Jq#e>PF=jRUL#pZjz3~K`dBNRtIuSIRdwzuVdssz2CZ?|oBdy{#x;Y(!F`mv`<)c6 zrfnKfWG^#<`ap%4{TrHU7X_ zlNfZ=HL8%*$OOn#+>dtrWUfghhw?kl1O3thmBav*TD$1icN|V9e2mrjW9;4|yFh2i zrQ#8JS**U(UYjR^J9p-dc;c3+1Y2DwS~yi-AOEQ^?&Asoe-mkZ1ISf-g!e0mM^~Nz zDrf-fkI%*1Jx`AOGbK(=CA31)7b0yYmi6isf##6oF~Kxz#^MA1-L%MRyI#9ehc2wu z>^P6#^6U;kQ+3_q5jQXmO9E+UpL-UNu2GCI9W_vCJ&)PPV5ZjtZ&3d)1TIcJ^# z>{oNXY+%E@MdTbG*aNj(CCALAooz~tj9GD>RcD00FA`B2|+4ArqZCpJb)CzNBy9MPP7g+ z{!zb0#ShW4)dpL%k^&ETt`Q;h0tyr*`~~^nopma?Om6oP$ovMUzq>I$(EbFw(f3oR zZ5LOB(yw0too;!3m!$8-VKICJkf*66jssZFq6CbE-(J3f?R0p$PVRvlF)rYls}xoi zj_II(Ea@jSt)0~5xN*)AME30*iHQlTQF!;AGVQJYsk=|jDtvHUw=Hj6d z`#p_x1@ST^yb~p?6&`VWI!w-@{TZB-Lc{E0q4}qYEz9>AiTB>B=rF(DjZ&#K!{&=l z_-lYfsQjTrFGEKzE%91p2uf3L^YgG+Y9sw0Zi~_yQ`MPP&#}c5z#6~i}1H+vcklZt7nvtOATd!g;^O$1xE@@qVM){-r@#p|0Q z&qy+#Cg;ze&343Ff1D%P@5G9;9pce%U3+b02j!~u90>gH>k}x{QPWr2+$<=2_+h~g zKusz)SJ%r_6p(DM%c) zLDg5M#%U~#S|UNW&!_T0FiIcIY=_MODb2=b{jR!lC2>_Jt7W+ixz7gseUS<`yALAX zUZg@&LUXN2tgHSIR;5A48H@D7wxo4hCX4OeKwFQ+Zkd8twP^Y*VAjYthQ87xwiG}I z(R9)YQIo{Q@sTKS4r@eP<3&k|X&%)}L=G_%j(9x9`m$EE=6dL)C{-e`6?=*95j#-P z*uz`yocHU91S%~CXh?(}_ve|b>YMH634L8}E_7+ie|>`b#=NErEc_rIzr#|0<+obb zOv~0&{6lgY(2mEe0)#znprJM${Z_t1NwL`1geAa8h01Gr-J0O7woO!TQn6LxE6nZ4 z=~2o0i?IoOlwDW7;T=Pff~&l>>=BsC=(qFmYD zYC=KwuF?H@C48_!K3U=kIpwRilv2G70|}}t!O+ik!I3zR$&30Dd#CfJ)~(UwOm?4? zDU|bt_aApXbl|R92Za9eZ}i_q#iskfMGbWRD^`#JC=G?GIftM;PbPcBYHEAs#qr$7*)=uEo=OJ(SVYeF5EKa z`kl;19DvyK`mmaXDNQa&rZbo z0`}-F1nXN3EX3T%taP7_pYfHc7r*G%kh$`B%EdQDnXNVWz;NheectMFJaF`k>p_Gg z9=K`2jd(;l7)Ix)S>Ux*cMlT`UXnY|9rkGll4PIm4;~urykV3zF23?2Sqxo0YTp>< z@btJ`Cc@78xyX-E@a6t`TKYTQ2(Qm|1-Vy=izQiD@~I*h={cSiB>$6+{iM*QEKP}DK+D1^eO&XCE0J6CS>7txI<(Qa@((ctR6`e`AsyUU7MsxF+YvG zj70~6E^RlrtH?+;tcdqe3&4+%$XSD=pPXAU#BtVIQ+>uFI-o6#`T$G07l=goay|`1 zeI0WHzs9SDSEtBKa;L4^-%VTDgsQ6QRm~ZJ`AO5H;RI;Z$E3S=QzT_w+PqmH>^+W5 zy+z>?mTe;mlKC=Uqa~k^u1+k<#OSi}NC?8pbdkTSeCr7H^7`3W9>8?l{rrv_^3t5< znS={)9FJ&?m~5NQ7{Zr8cJRGqcKr}tjM@of=1txrwdWy$%Xo@nuY?#yId6KMew^q^ zl=ycPKt8wh?Vx13aO6hVt_S0YSwLdPyD+N0aL#dKvW(tiiMmU2a9kpDto>!z89tsh zQqO(6#z;q0X)jG&JlN$gMU6k}TEH!U#}=`u-7X~gRB^QH=WJH;H&SW#8XtRJ@l#0Q z&>`EfOi&2RukT%UFmwS#quy5Am-X8Q^i#FFynYnqvD0&>*7#4rn6c##_3XiKd#geX zz^%$|eqb^#{Y5{=Q9wQM{4oFU2X?XXN;6Gpx1eHEIld$7h?yP^tmE@YsdK?VaSOQX zvI~o<+|B26gjtBPEm&@hS)P|@-JFxni-$J7Rl5Fn?s4&c^TNVGi+|lqwu%e~a~GT; z@8ymZVa@Ts@}FjN!44ZT%ZtUbH+0jM%Lu3kS(bFE&HF~V3 z?9K#5x!e4^kv?_a@Q^rp^qpaM6!LepLTi9GpiVllfez7YbNyf z)w9T9=Llp4)oUXbCRy}j5)jkAF}#Ohi;XC(ZC~n^ulyUmyCs2pieaN^8R5e zLw3xb&rGIE%*yKP>HFhLJhJ#xZMGBhx&c{_($@nBlCtbFepSkCpgp~OMb z?U<98B+TvI=AxdWZkMvyTV~t*(R}6yDIEvOSz6Jt7sjcgcTiUD=H!&su&1g0aJ1LZu)@NrG$rS8 zrS3lh+v(A);H6lu=f+~NGb>NwY6t#)TcR~inR?S!R&+e<|g6Ir}-qc z?1A;+4?irvXi6kP*1%xnKT#Lw9?F>|2DV~E>yF9--MzLF(V+|F?~H_x=*J+xH9g%E zLNmvFe{O%2oA(3kB)2FiGx^lD6F~_1Tq{5$ALC^}Y0DDpS$`$C-}^MvzA0a5{~G_} zr+rRN{$qpMABYCIEjj_(HYEoux?|33t1s#k9b}b{GT#%54KDzA(k?tR(Ibc5Tj0(& zZ7d<({to^LI1a9paq6xjC7+VO?c4 z5KqH5ON*(E8HIik2gS~%seagigzTs3J&Oi}Lctd$DNe98MHRb#rLo?H19a&XXU^{K zv!H&KFPz}D)nykT@%AqL)Q&u2BRC z9e&c~@dMDX+M)R8uX>$B6yg?uh19rV58;*^7UYdKz6GS| zH%1V5zR~3P>WUXtuCVwCocz~KlfyBbI=C^4Gy(P!-1UYWmo4jMeaCd5(|*~exFy#1H~53AQL}`<1i!wLJxC|11nO7 zevrZAToD!aYn#3^U=qMsDpy-l0U=E8@LZG`^U=-^k7ae@0TFw=6peX%Jo;RrVGHWd zitto8ts;5`RR-DuQe-e)$M{K4se8KI?yNlAV6GT)+AAw;G~(WjaSE7G6@a8QjLK&4juM zD^ZmB(nJVM^Jjbjp4iQ(RhpF=Q06jwO+=3|Ous$HOJP2j{1q%TlOT!GDVuxq@Y=*fG)3%HJ{^7eCFFdls^F?h0Iw zvC=)@iFPFy(=%f=PW=(c4o5|$o;J)^=8s`BbG(9PU6rWsd9u+FEVbgrxhtP?7#@zo@YB?({%?$QH+OCBtKCZgD?>>>pxgqk16H{#Ck zzhGxgt-Z2}QstP&f0=GEkX|&0J3ufV@r6mWPyvGc-P-Q zRvKAtEs&b_x>AFDkp!yHO~6E+oc(Wb27Sw1SNOYuvs{|y)mRZGLyIf5I&2Pw3|6?+ zNM+~`E7ngUptsQ=-%%00D$ zi0S6-mqiy{IPPcVG6Dvr9$uC%En67x>~TEMo2Zy9{zW@uOmB3^`P1IL;WE;ZtvT=+ z1*OUhii5w?6+KL5FYr4*nAmoflL+vxZM!#?0~fqg)4@agojqUioa3o0e)JI$GZ&_A zzj8>f2ICGhm3)iT9h?FYTi>~%9wHrXz;3NES-Ou zPkb~e6Nameim@%eBA+wg&slyGdePzVa{#mhnDv|kLwaxP&}Cu*xc{wm$q)RtPtC-h z1coQxFD$Nlyy6+H(bNuvuL@b$ifsK=I-A2gKzH4bvqJMD)uq3pUma{hH9Zg?fUx%- zu~JhU2JNr|n!uugxjM3YBU!|p5h$-O13|P=1~IY+zRM$J5hzeh31CJgtiM}F=`Z`t ziA3#JD>lef$B3ti-9}w$wv2=a45+dkoo_9tB?`Ia%Mt)v6&Yy z+Y;32ZBK5`Z?%JUSpk)C;oWBZLiaSgZ&K$?|6O-eJ?;;8$a8p_|Fj9IeQdP&l!-P< zCF)Cr^qPO9YmaGq(?EdJ6$`AbaXH}?-7 zfc+IST{Oy3R>4)D#>3Cw8(6ukd+|w=p&jAETLa50t68d;Ov3G96m~w;ZApY&=(o^` z%0QCs|AVKN<`1BVR{xyIhxjc51L@93Gkk1?yFkCZ?Ep=pWLiWS;YX0Wz;;HSPsE*1 zDf|`JmEIGmJ0R?9{Hq0A>~Xz`{|G7V%$f&}BQBP+NiMEM98~M;sC{Ah9Y5c~pRunJ zbov#-Ur>~?7LuVy-F(3HbP~k~SuLlRl9a}aY63EkApSBGgKuLKkJ;1Q%xiAvEtDVA z7!ngF_#C;&8*}viMbmSK#Jhydy3Ceak>D52Ivc3w2ls8rkr-oC`3#Q!ZzylJQ2V&t zy^qTFPqX=l_ilI0MHxm>=JrRbDh>k6{-buE>8M?V0BXaVQ;m45JG-yV2ZMLe#TOK= zGu0w>M^_k*BkY{4sSUGp63<%zt-VI&$r!RcRe^IcCgbqpsxQD`3H3PcpWSl`Qr?g# zfmL_Wqp9M=$6I5IItfAWcH41Fl%$QWUDU1DzCpY&Kno73{G^*jim%nU;7ttDhdQiF zsn;w*z?-AaN#~iG$K`3XUNtF8k2eeUz~&!jJ?`-WpPc1C7VY)6@+rO5{CmrrZF4#k zj(!Y9<^K|*86wGvjMooj9F5J4jeB&{3XKo0d1H4s0nLWon-io=++4#iKS^f)ZxRx4 zgYMkA{3FzRwy*vs%11$ILYb6?TZUYIJu-29?0_&+eh0sEQCR((Q<5I5r z%-b2))nZNihL}6Kc%TpAQ+dCL-I*O|UN zW3q42LU%i7Gp0P$>pokHVo-yIlBq#HFdh}W4e;~kIGtaHY|)j$zJ1Mh}s8PJG56#_f5k8p4l6$ z^I|U_cT#J+KTmhD8CmaBY)OU=Jk1QXgzM|qkM`Jyza0cCI#1c$-or~`^(x*tiD3kS z57+wZnOw>j29s7fZ>D^{9vl}YqUVn%4frGqbQKs|pUXs?t(%*$Z=F>}RElfZxU>Ux z3Y&D{1tp*IS^dQgnTKR9>Oe$YyJ<6mb&^4s2x`Kfn@S;qy!>&z0-cf@D2H0x_y)u^8!u%5|oc{jsp z`&t&VTxf?uJy`kMrczMW%6GZ6TFubn9hqXUM6*yBdh_Ao<&PqKwwZp=qBiWGZO%`I z(UBXWvue29dlM>8)Lj+3qFCNRL&Iy9y5C6yIQopVEzYkK^<*WQCx6>6)IFsA zSVBS~|BEMj(a#4>$?^(QcItAVF?jQ6$X`iyP_Nb^oj3%H+`0e$nC#LXaKYEll_Pug zTv<&|UPo~1RILMyf;Ejw23soeMLn9+O-1H!m`37K9)Q=FaX_G|ap{9zy)r;o3AP@7rrRDg#tgXn2+B{>q$-kby6J%&da++~dwv~ve21HQ zUFqHVJ*UiPUbSsL(oCyqbcy(TD;sIUUD?&I9=oqZ^_n|}lOblK%Bi{H{erv4(w;+_ zdDx~F+`gOi!#qg>PW!#9rv9c#(o!&Y7Hs>t6Qjc>3B7D<=!O8*5zN=?|1rK!IhY^h zoR<eyx8p}qho9w{Do+gHW{MNG#CN#indO6r9zD7_c0TUAa`Se6ykUMmdYktgbTBvrV!S8+0 z@=&$=IS@ zf3BI#eo6FBM>6C23N>&=gI^`gZb0^<)_%(>A5zv(CD$1yo?GBm9*&Y<(rju}c|j!z z2Uvp#u@KX2Hhjm#N-rt9^=Dn<^y>2F7l0ao+V+*=X`5+Qzin6-aqvD|t#wFdgT(n` z8T(AVdQ?Dy$5i@%)S2G_7tY6wkj;F%_Nix`)Z4!A@0q}F4Ws>ej;8#93(u**I1Q6i z0InQ=sQw8rz_OqB-^uk|-S$7gFLc%00|#D$pZ^fFPsb?AIRX9Z>T^?fftLyq-$Q=wX!$at0?P|Ywr;*uD!37>i7Bn{)PMayzl${dYyBgCxD61E2Q}l3f{>3 z(m-yZa%HV2?xC-&WBM7$YEB#_)+5A-Tz+3bJgOvCLcSayO&s2Dhbm)O*j-YbpnvU3 zt|6w`OaqCRs1!)72!9!vf(&@6#!XClJo64bIDtHM`ugboPb%1~HfGqhemFC>g@`Wc zwy3Gf+C5>q6bi=SLg8X+)x|EcoOd%ojxz}CbK@#|%{FTzxKor@l9A$@IIbyx_AIzo zA6Jq~-g%=A9jlD+lctQB#j4;~iA$2XZ7q*$$xz6*e$HB>6aW;=By2bcujTvBO$a9C z{-){p=Kp6FRoa)Qa#_k}`Wze3T>A16ai3OzR4h&DYzN_mGxj5&0W zW;q+Ej4BUajQ5cRcOKHp@ZlJo?gqTN5jhg8@#G(o;jbqDx79#V>=Yp(QIbCgo7OYy zeJq7aRQbo{_fYO{+L+b#X7R1 zIJMX1Byy4L-F%~uZkJ+-c{1$he<3sIiH#k|NPAxOfAfnEh@tZf1q{olsRjj9 z4yd2=>$ip6+?U$TTG{ zc~Ug@zCU3ecCjzn4`F_1OW`Vw&sK8S58(u+=v;3rv=D2!k|n&;mW%*io{kAH9aesq zEl-hnK?YBct)8c*5yz<>OAvSH!_ME`r!N*ZfIS?wW0BJ$G{VGdcOb zsm#pJ@}xH>66GrGSgZ7arO_!_ATeMh2!H5B+wBPi)NsEP#w=5aQ)_q{6ggwb1LE#E z6!yGB1uMS}xTRw+&#|XRhUcyumCk>q%Ix2wC*#YsT4Z2|asrViO2h`%k59jG?E?mE zmBmmOuru3gl4=XQp3ub>@zwPp?Q~{Th?d+P@a$&Cog|!CLA?9RCR}bdG=cU(c&0*| z{^jiKhG&+qbmkUNZJZ^g$^(5oae*zBf|a>I?D+H$TOr)v2RRdGWK0T0$}=tN51Sja zMXg6e{)~vgH2p`8g8LjUW5LDEK=4|k(VHf_S*(p}InYDZlzL4qw%zk8BcX}>DFvAY z^t^Z|2ic_`seTZxynRQ4>QOHE~%xFIf_<0j<ve@3Xwd4sY>qj z1b$S0g^uM*ERHCeTU_`zz0X+}iWN#3{eZLpjuh|okfj^>!iibTDI_{BLq7n@(~~h& zl}u{WG@N~#&ggi?bFkm@eS-?t7#*<*-ZGN_^OX%-%?=)3m(R(zv6LPGcTl;3jXd!5 z)nqMe|3^gsC|TB2W+L`?kN+5k&}vszR%j`H5z(*jlE(z9MV*$rU%&3-9*vN?HOpOP z_%iXA#aWpquwq&rUq#%0Zdex%{(ZrDSSWYb3Vvb;CObm`iB*o{RKG?)*- zvr+iy>RLya<4;JOoQSo@^%mDfcD|R`^=%jxs?zs>=e;kxC{yu>lfTBT6Y)$UN=7Ioh)}ax&{)#XT>}{zGPawW1nw8N4!tPkG{>` zS!)<}AF@~ab+=9ynp5vd8btP&f$<`TUvPN$G!9Js$&NeEdUWT}<@i?ZgX^cWlTqs8 z4-u>vLt_4#?U%|k`B13RNyZ#lTwtEor_rJB2ugbU`hZ&?K$=Fd0xH>7t9Ga=6X|>}}Z^2wmzl3TC;zupH@}b(cO_8#X=QO-hjF9}U70_9R zw!bp`BZfM+Mn&2+Psq)ZyW+k}8J*hoDEW_BfGugKDA2J#?x9_EFtpR>`YcsbbwCVyEsLhZ3nxGidN_#qRQOWg7V19z$()Aq^DtTHF534(9omTx%4K92Sf=xtU{_?aYO73G~ zy5`Sk2`Nl_$4lZ7u<#Z0-D;pX*=Y14S7BpTMCCe)VM zt=FGpz)~>fI%cfp4N$%fc(B9+dcMfM${e4mpo!UO!Umvhi!6oJj2vO_Si|; zHA0|gS@d>=G(J}JZBaG+o(AYHhKZAjBJZp!TTx(iRkzaj`1IAMs%eOM}`@xfn#68ajJTQ>30jeb5#$SvjC+T@qDxcipu4n$O-ePp{Q> zj`O9eRmg$4`n@F0fKBt0>WJkAzllx(L4vUNQq;Aq!0tVS zAUoN)q@GzhE9V!gq03TSTO{J3jbw*C1pL%A6a((+CsZ)1MP$6=V)kO(<3;v=lSig8 z%?zfY{q%ju)Y{( zl2eOWh2`?(vJ3C&W2;N%G-iZDWld*T;XCqrkZL~1XI{)QngE%B_0hs$5Ouj7m-Ur? z^~Glc58yv+cD^i`_kGJ3iR+)5c#}J-O`8ajAZm!w8>Pd0@k9r)1o{-Sf`nfT`E{Dw zg~DC256H^U*$zZ}1Z-}I5! zhxi$j70Iq`%??q?hoYg9op?gkgM&r331h3!=V_9iuEk>?wa;TB7AX9!GVVujMzW3o z8;mGduQi0-Sb9y9)?hun53isxsEQTv1EXKcY%HU(@cbDi^I=RRzMl z5gfF9H9v%yuKcEBj8%c3;jQGWwnGtPZnUMpM$$5W2XKdKLy%s=g^_lu2-Fs;d6KUDVz#s)hv!&cs%j+N9?mGe1E+46s zWVZYUytO#CQ{%S_-YJDxgFCS;L!y|ywxi-)p8w?JxG!sQzZkfzm&e0v<%BHd8JDL& zS&g{v((r(3UhE|w{MwO^iihqZ{b=n2zAtOrZyR&wRKC)!o0r`BM>JjBI`PuQhA06| zVEkLZ{{VvLWIWv{w675TH+rA~gjL}?YFxIgtyZk%8``zoGnw#vw~kA%CbWc4XkXwD zcNDLve_-tJ#baqRd?HFRye|BqEYu7E&D1S81~=lIcHtS_!UZkhF@0LgNV+q`uT&^{ zc~+g}q|O#*P3KH3Nt$6Ft=Q#dCbeu?teaOj{;Is$4i&ZuE@TO`0r=Q0MW>Hr;@!LO zJDQrA6ySGiw;Tn5OmZ;aE*G<;>udaj1Q>mBKS#j}7^0(ezHggDZqCJOhozj~EdebT4VKdn&XV?&} zce&tr{ZYo{)a+)`Gp5WS#!mLsO89g0qvJnuN|@hEgcD!Xd2E>8^%p9tH@sIR21 z{|XO+ODmgc*g8$Q+1EtTd=wvy%VfG#^cD9-O_v@()v`)CQ9<9ZN}A-!Zw}_lr;AxN zq(9$nYaQ_j614-_c3`7u+Y^=cPh-I9=Wd zH@n9ZpLY&JHGGtj4Gx|$f!o_JdzF*Z&*P!0n7^K*>1u>TQ(AwBP9ZmZ#h7oAa{tL4 z6>AU3zZq9Vv9zkaH@Kn|tTyI~0p`kAd_P=b z?(s1yr(Kz`uTmWQv*aZVVRbc8H2>(iLph)=9VtfPIQI4(etwW}qrCF07Tgk@{-szm z6}8^PeGeAItn&$(NUIcRHZkwj%EwDjc4^>x5br)emO5x-xqu)x+b=@d?wCtN^2T*Y#aVC@LLHBGOR|+O$W>ATD zqZ)e9#?IfcFP3}40w!(XNo}}TN7$C9F*{Hn)&Bkwcjmi_8g#A_X+OVrnaF^y+7J3S ze&msiBj|QfWLR-uel!u@$~hraKeL0+)@}1u55<4LNv_5#wwe&B?x8XqwzW_-;Z$C4 z+6=7yf3O?5QM@HN24G|(zL{j<%{*a^0_R@lF`lB&k6Zl`i%WBrx^Y*Ns zdDp+fBQaq3wYB~FlP*ojZA7%r!O`fn-BeD^yH%Bb7=iUmEV12S5s_f{X_|&yvVLJ>x>rZZD4D6_BRiRH%7uvrPf&2*UA(lit=RIrB7ebbU$ zHwaT^z_7+aVH&fjy`X1aKl(en@ZusRnEuP5*U8yo(Z<4?c}@J}G~`l~&9?S978X}2 zdiOBXwAY$786wG#`HO3(3@@^!KgoS@thubIA-3g|4!GFSatx%5pA#UUDkt-JXz6~^ zy*2wIHCpTtkRC`uuW7dVZ{%pUk}G;GS*uWKO!}|5@p`Ib(s7^FpKZd?g}5Hum~?gb zlL?Q-!kY2Be2F5rL1R)`S)Idbm+3rAu)5if=@Q0cDO~I+qZ`8)(fLQoySu!mTUO?; zYfcP);(T_Cb9!4lq+ip2Q2O3WtKbSA_Md*N?_gYeu)I~~aS3>5MmIdA`&}N|f97D< zUTUs<`Fkkovnw@)_ENi)uzuUjZ-|Bs<5ha0NzK8`G9EeZpUc|BrmTrLz^g-xhrj-S z?hPa3b1P*RXTdb`X7T{o+uduvUu|DPhUL3azRA3BJpCIY)q?{)h+@^v+1^Zt)XQ$` z8(7sHa}DO{g2Dhz=4|GfV$T9kxqo8~D{)jZm8t2)PrqOj4w5HW`$dPL4yic-spG|` z)t|pNILTaPLj_vvJXuD5Og%ag0MUy);F)V*Adi4)kGm4DwqMVhQ4(MuOBTB~>jP{J zrUwVA9g#HSFj*G}huUT9y_^Ma!kKQf6~{9W|1vXzwA2B@{UH2V1F3o4Xc<-7#- zrS|^Rrs)Ue`Z}6YP*4oVCr4a~GZ#62zv26Zy; zYt1x)j(#T*#2qKZ>yI_(IW+-N!;$V4o8P)EBx{ywctCkekT*j9<(#dPT$X(VFJC}WNm82V0%AHZ}h#}!pw@NdIdZkS!HmRDFy0VG~O{(K&O zXW+mewVAQRt74%t0Zt`j)mVa7ALH-t6Ei_>&{3Vtl^RgUB>i&IYNGO`+Pbao?*h^U z?PgvfT?oUzWOYV4txD~Dit{_Jss|x+C1%TRCK>B-qN?*iDR|_gL7@2%_CnFp%~%rG zBL1FqZ{$#wf~A9hE8qL(WnkpU`x^j_U}Pj1XsMgpmHOlOJ^x`Zg=X5Fsc#aAh?%SB z&8t5-Z;c#NvGM|vaNYwRj$?xpw7wz}H_AAE3c1a2+)a!^p6tC{P@xXhE_Mg7-Ib%e zzN4dQCyn^tr9Y07iB|Rt@|;IKZWA5YoG-v`X8Iy{$wV(IvJ6i~>s!UWqS{13N<`j; zg`0`0r`$S|{Uu=D@-2OP>2~6ic4@~D*b4Lo>yXQ*@9eH%*R>#{jUO1l=O@?0q<7hu z`gPDau8@QUxK`<~mL}tlDW9^m!VhOo9wIN0cJilS+Q60_9l|S708KO2hX!D<`Ygsy zc3(B+%`_F7-FQM05{A{3Zus3@in+@`xb6B;nzlI3W`%tII`;46lk`AWC^@*#e>%o*8zJD7OLaFa zes&Z7QsR4{cAUbn*z<*_U{esuH{LCzWlWiIFwwT}fx_rriO?UHo(hPdPD$x%V4j8BrZp*n!@xw}RZJyT`Rp16X%S?$HA@ILlypqOiE!VTQGN z^{iGT&pHK<%na>m_*d{==4ZA5lihr_BG8$L@&4xa$1lh-f7yR5EcjHMH0R7 zmHqrl*b(@a_rI?PFDXfl{3GH_{*?{x$8VVn&KYMH_Py-+_F?%rpiBuVjNv({l<+3s zRUyCo$2^cRcEXjvsYtbtKSPTfry#1@#>s?XJkTmN9|ZSEpOOO~?P*FTo|BZKScZ4| z&t(@VWXS)|UfyQhLIt^U6G?^_$c{i>oZJK$LN|B>vJvCPEK;ZuJNBt^^%?TC(O6%O z?3}SI=Cu{y(&)+XQ{X;1#puMfokwz5bqGQi5`fXJnz)T~bdiQWt|ROedrKCqV8_x% zC?$`Ycjvm#nN#GD$!g6hIp<5{eV}5ID722%v9@C^bGtMnk`Bf{`m{*{D2yMIdUJ!N z&o><+^yZ{NaC5Px$WqI!g-@Zkt$4Uw=8NPUV3Is@UxU~`Piz*|PlRBZqA^#i?ZNKxGgK`QL}|!* zV78rG>dw%f%b>$ub>a8@{#9eD_z(c`vbE?gLtoDxsO3A-+Y>H%SDu`BN?43q-@#a| z>_}v|GoJ8JtC9jXJ^(ODSHhp=lt_ow&=2|9WH5lKh&7RV}H&qD=_V1dApx82ODtYeh z1UUSTJZt0oU%yC^M}>^V&-U*cloBCPX6AAV6Am(nk7wS;oz$H-aO)eb@5wJ5cMPZV znsg;;NSXJ$q;)h-CwlA7jb9VKMgM)=Fjjw4lX}awSK33{t$$XPW#nWSas}Ktx1K(O z9ANRz*~&6bId>)SUVOLLivw3P_1V9x6MqLHRRpbu_RxpNjkxTFK7bKriUP{ar;kz_ z+=!27;@$dWL|@ZPojmNfC?1bK&VMq1$*y;q*h>CTlh@Dwq?2N<1RJ(bxKSJGmw`i? zYwuPME0%kR{_Wk7SkoAwV(NV%c2I*EiI9JYv&V>kt6yKZq;haqtXsQXKsq5p=#hD9 z*lcZ~8F*SKR{hRY04O6k*8k%61Ce6l9;Ze>r6~Qv!hIn-y_#NBgRM2?dqB*3rfaWB zqsshLcB_NSVC@OonnueLEG7UepYfhC;U4*5?Fot2tW`7M#mtdI`K$zO1p_?jYU=L4 z7dY$?lF9*qgG~duzmK3-GljCn|3;5PtOo*p`pv#YR*Fa)lG9RB#Jef__+ww6&1=4T zHftJXLDly*8qgfgVdWvc#_yR<^E0McQUyc*Bd?;uXzW6jSGo@xcwuXANQd>jC*_KrEN_-TL$dqS+Dq)}_*UQBbq zSWu;`GuikT~~SpNyE_V@Oetf zlb8;2S_ac=6%^#PR~D!XC06Lfs+8?#YQF4~f032+;yKp$R*5#vxzN$=ANkW(WA&@K%t?SN0E^Xt(Y1A0fI@ zZ*zcI{CE0goq_1BZlV^R@v+uY4_Qs2>OH=uQG1lMIoyvUEg%I* zw{W-_fvH)}PNr4FI@1WWJB6A}>%=INAx}FAMLiJBM-|`NFqdC^&Z?ZfsKp;Z?iXu3 zRsXb}P$Smm?(jPv4T`{e(>X@|<{U`3LTxNOw_-0AKR!!hC~Vn&_p3f5T1r5w--0L? zK|EEt#ZV28 z=k?_`%WXuAO+{=}tof&9%JpB2bA8ft8f?5#1F2CCGCFk|hoSWqGOGDD8u!@$jgF09 z%Lf#ee=?5vpdz&5Gi0j)o2K<9Do9kPC7g~RIe8wptPWwSUP(H{_>m^Cs5Jj@+1tv% zdD2lkf18>80ABE^0u79Ro+K#S8fzz(NBz2Z^>$(}J(!k}_}93&kG+0M>DMKzCltvX zcI@H)l`0a6viWqM&R})(rJ8%88e5W&bS+z~!ZcErc+;ZNEUtfn4}N9f6W3kw+;q59`6lX@kvz6SP;!T$ znsPXSd|%%~lni&H|PUCBglYU@|Qhw|$ml}r#YpDLZFzAdEe@8Q=6+xx6^nkvX@M0+BF8sW z4L_Lc1p(^bLhDZz@1v0dhZz&ntaf^+Q+~3CP#g2$T?QU{D~bg5c;R4W_hHAX3}OHg^LZzsNgynq2;ghLdEDH}WP>E^Y(eRN?#gaTN17!a6lErj zZ9;Y3y1KxaPO;?#0tVzUbW`WQLv?yWyG%+ODb__vA<(u-$5ef17xA2|N#&$LA`uGT z(Q@fUpa?iI>4lX2UXfQAk`xe>nY1fD?;RBu3ZuehF#Oe?Zr;*r?|lBm#2Q}={ZsO+e;+(# z)IcDCq`XfE#v@0Y(OEcmvYhWl8Xb}URg&g&=>DEP$grD#bSrin+`r9_0br^uCRR%v z%OvDdgrYxRc(i+=08!3SydiR+PhhU)9nuOEdxN9>lQ+AB)Jd#kO6uKDGd^k7wBGb0 zR0j$<$pFb2u01qK4u};8{zW*BL^&y^qKzdO>z|hDR40YW*5)W#OA*=t$IcZuMmAC8 z)W;>MkGsU*%LM^-Sxk+ZHh)K5ZH?s;?bGZ|BS0I3{B`& zFvFx`hbvL6Dq#H7S9hnNMm!ovg8Iox8ZzCX&HcT*pLQ$c=3h*Sq*d3AFnCxr zbfHJ!2K|>7EsB+4oR-9L<$B{P#XVD3V5d8J+B})1q~OYdX1-1PVwgvE@?@_F@l;{s zGPe$Gg`B7@$b1lvN1qNob|ST8&)k@4euo8b6x^wz>J^)QI3PFiGyE6NP11DKrPGT%pV*&TF@LN^{(ub*xX8S~}Fv^|^46Q&#@(KlKKG zZWj%xb5lP3(Y&Q0;_AweX)LOC_dZ*8^JU%2tWom4(ykc;JZqU=#9-OZF|iL%xZE_w zFLmQ`IiJ-Z^=dKuQ3r8&2BI9;pii9SP+Y_*hmbfquCD?~pcaamshp32 zPiz-=J*@9^Q~eBf)6foW4Pa`}mqM8=-g*{pe&?V*%W8MrRARpBrpvG_VfOm<2#bMA zL-_pDLbVn=o>iWG#l$d1&`{~~-&W@FvKT+}&1?(5ve8d#2(%X8y4`93bBJgzGk0fJ(5V<5Q6z zO;x(6!7TbATV{`3x2htYQu*%l>m0qAoFZ_PW3D3<*a0SVHyF2J*-HO917YGQ2%$)4zodH}SI4xsVkkW$fPX#vfD)2mYw^TD|# z1X8A=7VtYH{)Q7CXSeNL?X4p{NV%&{;f!~e^WK8mcekmt=GrAnUOSo=iW*99KWU;& ze^V&m)#pghC~i^QKTsb(U&xU!l_+j{XVyb~&2@ObM>O7WPExO|gvTy~mYl z+-DTA97?-u9>b6^$~(c5Gz)A9ke^lx5`1Y^;{SwRqe~y1*vwck!)1}D(lt!VQ{_0_ zUL}+1{BINoDHLVE%U~soqmt9mI0s;3aLAhPl>MRY(|T`tR@sjx(B0QFW!1-f((s8u zajF)sS>puN_4@vT`+}slkst4T|LmfTj>N*F3t9q}aHxjQ(M<}2Z*GV5vUFIOb~C?$ zhvamGnck`QREme`w*bRq2iuBe`praHt6#@eDSkc8HJvuFa73)+j+q!rt4;QRXg<%i zSGUn7E6@4{h@QP!Hm#hO{^1ELm^J#)PYczM2=VVSs#8g@P+}r={6{3JyOtvPgKtA= zQ>I+n@R%T+ucTWzNPh=}a_#^9HI_sEyb~|Q ztObLL$TP_7YgCs^lff}NJxaTjH}t&_fOp`rw@Wndw#v6zEm6>IVKc)3S6}Lz)u!FY zPFt{aLFMfzlow!UKhjVmNn}q#dXRZAK&N1_xrr=j9mM$vLkf7 z&z0VKeaRq8olU$2XgTMF`!vHdZRt|u7FX7am!+4+f2tggf3$hQh)JbooU)3t3e2!S z-MfO9E$pKG-FBs07M3o0XrVVPO>_+ZO|9;qN;{2jL|uP;A!#!Vmf>Gq=9F-F7p@wW}QhM~Q--!1jmUVh#uk9yaILlQ-|wB~o*se;Bw ze?+jf;t#9kSVDDEWn{2F@AJ>-cEkX-gx{hphDrPVtUYXOF}<@GJuJ-FvOC*+R=FJs1Gi_zuPj!AY zuCM%WtY3>|Ka}!!cLvuK@}8YUHkCtU@D-$ zNzK&xue5LtYh5$VK&rpGkjf5H4_nh4e0t)KyE>`e;}G*>9-AKXIuq}w;cHR2Ip1=J zp(ptoI`qKb!_StsL_;8s(iZYPWaO^ylt?ouYf*YSizgs<$Ae`(!jcZy{Z4ci!>+q= zu?>7jr_8BJPlF`Zy7szQpYYvfUs3QHacH~K{0LIYiN5Zu6rAKah?jPe`P(4y>m^DL zcn5qBT~fXM2v5WY#}JC7K6$$Pd4w%oA5$9;m7LlAsO_P7ImVIXd`OfeK>car@ zQtvNw0vfZneyXIjN=EFg6uX`4AEcD9B*XENFX=RZPs5RjjsO~qo>DYYcwvS+S*|59KrfI6tvEb`w}2l1a7h{wLLUgz2|1*@v~Wu;O_zRHzy+g&du zI!OZ7zCru!ufnrp$*O1#Uc;&a8SUp*bvzsN^ujYoubXSMT7Gz9;pUn=J_|Ulx{-n@ zVO%(keE(uEU8SP|_jmF!nB;0p0npV9AaAE9FFqKU80|mQAKbc#UUi*p&noe+jiq#k z@0m%@@t(=z1e$8=Wb%Jtntn`iuoiy4)hCbHGJ4FI2+Pd|>aBWH67*zYO-DA{VjtzX zBqaMf9)@aX?e$WqjT@T`%6ADP@#Bw@K)75y#at)7trG_k`a#UY-& z?EJ1CE2^o4rx^4$naJ7>9bGWaWp5E!->U<84#H(*r!oUqErFw!!SyCDfTp2gIUbftwb)C{#b zuawB2eJaRE!-NJPl#UZ5qIMh23M z{XuB))!6RE=BTmdR)9+9Ta4}3P{a4e*U`k>W6~bOvh`KFqQLN0Y~M9!Aff4wQkj>0 z7-@8rjn6B2K&^&`hl=(*bWP(To_Uk;wa^m;=vBesdp9U3B!NWA%Z6^rdpt$b?i#%D zl&p*a4&|QQXTV)|S{bG6zO5wsw*8JOHx%j%t_Gdnwb!gI`e_YAAl^8y66^Cg0ByPD zFI&=ljRDt_#SQUg{}j_U1ij?&iemUsNw4o4>xTl_k{Gd`HgA8%S*l@2BQ8B8FvGRO zu~a)MgYmLv$tR_(=e6wdpI>?j_qz8N9_v)Q3HeQ^3C=OVSkpG?0LTn05kyD&^Ef3& zIw@e)2Y;{JO<|=mY$0r^>{;>8r@&tG6jI7T60kK?ZxKcyFr4VuyCM{rdPfuWd6+n( z75O>W;whJx|JF;4vqogA)3A4h3`tuNH@U7ZK5@1d$tQm-!g5+#S?Ij`R0%O2vpY^v z3XE)7LoDG-@_#{W@I7e%Y$B8#zGp6#HP!c71$z2D`UA*{D-0>S-j15eJ8!)pOCk!v!zr%EW-fN=-2DKyUXS89|L2{K(JOayOf$09_e0JnZrWEd& z6JAnReg0o#%^mNZy=fRe0g0w+Qem@WDSJnNtN<15<76s0(RaIiu}{vAt(};DrylFO znqjI*mZ(8>(-WY@yW-kpzSg%5bJWZ!lYA4bJ*E5k5meV?{sL~$`V%J5MNfsG&Q1sV?3**%^ zf8CeWJR)qw2F~*s;w? zQ5D}h7SL!H*{q%K#Sa+lM#cn$(%4k``&ZM0qf31G(YL`E(8%i5{V^jz5SlpovEl2> zAGWP&=BHb(Zg{}hcaPhZLLER!H)YffpQCsvgw#K^t;1_h1opTOjyC*|DO zl%-AoXIxN`zea1I@V`2jT-alB@ZX2%iDT2d!*>6TcIq*h)ZRdsycate{jD5Q`CuLg z0W8pg$ILe-WB>K{gVPPFeHoxqGj7oK4Wkf#g3c%-ZmH$;(o~txmG#4d%eugA)9&R% z-||`$-$f9G*(`+AWI)f+6zV)qjc3r4&Qq(mqKj8uK4TA;p-zw9H_3+SgY=eml(8~4 zYrql^_k)vnp^bc(H2Z6kN4EtL#c_33lJTcH8HUEs3F&DJ#A?^YSK7i&rCQ3m!{(0e zUpI@J(x1X9NAi`(_bU)1c}=&<+Y#9lf4OMqk&woPY=l*V;QktkDx`LZzi}!vrY#3x zYU&=&t6FxSvW9ck7xCO=vgKs;0THUvsUpd78nfk;W%JKPaL8jxu}@q!DqXjJSl}U3 zvCmSLt1@@NUM(E#9mjaF8&AAKMnI(KoI*Rw#oyhS9WSMAL#BK#8o+D^(lS;D+jz#? zK&eO{M)2Do7tC)`14Mmbe7g;CBk%t`^Lw_q`e#f0y;FSkR6A>v3=2)dK(`me0G@Oz zuko&0ND+sBE=gtIqf(jk%UQ7{!uvzP&HWn z2)cg&-xX;&c(5(vdF=XXK!xb=-Z62x5<$srJw0-u0=zs0uWJ|*PV?18>%5ue%CO^P zym=lP`?UjT!>A_(9uzle;wc=l@Lfc+^HX~Tjqj=?EB!z0xE^INTJLx22xKcmrrxXP z8znP5S?st2Ec=Z=ts@~Sf!bIDxM2}&TL(r;G6R{8feM)Y(ai0hPIhSgr8Vbr{DpcS zGl06Z%%u5=g19y_4K#CQY<^%XS@E9C&GKK^)#FELmZr0@CiYpIjEYobRqgDoM)nqC4;Hg(fj8T%vLGE0QKkczzBzDhmJu~iEHCy5 zV5lF_^4*q`0zw4J)P6|s(z=Fy)*F^DC`yNAN(*RJxzO$*uXNWcL>h}iPA@5*zUb__ zcEXBNqQ%NPG5y11U&92w&JCqtz;`uq!k?+p-}U8kTX?A!KmJ7_q=^AHURpT1qrt;t zt-vBvYs0w)imNoMpP=!Hk`8C%|#u73j5%-u!LYYDZPT{9EqCJ7t1Hn z>GB$zyo#veRQg>lI&BOCmycDb66Nwft`NT1TERpnSsgF7URBe$ml}#9 z_ko4<@rpo(v~fbRV3u9bqo2*H_bj9m=>R)tu~hOpQospTS~7n(c`jEZJI5?}|4k2X z&r2m(q%cErfmHZ*kkv0rJFrr$p``3A#IvNqg<3a5zRW<37W%p$+&2NVe}x-z=L;|m zia)N6{>zvYc=lc1J=;x^KMrrG#qvoVX;P3G|Bt9`sjf1-*z6BeCErO=CD0vy5+nU) z+{R$cTUfRJP$yoRP^ssq9LMH(`7Tha89xSyYTIvIUhimmirdPT2u#V8>>dj*DDjiL z>58Rd+^B`AE-YobnAaNAbdufYSj*t#;$5a)LyQX0D`;SvMzVPqb>hzInwAFh=HH>J}Ny#ts#zzGP;tPmv^F(WY?f3C7LI-2B zsZU;T*^n=}A8cuk_*+%@7;UOr!TE^8YK_DGiWd|$d`OA7Zisp&^@kPlohfhafNIu{p zO**7f-wwp(1gg<7x=uLMG49=<+~}P)PxDzoc7b;2A5qOg+EOfKefKoP`%LTZ5S$U@(#sDyL0Hy zmGpFojQE1^jk1pQa&wIlX>iN@S3~!4nIAa*O>f(EWj!DJ?HqG>Ud0zQczFioUg@wM znVIobPq|Ofj0tz`nNV5urHmD33ZL+7u!Kau=Dayp2?imnvQzAlD{uFsd1R-Am|b{?}$*nofm9j9V*4D zTod+}>rAa-WzLil1l~`vG~z4jert5yiEkZFg!DlaLt$4mp0nSi)4|&~`mH<>yP%Xc z#(0C-g&EtGbTKRHf;a8uRhKXR&2>ZZ7$h&4Nvj-w7hp4SV%LLOZmeq0-x^;}+#Nbp zD=GCC#OJlBRo0GZ?xqW@pmZ6pq-CSPfscZIyK*Ws{B1r*E@M!wJZcXfwAuft5=R`Z z%x<%YthcZ4Egt}7f}ej7@>M7ds^imunJV@z-vHY;o-d#LF*7rC+uC}1DTP-IufGd~ zipTzR2`B=8wQeJvZ&Iw>5I;hs_BX>O1Ec?!SDY+vaDUDlawC}9Hg4bQ*}gB$(j7F} z;r@JKT6Ax#Ov-zNie3@mi=$zW`n7#KIYS_Ifvx2mQYms$c1Tu;fa#F1#D6c%vI7-L zN?s=^df6V`b!2>cEyo<4m}-Hp9=~@#XguUG%F3THn=JwFAD(dxY$FnUqhCoCN;qcn zT$ue2@SeWVq}XheJl@xG)+$PYl7#&5Ts&t+H;s_#p`@I$uGFiB7>+^{OZL#B{y}yeENh@ zMi8So;RaP<72FHebM&USlo$~v+n#=S-N8idr9GQtMGSaa&K3+wQ4{BN zC@;mSPrdR`>9YwveI9x=&&fb&V6_A?=fw+r6<*A%aL-Oz@4#rJz3#NukS>vnZ7 z(Hlz;-`-*NlGS3C;0ZdlORi+{w!Wd^abA+Q91n&-pNiMAW?leiHuU%Qaghmilq3}i z2O{Q`lw2E&VQm81m<~nUjyGr8O>{ zY*Q9O>V*=&&BOBH2yU%s69ru&KSH(U`5$QiVDj=3DY)xbAm{~?26%BeRPtGG1l14W z?7^BQ_{hMj>(3)eXmPF2>9^lb&D74~^I!Wd_I#J)d-JJPw^gdwR-%T?GAsyZz^`79 zH@x4-NOf|R(Q@K2=1a|uO1_S0{*e5E?Q$75^lxS@X7kmX>g+c+Ggj#`)w=g#kYTof z3hwU7^Serq{mV^K()g3wTA6)jYG8(WMRkgfj|>zieyd;^o;zlnn_0&6v%KcrgtE9N zXsE6<=y1SIh>A~Eq&e;|-u1fs@x3nT{_t*1tc~G`T`-6wF<$@clz?Z}rBeXo(D=rq z937P2-=_B*6hZWtyH(5XFfPjQnSZlC+Xu2euVs9`iRob(u0K&p;SUD6nV3M{V&pwn z(Gfqeh%xtNtxmW4oewB5LBcYoEUM~=?>S`jlq5^ygabu-YPc-IU>_~)Z3c#fEQn2% zt7(}5u4*V(s~=nPw*K|B{T~~&?0y*oSBI=SR*&b16}5eu+-TmQ1M3L_({@>QVv}uIr+Jg}-uUEeaeC7c_pU?AeUCc{*YV)p zbkV3*nCgZgmSh>3rlTmK6^obNW;*-G-H zBQ4pz&}b<~S>OZ%gob|EJ36bjM@So|%U^C(5$KQr_#9@n(r~EN!>Up%Qb)p&&3Hn% zQCqc+bmX1)zv7f^C94i+Z-NGutkN)~n&^9iueL}al^{IjNAwp^?WdmBYhV0njaGQO z>J^`mk8(WWym^o_-qsPe^VV4A9@)0hj7i2iZp2>b!GK!H$N%*pSzkI+oc#e650KDS z&vl@Va36iw;Bjeb+2ptr1Aacz@Vi*zP{;IxuMHJ|u_LRvOtN|a-MW7HY2<#O{SGsw zX=Ah6Nnr}UqWC1+rU9vFE@PBf_rimCXhguZuz?8LBpQq3o+vs z!0WXr-)VCo6-}v{NBU*MChCMTLQ8xeH&y@JITaF-9*^hXzi(F7fxi+35ym@l4YE<) zgM{R$wH;~D-^-2by`#F1YVJSmuwT*shxc?OTK>p=zR%bvZ>$)Xd^<0k-1Ohj&s!>R`LjKNa3qjcfXU1WkdW~uI*4Hi`ZW$UCG%`van)+$%d=V z&%fL>im}0UYmw70LIZexhYxs*jG*yDndNyh~pckPlKt0Y=6W04|7?$uPG$s2r&o9FMuAS^m-*N^jRcZJPYDdLGj zF6+Fg-z6Cx;jZ$sx(Ix5W$mlW2kZDhnC7R}%!WT&i+DIC>!isR%&!-HZ!z;gF|%k; z!shMU&323s;G<9gs^@p>)&#gORVKioBA_W{R{-ElZf1_}?w@wp|KIYKu4u{jfanP^Due68-GnJjHN>} zM!@UZq+*-)-wi&_eymN=PBQH#-JXuJ?I%!KXLfr~2Bo*WVB0valU_MUGe<=yHF5o& zaPsH%-XU2hN|u`YRUKA}`9?_3xBk&!*I4AzlNG?puqTRo1behI#ew^(DE;qAlmA{k zURm#QmcA{&qyzb{Mh74_hw(u4Xkyvs`(_MQDVdek@~akvW#(gsVGAB5jO=qg@0*Gv z8>CVdi~Piv_E|XFr?E?%pIzQTS$MvWjD*q{mp$(G7p`~|PSt|H`T3D@eR2K%LL0e# z`ri{}3_Wyd|Keuh$<_;kiDD}m*vqNfZ$NuDK1<})pvMQj`gGD-L7xBin z5fl@ZgHBV2YpV5mc&jMz_4~GTPe92o?%&m;t!g$(m>BD%zpQbGVO+zWGTDY2j;QVX zj6ZsrkmUgKVVF?Hug@{sOwnum4sqovh0l7G|LLXRzKh*uX~Oc+?4%mL4*XVfAE^OD zz5upI{oD3Cda1(U9n+1K9G+02aUEuN90dBNp)U_D+m*!ui%i{mSz<|%j(1dOs+j)XY+cp{3V?uZO;X5nLF0BkSdxp2vg56_n5DJ19xL7?G7sPmtmvHibpqy<-jcw!DIWCIy{|DAGLcP|;tP;|_FPN61??*F(;Ez=&8et4 zK5GWn5f^=!eSaz}z8P|sdtNDFK#>6n=A14~3l!7F3BjtDOGzyWk!nXI^c7wS`cy|d zQMzA=`S!|R_$viKejTdw0a({=_a{MwHWU|^W2Om&()J`AnUKS?oJ)g@CRt>NTH*B_ z@kk$(j*q^pnUxw~N>BSHwjf`O6v?>O?gMVZuQZb{n2u;e7p6q3gAaX$7KyKe4$~%T zEL%}xc(T|?-wE}dWjFM(H0!^)R_uPJmYZNrUj0*S#-U|Rf2kphMM3ZHDs*+7(|g4e zL9$!g6pv|J)ALZFURl)%F*nt}9x>5sy-Yt$CpDHz!`93qep(lWbr&CFL?;B~s7IrL zg{xWK6r-ZFfJ828!=6s~OE0x;q_5&GBk&WRzmR9uEC=rgF7(NFRN_Lu z$jU_)HB%%OpnNCo@;*#T*7C8Y+uZZ%gCybI+kg)BzDVijUh54aiRwH_8AsH2GWMP# zgGyTn0U^l!L@uK2yJU$#Yzk9yjO1^3wCXv(viJH{szOYpFy4N$tGIE0QU?LXMPEnG zg`AFfk{&uvt^UDHz}c3bvOgBB%BG)`g~pqx0R=J>{2!>lAP|~K#(d* zdA$sgxX0q#%5c1#DXe2Z!x?JexoSbZ5p~8CdRZ!se?>rW&1t`|TxCo4Wav0;@`Y$; ziX+E?iw7`mpKtZzNiqFM4lWsG#=LmC+hurKv6sXNM-}{(+gIBq@W!7w)XCXwoBj$z z=gj2Nd_tP~&c}0CNB2{H?d$~t(yAOaGTJzz0)3STWmie7Jy}CQ`JK1)NwP&4PJ72{ z*yI~nKU+gWX!;}Ow(o^trx9r}Mea`@m5*wY3~ zLIQz_7@v-4(616Ab!GsKCPNs5f$%^g0Wk=7__MlkRYt3|1PLX-USOtv8C%l|#z1=6 zyj_2w_qtVHY#XbYS$ggX8zE&VV+aw0aso88nXwuV7D`<&Cc8)PO0@(k)B0i4bPylO z^EYcS>j@^suP~AJ%VGIHvH?1W0giE&Cr)TYVITPcB{_deIr!= z3lYB8jj`kI(@BQT;M3pLjT|VpsdfpK9eajOdPX|F z^(tvJ8I?QJ^YsVwEg4!H4{$%K+KJ6-Gc&*#N)m?T6z)l)Wt(dpx9%R25i0qLsuK$r z;~>OB)*1Y68ky#aHMEyf8eWMk?2T_#{uKHR&-e;@{PE3KM|mZ7Y)=cyYJ4w~RtAbk z(<|pcUM#-d{HFMC8dn$QS-A$C$RP>XBRj<4EPpS&f1lF+CaI56oD>Gn zqW;aUEkheH_|UcYm0`*R$4x?WDVWaY+pQc<%o80dT<8{_>cQ}yO#($5&jNnxYmFh0 zK}@?1{sQ7@5sJ%GMWb(*H7S0ioUNlgJ9f0ONkz;MUxVZ4H3M5fSYU%?c&c5Q)1SyF zxcYU7g*`zqu3YRVqB3m?bz?|KxB6cQE;SX7Ms2HeqzrVErYc%a=pBzN0cS@V<07 zuP#Rzi=gE8yb)x6g1eTum?bBZhrdWD(3tl{y-ttptzT~6SM^v@KC*}SsIPxrCbk=^<--|OUtBILUZ9%%x9^Fhr0_I9y-N*tQb0yCSw)IU{QmX7e zNh)N&!dJsSw8iAs?TDM*v~`UW{$3~o?IzD+rx&9MGHhUKGT}V?W#SeN&N~=R8`i?Q zY}3p%*$fpwQ!B9;Zgxk#1=v44pxp2Lnv8H1yMUr}`oRM+OMBxGo1&ekeH=?|)eku= zooo_Ic0`5uh2ly56`Oc*GP5pyE3+W@`uL;{msN`UoN3T4bVAOGIW zkm@q{_}5SOt^F$k<}7PDb!(B)Rm*3pHC+ux6+@x0IV&X@Zi1?Q{A+i4fx54*BV=qTO7#V3YUFKnpOv z%wUt>d6OOJ%yhr>>wJ@;$b$tHu3RTx(?yavC^C3yCA7aZLeq!ABp_#7 zE5ie2t;OXe7T3c`sHSu7R@3pFRoSCk$aK90oTPc1pT%?NOViZ=O?m#K4ywE$fI=AG`-Rrz&oGWp5;x60# zaCh;=v`yTWB&=VrUb>a4effn44N6y`^4DLIZb{1kKx_J75Y)NkzuNr3MY+>n+h@AfeyBxvZnHb0)@eRV>b7E0}WhgB`Qq zvV9j6x+r;UV{Fn#xx!=+)PmHgkm)&&+_x>(^i9hRxvcoya9^-*E>2rj=FIT$XASWj zQsv)5$sc5Fgx*D6CNTFPcSqWpkmnDo8s~i6N zM(RzKZF|=FG|b)2EqRZYp^4oy0_?F7->*cux)!d4tyTes@|Rk{dm-dkRYo_>Bx|{; z5z|GmrH3DNvhve6B~Ne5Ix8uMMipMm=fCH>l|Z23tEM4{vgPRNh zkLAMgME)4s`RP_B`UcW*(ybB`sP-_8>fS-)r_^-{`Iu6PcZTR0PIVhM--Rt< zez5GeR~kl4trQ>~^i^qnBSY?~ymBiF1kvBFdMjChTNbiEn&<9pzB<0wFYT?ozK!kndH!~;)&AdXJ+>VA;(-7o+dvWYMUC$Rg^0;`#t)I+t2UQo zL37-5?-5s~41ae-me0@gJT_GDy(94xJKmZ^4_wI18?v5Eoza=&JW>m6B`v)4Apj(7wZXUh7KjWXhg&{j4JQSWaCZeHZ$Hm1 z`4NMdVCL~fl0b&bLJy=(nMpup5b7Gi{`cc5mfpONL&>p^mo>N5lDm}z7f*X(s!TJj z`t1ln1Jc+;o}qwunoIc-!;s{mHT%2Jyx$w1j~FCDkR%xeYR|QL<03yQ1<^3X!9#Lu(ZVpYLGhJ2LiyB9<)f$xiXfKsiKiVWW>nH=v$`vj7Nq5NASf2YIU73+ z_C@rvZmRWI$sYhQ>zQ*l>}Pr4f^ewO7<6}%2F(YXuFuJ zny_%PIn!gxOI%wv@KI88kjHd!ajVA}k{k@QC?_W7vd`u)2H-AOl1j(GKr&wTw6kw2>@oE=hy%KD*wtdWJaHiE@gyD4h=cnT|No1?01ZsgrY+uCCi@r z^JReTlhcun4UDrP;z!#|H~P$pD*VDegA#tTKq|2zfX9R4d+@kxLv`&p8OJD@6DH^N z1nC2P6+jenJ*P6teaew)UK*HMK)NAtwBbj0rnN2s(UM^I3s9rIV?%uOnKc8p+$7hP zFN`!{FqrO=!DNe0O{rzOLji`C%-NvwRI4P(XRNiecT%kJ{5TK!?Y_eeRI5??h$p+r#a7o`U+2n#gs-}JD%k^p^OYYn?;-MS6gjS0?r zYVn40ky%oMathgf@Z#vZb^rw&3pe5&N2g{?ejFsY^HYTo{^NSKbc}%%0c0bG20=#a zSK><*Ps)_OwOQEGC)2IqAq=03Rt?#$aH{NhP!@SJAGT!j3ap+Q%=cr{+(dx$IQH?% zQ_}Iv6B4&1PwnsK3h{qZCF?SLTeEMswr3UV`|=0ZK;o64i;1u?^Anrsu0ds zt8CqUBVF9`_QyXw{$C`^?YKAawE8~&p643Ctk{<_kZGz1X)0H_k~}uQ=hmS)_53ti zRe4wK5fT2Y{Mj#tiCzA(<3UnQ7cFscJqykIsyUDJCHn~%CJn|?G%>LxG0Dxl!{Y*9 zv4J{z=EE>Ko%b@KiLX9Y;FS}8FTbqu=l-K)q53tRT=M+HRTk5lmo?m5a!kJpJY*S7 z=Nldn{F^^KRJm^lvVJi!QDt1)Ro>=ne5JG@hpt3RCq`Rvv>|g@7Eg^HSC5I!R?iP< zi^F%bJd2Xdm32w%bO<`^c_1{!npzshs}$nuu?-TEOyuLqYpV>Ll6Dd-!H3rCkCAT# zu4La4#u*k(JevT#pqnj8)S00aCmeMldB(0;^z0tQL`cCqVa;k5W{nr-X&NS<4oB5$ zQbz?ga|sG;oT^s$pNBv6oYOX>&cdd;>Q$D|aZT>Tx{OO$(0XjSTMRU}FZbBv!F-x- zA1^=F3)^LvJWLib-ST3v@(2zpE);N_&Gt*}engZvKx6#m6SwBkoltDE_jnyZv>mqu z8tWE{bG(Py*_4ATW;9m2h=DTO?T&n<@!A(hjDdtSUY8mvnnj5H$2IO!(D9F;|437xgNDS5;mjjVsvzP+DrY)2)i;$=>!=|QkTxVZ3O z7dnZ(Q1SYBrWx0J)hBmVkeRib#X1dc=JN&Yny#5Shi_H8FB;Ty9*f%C%PN$ ziC)gW(Zi&(DA-Hzi_Wl+RZ%h73L0Drk;$I>?%gL z0Umqx4(~6uM*(&^ZtB8mljkD@SJ3;6 zVbAtMx&>PfW}@@nO48uCphdHP>i!9W)6pU-rfD90y`)6Ub(HPOzs+={*WMr)YPhwo z*^ZguHb&A=J7y3p8W21&$@~XqXiK|6HlKzFjfz z8T}qyw>i^P{lxG_x4VW@zWgkeJ=f%Ykp)nqMarWIiVD^Qzq$q~!LhZhWi@6pdW`jJ zsoNs~S(MN-PmaMVrGAx4sf>0O4vo-8NLfM+yRPgg`&%fTie6`rR5*3B{oxDh-y(Hx zFeUrDE)vLNAv5bI^iY7Id%GH;+*zov8(<|xZx~}Ck~tf}{A=`!N#K3cI@Ghz^UaN? zi)APk*>u+zG>&n44_n?cF!=m_DR`{AN%v^Pyh8fG$`2EoES=*FLDXmj7>V&&W_Je8**|pSX|s zL!ifdY535<#?uUw`}PgkU-gIkuXrfbjc7wrjmdFFPt_5*G|IImrE1v#H}@Nl1z>{+J!2onW-v@)C*-whladY1NQEyU5?2J4t9!5{l?Pu z^NSkI&P>j!!)6V;J{fLJ2ooKQAr?w3EsnvFpB)(FIuoGRhq~$P-!5jgw6C21%`fHa z&R{c2HGfo=)BkWP{4DWVW9w}UDChSz7;V9V<2Vu4fNx7=(gNfqd}dzJ z9@{S?2*l7eiH^MP(c1E)+oP8?A@Tx9WeM*f*3o8h#y`aom$Gt<_1X^`JpF(7$s@&X zr4ZeMYMdUddHg0(9ORmAE5R7TLk*OO#cT6b%S@GjldCy9Oi5q6{7NxCk3GG_*?eb# zlh}AS9Qhe>vQ%uQgeYD&BW3$uH6I@xW}o_c4JUii#K9ColA2tlH^LhPZ|w0^_+eJwSh z5`DEFSU_tP(4a}QXVDDH15t<}+gGUhjQ6}tEay<6d^e;Z-k#1y<+Mi90Q|nlaY~6T ziyCIvtwqw)7j2y5k;E#ZU35p~*Mf{pIPGbJyDK|&WU+YY1-P!LDC&KKR@SXyiT%sf zcL@XU&MIUG;hvC#<1>kq9;|>GC(m!*J*8*TtVY@|I{v2=*V|_#ijy$(jl1n_+vK)( zNBF<}EWvX=6PU)5jUP|gqx#qIkTqXV?yC;K_5;^^A78MJ(?9S}?R)ItsqYi*^Ad4~ zRe+>9oN-!0=C)-dX%undJ6*R_@ISr! zna6sA7tN3!xTnFSe_t3MJUm2lJy|^n&@nE;!rCXabM=MO7L&8syCRI**L<|+*=SZE zJS8Ula_A6W%=A0HJBx5az@&dTcIOe{4)cxfmo55Mar$}^W@*C+gc3m);#GOM}Vj{1Db*Wox z6q_yY>u&pVl)9dNvJ6BLQwgn3B^SAjOJYL!Yiw7wY9Zr-_{Un_X30A%(JCDDWd;82 zfI!rA@I~vG>l#JgQPv3ysH0l3JkML4Tc&S?_(V%hu9$Tkb0&B5^ad(6#6TxCm~iYx zATI{Ks_2AeCXf)VtzvjQ0 z)0ZDq^?ND4Q(GEEUb9u5=y#Lux#O6SF6Y~-ruL<)DeA5ff+84A1L(;RVi-io`d0Ko zcO;f1*|oN)p3PAY=@TDZ1}MQ^Iq-xBibu{84j6Kkf*4UTWV#z0 zvrcN{KL<0ky{Q#-YkW#o8<|()sB~Yt&K9KSB#<2s`%8# z=Riv!MjzlIo-5*cJlu)gK&TJ!g>~zY078(lu@R!N>T%!zKZfD4bVI7LXFvYIQc*Jx z2DQK2QJ(FjW%fmeAmH1x_n8DNz#zB@f>~>(5uE3XrYt+q@$w=Vd2s4ABw0Mu$J*N;9S`fPqR~lJ5<<9Dq z_w7VIB`=1KQ%M<1++8I1?ma_qjtSmjmY=YkgZ*y#0Mrr~I2L0^ft-}vVUJd5uJCia^tnX;Q zNdFJ@Ueptm1c0yMe zzOnY=s%$gK`=^${wsIs4)_%vRm1^X4UKFtHiidpmhhk zZ~dD4z027O)Y$po>>8pPOTmK6*i;q81(cSqx6HZ3RF*{c&>}f`jl(&>1P(>i;7|1~hhvN1J?bfZwDg-qf3d49$ z&=DC&n|nQu`WlLhquZorKjaWNR(8@Z!dGK`Tcbyp1x}QkitimsKGIh8L zlEU69vey(1ywNasGCembKahpxzlCY!<JX2{55aL7NPlfB{J7G1wh_`Ycg51#p`A2D(sjQ7~{WuSGYXYNz;pyu$lSdoC(Fp{}|hZIHEa}2-bne5qxQbQIDIe0|Zzj(iN9@G4kls=)6i$J;U?Rhpou4fkSGA4*bWSX^FN@|@#(VPPZx8P{$oz0s)p zpT)JO_`$d#e6n5ue`4}7r%1V%h$LD5FmV&zH(OCS_%>sAl36V~inooBkgzPGStjsn zi9#esI)NkR*xE|nyegZ^-vKb`__ZR5=w)sVRgAqC!5p8&uD>KAR}A)!3wGvFAi@x0 zOoUsoK+^9!Ak|&{w(I$h@>_H5A0a22n~auyo!>KxuY@gKr<>tNvNuA6KgYP%%eI)g zUC>qc4>N`Cp)4qnZ5ZorzGK+$dAeiu*1^@YD%z5cbh0JwEK+pecPfISn~C(=T;rko5F8VVIOC@0OS4) zcKcVz^P1A0@4L0FPOF(T^(*Yyav&~~YsC19{@45dWSdTE*-6@xFHyaRXZF`7g^7Jr z=s~pGv;|dOAnW0&l*RM6Ejf`inaFYI;Z;UYT=Rmlv+k()w#>Gdmzk}3 zbQ#+yIO=J60`$4wEO_!8R;YpM)AxC31)54T6q0J1aKdby_AN?=RuJ~wU_=WQSWR_; z8K7EqxzALU#>=FCl=Li6Y#i!w`KrQv_vJG^u!)Z2CEJ{FVvRsu@wE>{Erc@op!;c!m^6pF)?xeDx_eI8`?T)C z)m94A`yxMMFl5Mna!A@D? z=}=v69D&i3uiq3`GAvxiaT-4!d%Vo`xoU(n*|mCcr)toRbz$8F0*YQso$-HMw(|~D zqEs1}URq)?;&fWo?!#a$bFYNI$(TI6GFGZ(h-29x)~AxST@gE+jHx2}T3aECI;wK6 z%9xcM6&Y}30HR-;@yzbQUR(Z#^6gQ$-QikGs~67x)CLu5J@EjgvC-*ayB%hMFe-Vm z!YpbJCV%=W*I4`a&<#;GQw$UqfCJjL_@w^6;wIw+vGPdD1Z?HqVJxq2onm3EvR3nt z_&IXB*t*Wl3-`L6RQ5puJEJ+;qS3{z^fa%mb4rlMJE|{Wof5ytQ7o$A1wt~BA>=>V zPXz$VbcOU(Y~DHWH7m#w}66BnI(@hG%*yW4=_xLpFIxL zk*c^fcn(hg3Q+{iSkrY|W^a19+GWyqQyIND*o>a>JhTd#e}dG^1}d=YSi180YZh<2 zcw6W~L{{+b(i3I&4)>kzD|&7?_?YRtDp_7DuVEfxNn+qsQi%rWlWuq8;kJ2y5P5H^ zDp(X{mxfrNT?C9%7wKuy5>&xNx%g2E6Nsc=tk&qR4=#bG5=E>!a+G*jX>aY&=~S{b z!1W@@s&3U=JJXiyi{x1)0wH41QZp};(qhg_cE9}J3y>o1a-ecizI^Z`s%!fvxQ@%O z{hNEklW>+lodAL|WM+QHfyo#3H!dyp+C!5Sf#J@zGSV@K8d_Oc&S@FS6h%Z$hD068 z^+F@BWd8ceZoL3>YxpV)b-@I88lxICV;dqa9m{slXGTV!2@j71@1n?tBRGR(3{zMh zvA{|hXWPj{<>FO7-8SR*je8DkAJtK;GCZN9Yr>kLA{;_B|G(K)Xqb?&hMP)$A|eA@ zqwnlpO$NR?HrjEPB=1ZP-PWz{Q8aImXv+fsS+SA8V-eCc!@0Z{deA(A*AG!U&NlT4m(#SOmz9Ip>C(!u9LrNwLXI+=K!b^D@uEtNn=hf&+q2z9ew?&4x13NW!(()CtVhao;t10cp$qrAB1mR^^@eBa3=-pOBZ2qm zd3>Tc{JRevH~l7o2JiC39rw(0LVe?)_zsS3)+Tcg(^lMM6U#6z>tTm*aN?2!)8^)| zY&hCJVIXJliEQST-O`q1IGp)eRy9Yy%nIBzJwAou;5OT{2({1dsXAc1AFrfKYD2W{ z6R#78kKZBk0jiy9UIYvrv3nZv%3AuKSK#BZZk=O%RhB>H4LFf2Zxx|}_q9!iu#)t@ zE6TzN{{KDRe}MGuBuXWrdw@|1L}NEjakoiqiyrFA;~!wd3VZhTYCb=xVoZF5=o zxxaW{`A;%$Cy?R5bv>&LZ`5I6aQO=ysBcV^k@s@FNpXq44;i%#s;5rStL1t0q~k)# zsBQf_25^+fhaY1~Pkzod^t6M2S8mjC>Qux-(AQLCtcs=`rG=M# zUZO2q)T42jee3bZy!v`BUaE}%ocG%=4bRbsIV#$(V|~qYKnc$-cm{;FKYN2RtMVH9`wS&hV)JICY2A%hTT z;D=_K1)H0%O)5a^ zJvw(3#8^5wLybTq2-r8nc}{ruuDJ6KPR6dZVFBu?jTRbugQKGIG0~6ZY01Qt#r9V= z1+O3N>HEk$nRz3VEN#Hmg;#BxahHkMH_B3UzZIm$*>>(4i!<}GilR*lO^B*#R7@#} zQWN2?wv;c=EUF?_Ju^S5c5BZseLdS{Ck6#q%c5wa;BhpwOIMTgUkMh_Rn!|C`fG|Z@5%nIVQrCwliL^B$%jgJpW28w zg_D+zJ{AJsksDrK?>^uSQ>h@I%FDHm4d%yuxc#Jx@ts*NboW#C>>MWYw+USsZ;mI&$e@iq-w0#=zZu7| zg`6G48$^D`;bh`yXdT=8jJJ5d7tia)%MH{m>wNe8)xYjGvoe?_SlxGCmc*lKR+tzN z{x%$Y-}7iKLB?cCk+_iLYeJEpc3Go&%P4wv6*}r*`Z|16 zc@}cCn7Q;WSmjRO4;skGO}0p9)*O+IeTR!#o1(?lf50XS7h&X z_U1Tyk5C`q_xB&TpWg3#zh1BBa!s}lc72@CqJLP&0~Eva*N%lUi4hnCo*m9JAG4&I<+uB%Knz5^qa=d%(->kAg@(M zQY~Qj_Zi_RB*Csp-JEx-_^;l-!i{wbmI0)5j!KC;ZvC4crRh)ooT3>57BXA(YXYEg zQ}5}*t@{J*Fr|@trXT#8`>L;nYUhw%^!d!8RhvSmu7Nh0z6NzPgSLAMwlU+)(}X(#^P;nFMin}qE^F{k-T!hDu)IU=-E zDX(rr)4&w^~Y1$wo*1HK_es$mt_L?yCtUMtDmWbPO$857X*Lit!)CsySQbPv1bA_ zTWVgmXIp$uH$hdsUf_7ZWOEg0wJhphhe(<}pWQ39d_Ul#b7B)aIq>QCr@{(->X?@A zPg?$plVzw_D$((sumPhnAQBFhnuNdCaDiBpP#o~rOe8UN8#&0hDn0OGtvO2RcckOQ zWH%g~7AcCbUTfIK)2X&|PSdSXI8uY?<15_A8d$!TFBDLnhr47bnr0()`g+P79~>m_ z-%%uyV)W_qv}D6lobf)_Qo|p*$|UVbUsrt#@hZf8LWPUgAsVNgiv<J;qb`9EE$FS696@liXs@ic*|9zH{x@t1KbY%}Yh1;gPfq152 zczDr}C!l6WUx*pE9*xu>(vl`jU%k6quPa9gft9QXR&IL6os&&DqomQ|arv=87vyaB zT9>w!Ur8!Fpy;>SrXX<@-HIYZQIT~F8_YoCgM2f zovGaX^H>I*2>C=H+txR_`SlF^qZvz zopo~^XfO^NO$9vWTc>Z{r@rsK5H~x;iF=V)3 zSxek~cVycvjX3XLfo;_zrQ|~h30t0jwDb4jBv!l8vx-SQmz#{cX(4#fYPj^2Oa3O5 zSdJYY@n?o#(<*EGX*nRPpDZ)(j>Bdm?)^Z*?cvD0M?jF@5fqt#KT+pgSEzLL3Yu8cZk4Ox!51ZWC=Ni!hA;L!DYt zg49Vh{MVb*^(7OeheqN&!9qbb=AxnSLM*3Y`qJIugu|(4Whgk zjvu;djpxP_Qv@g(AF5l1v-lM*o{33Iv(B4`wXvUei?m}5D`Q2~jGu2Z_Llq4U2a-L zxn9;5+)j^GlJo*LQe0dRg$4-B>b>?0QpUd(cc$*CwC-|H5;xhfZ^9JEOB>ON!Vn?S zN+maw#)N&*0)_G~t2x^s3vN_Xg~Lr+gXDMINTym(jqGu*btM{m&7xb*uR)|X)u$7| zfhjfD-uH{ z#{e#?Z`Uzga^`rJBZsMbzvgrr%uf(lRzH26Kc$UNxQ;Ty<6A8|2JS$ImH6&Qy1TUn z$w}LK(w#M)Y`H2X8S<$q3gT1FjhMWIH(4GB@Pvv59YdE z^|3DU;Xkk5ct!4E-HJ}B(Xu^%ro+D(exCcUT4tXMEO5gy^PS6XoeVLoc}I-oZj8Y8 zT!e!O8+U;038W9Y!lcCf4G;GG&!Ft=!D1+gpqilT)!W#HIT>@?Q@z@}r~luPI>?)Y zLDJNpJa;+Q#Z+kz^3A;%tp7C9^G{3M9~CH?v^$F}xy%mk(|@8onj&!U=Z zPf11RlxjTtMvWX)3RCr0d}P2T4!#@T7utkOF?wAU&ix%ab6h4e(v0AtYI)@ zg%W|%o0P{hoUbL7A9OBurzX0ZF`VVz7;_M)z8P6HsKOeuZ3->OpH7E%LBEkOhqpX; z;wSt6o+Nl>ZcYAxAXh3R{+Hl<+)}es#+na~XPr3)N;}=4-|Hgjk$oM`6D8aPCI%n( z5b1{FKl8`9w4Rynl^DO?KujN><$q_m`dLl6pzEm%G*h4Lud1{&H~Nl??&J^z130m; zmyy)CbCgr>De`m<^*n)llMqROc3AK)_1xeQb`@#o_?0I`2wq{G5q&?C(Fu9}>$wp6 zpMzZA?lRt20LawR;=q?akGkvxxi|953AM3Op_akGpCUJQ-jn{F7 zDX0UGdpm70Qj3`=Mk^`)Lm7#WiVuUdE<=z_H&qL%TSaU3)h8h$_ro-8E(jqS2V`tI zTL}ICGrX5QxtH(U$4)Vd4i&Su=sDR-QilhrK*d&uKd&}yDd{BZ8so`{wbqn;PYrd9 zpUdusz)oK$@Xm1c$5;-<#p!U^2CT--WtA+LifWXLe1EyBWv$9-eN7!vvNT2C1MKXB z&LptlXMKST&kOraNJ{Q-C$zhU|CaC5|Yp^A5}t@Sz!;%^CFR5?Fm?3oCC?;I57 zXpSOB(jbr%6KJ`-J6U^=DC&pUYwwzc}%2NM|vlgvfoPL70}`El)Df zvqoM5_hp>6qPog>{rOjMdEQ0DvsGvTG>tF%APs*=IzK65>CYWBoL!~(e?mnJJB}}!DdE51`FRWrBtM^$3IHP_f z#1AXb<7<=-9&yqwBi;D(|emsw40G*kKK>gi5<-`w)aO_s6%{7cv~inR{$7 z>WLRH@;)6-ZsWGAcoCnQ*#uM%Y+>G9|VZShx3817+j zIV+3ztU0ZB>Z4dCe!T00&B&;0*5Rk--`}-Fw-9GnDV~zj+9`vWGO~#o$^M;N8nrqW zkZ5VL9+*A%m&q6kxN~4Y;T$8VLaGZt2{vKn5ApfoDzU06l>=cc<(*@w5p`X+&VhpU z=7x8B6JieS=_db>4_6I6yb698jHPTo#~_$Rk4_s_#&q$3jV9+gXP6gqh0;m#kV`FE z+xl*xhxQt5!;clQR!DE5z=^6@=jM*s9OM)veuuWFF{B|J88T#TWm%!yTg; z!aY1`6YCecZTb0I(nXJkQRn8=b;tWNhk;9ns1wk64;~=3X&xadgV5(2yvzkabZ%;F zh&tG_kh5>y)k<&a7k?!7+M_7dQw7RE$gn+xxNa`kbIFugYc%y1|KAV~tG@N5I=aN^2{U!*h{AtjN)CiU;`>)I za#lnMc>BNsz1y+VL%O0gmhhPLSnU>gxEfa^B18+smgGI_o0!qkUn8Bo?LakPZFqj- zSYROiM8HupQvbjgN%&{FeEYLl7CZ8S9e#$19G<@@W8J@3qxsa~UWcmc7_jx;nLab+56vPo$szGHV+Q~&bqeUZ{T?fd0 zD|s&)xC^}fN|}3}E{Sekp>`>teG=l_5?HfkSTNW^!OiwjJGmI}y#xIA!+FN%f5(!^ zeTJ-i3Ph{;_V}dGYYl=)k1ABo;6nfQ<6(pfHD*%Y)Y=2lT0TP_ULxOXakke+18cYq zy-lxfG9i`jCe7cns#}6E{@BK&z3V7!_xO|pIXv05m}=YN%KyrC&+e<`CbFo&VZ^CO zp^<(^&W@0<)@ig_f}I^i#K8JFZ9>=~+qLLK^e=#L=N0xf(h;5bT$Yl4hjK?teWUFh zs`+*%b`0_3vTgj2dvsv6a?r7r`Gu4;JaDg)%qTWsCnFkl?O763TpAjyt>v_xp+3G5 z5F?5D(--I|<-lTHSi?U_9{XD7*Caw`35_5H=Kj zKD+e*)>0}||N6$&^Wl&uUbp$fV1?=_!awHKxN*8`r!D%2CtX&98-R}eKmO3Rp)~sH zrT6XhsMnlaACa5LknPT;rA3L*j?zmu)4wD4@15ob2*6CCWZTzWrUu_T#d9@0rT%~9jxZKnQx>P-8Ycefx@ z>+jkpG&%2EhWXrci}P>L3GZe+*o2Q`sl>!?1jF<9e98W7mtd9iCc%Nf9-v{RCNW^L zO)HI4<7bZgr^rg&&GfY0IrRPP&rmw$C4fuARexiG3D1qM5`NGvWh-+sb@1{$xw?Lu z^E?xg(O;}0ugoDmoe3V8F>pm!+86D8Wb>X#@3DL`0ajeIc)Dy^>wn-NAB?oYSf>8t z`O?$&AGff2_73${9gY1z;0k!U*sWsH|~S|Qj60>=Xl^d8aHHlbb17^&01MU&U2WrBBecI1j5+w1*3z z75#^Kms~7HH`NB3OM)nD{x9ihn9RGySD$P|wo?VN!-&lWt#= zZ>B&N=R`+5J&)VxFMC>`QsxZU8s&Gulr9&z)NIuIhR>}w^{i^cNj~9^<<4fnexUey zJHyi&cNi0l&olK>I;y>I-?I9cIuUAvUBB@1GRrV1>g$DUx0g96Uq1?LkiNtuqh9*F zbGd+O8cy%WRcldpL!1lhTI?jg2xwL)unoo4+^jFPI2zY!k=^??u?fii*v+#cA<=rI z$`2mS?>)}~oN_A$kw4t`w0-}W+cwO{oe3I7%{uAKjq+$2wQ4!VVb8u|a0Kn#7%h=Q zqoASz{tNmlL!S9RZ|RaZ$$KA#U6!S*+kBt{@%?ax-Sv1YGtrL{CCqaCjANn|c1=6Y2?60Z&IpL>CocXG=;%M0CHgDlKL-U#v{?ON2Yy zH3%!yh}h(0Cd<_9yy0uqX`D?}qg1KM-|`dMRGP;$Vu?x<~(cb za{l7W8D?LEGtH=WDAZ_kWs^yL`qRGV-P?AOL19X#176h=OJ#087rgdrVTu`l$unD; z`_$+p>@rT7^-z$PO>o3?B{89RT0g*pYDG>4pj87=l?<|vtMqLq#5_68<4{LhplvHs z=&a~9o2uy53?5?l(#Mz1Qc7>{KE+$HVSO4>it%hzMw(yjh17d*OD$R0_D@01zXbqk zW2NfXqcCii$XK-)4kWQ}IJ=vrM&6xgWZ}QZy{{`#GpBqz+8%BAhC{{}$gA}Boz^>= z!}gVHBN*DEpHo8dl^EuSFFchOr!QTr_H8C&AKbUTnJ_hASZso>6JCuyvP0mmBk}xT zx0&rUi$U5C?6zl5k`7q2Pn6{;7`P!oX6g8~u`*Cyfu!;2A!&i!VTS!{1nSl^e%ox~ zBP@Dgf-)@*d!g{WBVQcBC>e60r+(!NAk!8}HBqpO(@(~Y*5UEI0n-8)e~@fYtWGVx)WL-8u};V?I^J0mhHV})y90#P5t^&BgXW2acpZw zTM!T9HHL70B<}!a>Zxg6hwC&gJim9)(#gC4B*4Ys`d#SZ2^#zO0F@){x%`X(#k$=7)8z!US6)+i8U5E0mr{RzArv#kN@-8rN&b$`2{+<6J_TA7lde81;4+phWez_xnU zB=~o(WnSWO()EwdQe{`aiJarIHS#JX@bQ3_vKna?Aga)I-n9d9e|D@_st!Q5p{C-$ z9z1#8`a$-fTka+9tXy?N)BoAlmp6?I(N`Pk$9x=fc1yF2|0Z8+9qODPw9^<8fa;f2TX`)u`2LW=x$GG5fZr+p*CWlQ7TJ4OeuW_I zYQV*pbcUn$q<4pwoYfbbr&dmjhfylII?OmP#tF@Juykwk^HVq5hA!yFx2~izcXyt& zK!)eyD2aUuqGz&&w#0#{`&{}3j6{?nR77QL>3T&QXwhmdmj*&fA;nJ%veY=yHVaSN%h=VQa z??U{{{pe-2e^Pw?lYEYP3s@*B;9nAuZg`kkfw6>=;Q9inZ&;(tnzZtP$5n1y-0|yvx+89FTD%T5B!6bI6 zr$4~?ijIfq0PqJc*Svp)Dg_myOtNe2bxqfN@N|x-AVhq+ceS|Dv+~d&znGs+KvXeg zH6s}h-tbLpJ*MMkmtY}L+xKY6jnNZ`$VWYVQk&e{Bv0D*rss{>_>XjN1F|ac^)2YS z`tyQ9eI{7zzZywSyfiwNN06CMBl?*7MDeA7T9`M<~W!Gl-85| zub3aZX+0UWjkS9*iZA^l{vITE22c$04{`6SNl>7?@DW+gtJNk|Hzuz^Sw;Yx7Zq?< z+Wu!~Fmk&%%6#|i{--A!I<(oKKwV|LMabQ|>I7#P)F7Ma6?(JC;f<;kd>FE;oP3Ii z>tVz^zu=YP2TGD!`^)M}z;Roly~pS9X~^J&qAmqSpp66x?QnR5Iz~C1z=_F2hL0u)w#02R_^p zfBvko0N1PRB#Dn4ei|V>-i(ZMAQnj3_R06&m1w19bE5>LS7FNce8nl%-$3!`JMDs8 zq>4rblq8F;l@(KE#IgZruTG=4o=^3)j+V=>N%j2T-%G;bg0R@ZNYBGcS)VWM%>tce z=mq+h9A6ISGYiIxMhHWj*eeKRN35MT%gSOV6IyY6aa}U_*Q)HcZpy0`(&`i~KN2;{ z#c@H8o|$uXLBl^NmU#%-(UUI!4Vn!f+epcnPse>txInc~uh|qY z6>wdMSaxx02-^t-8yEaO))abEBM0kIJIF!0V{C>KIRs3AxI<_6Kl>FNNTn7D%KRo4 zG1WSY7G@TJTJ}&D8w}u!;j8>XOMb>OL@Dvq^LQpYzuAso$a3(Dw=(3NwYI;lp~Zfz zos?U&?Bj5fi2dJ_?mJJL(#H$eCfI9RXL-MDR3L)d5IQ@)qK{sGe04|OjS8R(KG=;o zDBDbaOe*Sm2i(|JUYuRM`Pw7dyCyV361u}%dm(;F{geNyv?v$_MAH9Li%vBCc2SQK zwR0@ld_Vj9?PHadWaU7=r8W*8+;GH#dbGm z7#An>g7M>pK92`%878DDe#K#s*(foYc-17AXDe?uRd7UHtrrWE3N;LTLBp=OI^E+2M5^K&Jc28 zMXy*hXWWq%6&S9QaZ~doQ{RwMJZ!j5mGr{ZwH-b@He{JdAk=Q1C)<90jtMTZ(^+J3 zIRL^ir|P8Q3zkQXxG{@9Kl2(J>kqGt@F_eJ2JZt5=_LwNcy3DKZnk6J$||N54T7BaUnuWm$H$dE)t5REXb;n7gjb0CUF7^@k@ zpVKUwZ{jNLxl&abw{kF#&&M7rX+ynF?{?fC&`fy_YArVG zXX33sDo~R}?$QRnKPd)UAnhMx{wV8=+W7@2^_#)}zF_ZON4ff~RT4?0X{;Grh4}Dv z)cB6O!}V*Xfb)W7dgkreN_EV`E`&s!e?+toRYK_gcw<+MQ4|-FpK#b0XA^PNJbhn} zM6dUv;?LJ9LxMLOv0YSkglSXN;UHqI<{+m|x6QDd4D;zxuAr5SAB3Y=CtHTUZN%)> zHql8LS9XVj4fcCL)e3}#B+tlOADzN-wQZtUq*<-*w*WAmu0cIP3`h@HV3O?oowk*S z!w}5pPOR3(k2AvF7)kxCRf^)L3$q9xaMFnAXh79&qe;oESjXuxTelK zU-3iqUk|#g`Ly^1<*|iIA4bdG0J;$x%l3K*!=^0|bh5u5w}zyroS|6{K$ zZvMDMQxWnoX;#RPzNC8C;~W8?gGvluyx_h?npgj4kCWt%NN5m+@t5E7-pGUr7{YKD zDkZA!0H|z}6Ln$Z!Ysz%cv(i7Nq+aMM?^?)+TW$ThIE-QVO|j9e%eU0R9WwGXoxV0 zoZ0GBhi0yi-D2JKt4zQ_(hJ#g62^aUe9cSVayK9hD#htmjb)Ogxcm8g@!)3~A1lXg z4|(D)u3&ah=QPV)*^AWk>W_Pm0e)aAzAHT80nBq<%wI-ES11NMjh{3{qT(CQ+$?X1 z8Drr0fog1l)b0{$J?#GQC$(a&6X)|+t%ZBpOND_Jo$DF7@d%B^#X53Sw5!urf+IKC z&v$+r?Tt1!4Pue&n(F=IHZ>&w{D^U01&Wqg(@NmUC(S^(4t{!2g`si;3`b38`AgN^ zJWb}Tx7n;EULPj$J%ZDia!qE!Q>0#dO38_@fIwikyU#b)gEJM3%F6wJ$_I?07m!uvkXU`w%a<-iWp z4Fln}qzf_TCEmrbK3z)5(YczQex(;iHm>k#PP`a<{3HLUTvnC2d)Zr_Hgcb7?gYW( zKQX2IT3f@>BI?Rm7C?JFe>z`H_`T;zGG9llAMSX_d&CbNMB2XivpjGMH-R?bt_Mmr zs9OX?9WMi?29$w()MZIA1YW{9MkGQi`X!<_2_8| z7Xb}>_GykIaKO}iHYWVAgJ*fZBO*=M0Fghg5Pt}C67HqVbQjbKB5og zvT`O`KVb8t{ZRk2ME{N?7!QI+7)EQvLRo)DjhfpZ8i8mU*pV+x-wWUQcjw~zW^{fm z6u7EnJY_kqHz-NhUv@#*_hJu?*Ip`(_t` zQcbV!tUPOWgK7Gtpjzo@YVmo>Y@B=N;?B}<>|OD2A#&RgImWWWn)^QMR!_IVtf?}y zn2fT|MT&DhHCokt0;DXa@zeMr|@*WtpDeDoC(Qnb+5>+$LWQy7!Bvw z!Jd92YIy8MNd*<%NEG35(N>sF7jJntSc~eJ*zcMDYv)+B8BQEM@;b0F&scUpn(;lJzO= zb2*Qgt9Nogh;)F}B2m#7r2BEv9PLH)1kmweN(XE*e zjjg_?%VNiR-I}%Yus?E2;;%Y1@pp+uhDo-yES`NPk|!B8dRbSy7i}3#zmPkL~{I-?cZYmAktwun9r^i>c%}LyQPM2 zaXTSbaV^Sg{eFXYsZ{;b>W0pU4U|0th|2NIH7RjpVBxU+8d^p?C)50EGcaM(q3v4zu^!$b@v@N^M1W3Rk5+_++HgLy z85uB_KLy@c>|1Sf#J0xCf38L_$WWk4y0*er?LvQRwZkQ`{K|O`om{mSS-nCvo&%hA z#%uW6P)yHogOL@mT|GGR8F6&lE)AD4)Do6GGz)lp==LvL^zr9X0u|o4Skhu6!x&!3 zYhpjvn#6aB^IX9C*=`|FVfuizt@g z&|b!Ed5ry!D?rK|jWHTusgG^u|0a~t<&@G~E!aSl6RXUuB?!kFby}A|Eh#d6f;*uv z?753lg&9(kl$fJcb$W?&qD>yheS5o3cz?(@8G9j+HT}m;<*C31TA!v3os@@o!+8}4 z1ppU}co2S}1AhMBZ zC@0m*wJ3%6iPcBu_meDK$uTZ>-<7|}CN|-^BgP2&Pt1KsJY!6K$@Asy1RnFTEKaMN zMv&!Ep1$0t!loM3U4olbqL}Vh!!Y7WTtLP%kqCUc#Mua;x@2=>-i`t_L*K`AmTn41 zOS35ch<#UiKTzV2BP%#+&_v> z>=Q{0Bf^JV*Wr{IFI`Ku=uzysmoF%osgmxG5VAO`oYgKo`p8{048^2gjJsSyfLkIK z#&xKqg>eXKh_6A;^a@mgiK^%o>Ep}=N~i*qvCrd#}j=*>4ZpkGjAW;$We4Yx-c zqD1I{X<7Y(ms>a$y6_b9=W=;2mJtC_zHe%t8XH_Vl$2cdwA{B-w_Mbo)dc_Z8HWWeB(zFAYWk|O*I!lOv_xk*sK zkIyWP)4w+=S~f3be%))?MaH2`);|m0O-EfaZZ?_m6Gi-nRLHXN5cnDy+UUOi{3J?f zxQ|ntH6@fwl@a&PQ7^AMPirp$9h&EVQ+TuEGyJjg$<~g3Dkp*b-x-#GO4;#sT>@!) z>F64f#PJz5!0`=f?TX85?uzP_BstoAbe{FF_qKJ!f!r!e6{<%<5Vw>9y)6W{L5dFx7Elwt1Y zJ+b2+&3m5o@zgmgHdY)>=}3Bp1c%}5>$?MX9*LE|FKz{ZLZb=kI!1GrAODHb%=)-k zxwdVQVg_?`>M(jc`sMs{-`q@sWWJQ(b-NyC0FBwl0z(T+dEjJbN(LyD^}{Bk-=w3B9m&;AL2+4D`-r=jo0 z73>SeKFJ>|UWVJh{xtq#jOce{qA>1?_9td-4NK)quzcJ9rW2Ph+m-Fn*m=2 z#}>z%nr}r`Fw7Kn%WehmH5W3hU+L!APSDK*UcwA&ga6=hM(g!e-WTbR6JiCMBFxAt zCvG7o&|%=M|9p?=JD!}GM~T+=#Dt}}to|{*Y(YQ5tb#s49bci4m&@$db2w^Pm#hEQ(Zhm0dH zqxrZbJ#kg_;|>SFAJDe+nh*60PTW2%X>;vx7jsh(|EabE?Ot-xLG|8~g+eY3mD!Qe zK+#(e%vGza=e2l562x-{jHw44{vb#j1DMQlA#AId@*d8xJoiNfPxY7df+VtvUX~$I z&1e!366}?|t0X~qJF!jC!72eJkG`ukp4>OGZI!N8Rz_EOP&ls(o1y60kV^pFxlhkd zbqlh1ZWnOLTk_Rbiul2L7ST$Ksa)bp7WfD;hYFYxok;_!+VpT9&(k&xxC(-BXQF(4 zl=E!2*m*GWgbIwVFC12IbM=Aw5?H0G-joXgbxm|LQ4xu&!4$!11K5*VH_2KMBiP7* zXd!7TC+R|Zu#-HxWFTDNJM0wg^yJ^=u>WsWfCI)<7YsRT*d6&~(1+fFSmOwrK7v3Z znca<1MpCbzZzx2&5%gjN3Whg!0u-73$h`LdpK{moow;tyuo%Z*?;!Ure zk^GE>l%{%iS5hKducBvlQKl44W>mvcW8s%|i;Pk%YaC(hNBEe;Dd&Y3+{Z~*4LxN6 zT+5H!i4&1$mG6?jyLg|MKkD?#d_PT2UHL?M-Rm--`2Ev`CgXEg&m?_dA?=8lNLzzE zx!LpSVK@5ueu{c#`?ai4k}Re2r!xQZSEzN?xlD^RHSAgx!2?EV`mU>b$2Fe-<=xTLEcioC9)x`!Kq{k4{+16S;1ZY=aD0Hs282`WBkzxN1 zFL(tTvZypM54JwGWYTOxN5&rV-sd@77G=;YC-%lg2PT=f+-qVINMMACT#t+L>3<9 z%Vs=E-yj{hc)aB%gyzGzYj&P`xdVY6ycDMo zge4#FU2pMIOLzVoMJ!0rv84k1CGTDUpZ?T8^(x@=d&U{>COE@K1=b(nz}YpH6M)|~ z^Vj+cJoaK~O9rE2c{>DRQUi8cWf$Ad8C+VklW`#2%0*-LkiRi%t*T}JKlB0jfUV`uf(+F)chjp)m3esDUw2?b}(x?eRx?0q?6LI=S zYb@dJ0?!V8ab4*C@C10U*ca(u9+ukoeh^JYrOPNyuljFsv>m2)au=v#%D~!&xvscr z`A%yf#Qapj25yV6>7v`I+-rda$l^VQv&|oVCLGqL!Uf=C%M9sPKr()m6{)0?zMh|l zFfk$Y!wM3KRl*i6qHc^#9XOJj{0bd&BbY(ap%LGiOCn7O3^}&-Al<&A=KN|@2;GTp z)2Jdo5nk5sC<*T*ZdQMO1=|J2=RpptVX>SbvNUBc#YMFqujhN0vZ&46mta`&_`Py`jw$%9nMZ2}vz-UV;1z$7 zl!FI0;FvZ)qYu+?*-Yt(oCfZ+D{>cBk6q5VU0!+Wrgs7OudfMNYJIjAKQVQFKiJ%_ zlfp&A2>$Z#R+VN$-Xn$_y&($-hi)RiCR&oKbWDp{@kDIUiv4#Mr!D*UyJM_mZXXTJ zs;mCpf(~O+BvEkFccZ;wh#J5oeA3Wr$H33AT>+7JnhOLgXWdQf3DXHt!L36}bmrsw zfPB4{K*ZP1#;WGj!)`LN-m18KH+^&`S?VkQzb!3JA({(!U-~;s0XBq+)V4+T-bPU_A-f54|0HFa@p-OOh4UH z_^5ym?YyUdM|1{%%JGw9+Io)nJ*^@ZGxU@C9xJz?h;(REqQFBT`DJkiw2~9%$bZe5 zr&B(Y_s@u>({%TzCXw+T%);_dNeRGDK;j=``o`LuOG`-=lh(30LXmTetymQ=d@QFE z#sht6T8Il@x!k%NXqh*}|8wiZbtL2b(-mPsB}v4tUe0hLe08r5i#RW}pEwJ>B6d^J(v*Go>6v_C%J4yGjc(Fn zmy-vLESP3l=%0v=DvgMX!D}kHh?eggOCt)mQyJOLseHwxTg?;lGJ`9w0VO9TnHnim z{u!M-yI8my^P6sh73$E4A5K|M28}q_c>AD5@osIwXl1pb^4#j!)5kWKf^NQKI=md4 z_hY>|Y7|}pV)XQ=Lr4WT5&31glUrIF!{bL zE~C2<|E3O{o|_>kPow5tf4PUEZ2vi7^RHR|K1F>{zB^d!6|zQe3n2)SW;(2f1;R|Z zUf1(QVFe&5MrRG( zX4-Z`RJOIC+}tE2BS@0 zjF~wuLP9pvKYLGKpr;pZfUpo%*udM(DOd4Qz5GuxjC6OqL$fSt-~!cQYDFL$?t}!( zPG=pfcf7nE`g*LyvE7hNpQJzf@}PgfD{v5b57e+$rSD@9&nL#7wEFONe3;24*`CMtj^+QyI+R{M3*x+c)34t6tLJUibuz_0H5 zHlQh%x`Xxa1?Gihb){#rO$j;by-&Q)cHPE;Ac6EIifc~hLg>(n+sx#Hry?+74osg@ zeE-kbgB*Cjddq!@=7C;;wmB=J%VB=pk&a{1UO+jW=_AqV`<~5{OiHimAD_gNu3Vh$ zTKuNSrD^f~=M*Yb*ivjXX1v+;*Dg^gNM*6Nt?y7p%^{`8>ed5*58LK$fOZ- z{SSG`323TyV?V8TN!|Z{l@~eQ{>8ILFBbKOF;ujX`<`QZeSjRLJ)gK>@QE+Y`vi87 zpq7$~nsE*LU8_B`a8`_QVPU8x20+-2atF?3y{+{u+V40T6SISmhP52(FbHS)0VM_N zSWUl!XB%O+H*|!BPtiGNlamXbA+7!3+>F>{k#P-GLFI8e}_m$z$<_T_bBb&P> z%wJ$tQqxV}-|7CwYF%o(yS?RAdVf1TTs7sq(xk3U=dfiAy<^M#DhU0j$Y1m5caKq3 zy6#cH+G5WGVO85spNJMSjJcmtz%%vGsP|Is>BHdiMeS#i`*Y8K{GQv`FXyoS^>|BJ zdb;3`UJrbilp^Wa%j6oCTyzbM>!$b%G?!Y zu9Hj-9>j|ykQD!Ywv_0AOFIS<}i^NTozy@2>z2a^s6WZpKFQS`WYz)>_Os4R^0XXl_t*mofTnO5&s|v--{UU#S@V-UK30M) z0?yD;lm~E9gbw?@9!(hw>h}UYIZ(W>symy00^X;W=KC+d0 zkBuHs%sy`DW9)`9{0NdnQO=5KHU%}ikp!NV3VT*C^g^?;C>W&)P{$GS?aEpx_pD%G zX^Z3030m;@yG2xm1Yfpep8PYJcgZnF9gu0k96s0a$3?edVPe4VEemm?;b{s;$`%I; zs>1p{B66Eh^k&@GVcO74ImKi-Heej(V3!Si(?nA1#wXFJe`WH;m(BF#V-b+{^Rg!A zxx9=qD|B0zM}3aLb~){ zI4c(uFxkNVOWeC7MMIMJ+@R_HPhd0ael65?$+;HyV>NhvuK8W5?<1xo6iWvDe;l3l zLzC~@hAHU~6a;Ajk(6cwh9J@c($Xn4Y8yE~5Cj!RhcH@NVsxj}=nm-^45Xw5^~3vo z-~V7g+|Qopy07y*4h*;QtJ6 zkE9fniTv(^uXOYA>~RL>u_#so7FO5TyVd-}{L^gc6VDmF=eU;%u^Q|_Cz_WZlN&Tl zeySHf*js2nr?31fawa1=pZ(v=MZ)WK=XY9{GpU~>2#=@G!)L*x+49I&7pAnuz)0q; z#2LVVoNBtR4wD?b=nFpZgRr_uYg3om`rzv|{Ib*bjp}FgU>&cxoWVP2kAV)2ezFSH zMt1BnX1S{Vkeqha zbTdrqX>l*OTgcnZMjbtv(S0N)Qm~c~PQKF~&4Nm*Yfu=v4i7TgV^a9sEJB{2F4(cB)PUKX+MB0}_06(rgkNlw*1p-?-?dV~zIKjRQ{S?<361q= z^k_nrvXd(y_N+zuh4o%@^ilU|^6`|`p3tWI+*J=ChOoBO77KaAv!y&fP>Vk%^r z{vw>Prv^i}smmfn4&FTTwx@dTFmpTASt}ogyC6{_Rmf?X*;#x)qood8z){%Y0wO5vl#a zsz(rE-a*;obtu5inqsZ6)yRS==pD#Lr7m);@(D~NEFW6Kl?U?hQjw&D5J(x$%K@){ zJDs&f%)b_n+$_`X!m>k8n_6pkua-a3|7IXn-l^!TS7KgwCSxo(wm0AXOzSu}p&-%;^%{Xg~uBKgF zmtn^V_a}V(YoL&ZU5U%}_}HBm+zuO%b48#oDdj*NE#_PqCNv+igv0eLp z56CLl$+)&6)>v}Y^j3wH*br0SE*}Eyr`gjQibX;$0yS5RR~>asVcDrFVi&tQ4R@?E z*{?&tw}@9;PJ{%O848HMa2$en(X`<^TDA9+~)9p_OKu9)f*t2xVL~)w5eNdxNINxA?@Qf}s zH@vJ1dsQ~yB6H5rFEOxI@A2{5?iiV2<=!mHdH{lOw@;2W-RT;_DypW_=-{u}ndd?Gw_ov*F#`MomSW2h3hw0o z%EMUU+xb=fR0Y_vj_un^g2M=#xa%C%844$|Dvspb^~TX`SjyI~Zxh7}mhTeIoQsDf zVEQ>}E$fjA?BCJ#mE7+gw_L_x2{%UWR74=`I_?zudTa?WCrva=9$|T6FSIOVRuT!w zKqN4mG&Mi#57Yf7ND0)UR<+-CenN8aWId}dl`r>WGe&j70rN|-g_(H~omi~BXtU!B zugd(-4ogza?lP16dwPF@e^VOh$L34#hrlUZZnA`aXzO=+WSe-cXee>^C5%rcL@PhRQE*95k(iTQ*;ElunhpDe%MlL*diT|KG8HpfcDT3-22 z9RU~Z5cQI==`c-Z1q52nlFgZAZyY&Bp>>!5Ja0=vNi$~?w&x`UCPucRXvrG-)`eG^I;v% znSuS5Ky29?fnFqeqiEPVoirLeCZ}cW_@{QDLeVWIN%+mDYu2a%p6It@8dTl<(d$Y2 zelCM`f{|TSQKxZ9asW2(@ANIX%zkUSH?#rhUzs*}6^Xgs&& zd7wwneY>vEEZ9K3{BSTq*#z!p`YQK=)~=kB8Rv*Jd~cwkceDTmCZ|me7G&u7ZV8%@ zTk~k>QcK@Rr~m=t;#+h|r94n*S`**aE5U81o#0FP8L!!xt`y%przC0*GXaUN_I#ZReDas}zrp)RD|Cj7`EW!1N9 znF!Fvr_fY7YLn}2k?g$8V~TeBmVU(>6?#2W^_QRgg)B;`9Sr=19C9(~SjjiK#j`*E z3SWxfH>w;GfEE7kSaCl-u`7$>Fbu!1xj<~0iYc_(llv6qYxjtabgAo^`9F>fQ=h_b zd%J|C5Ac$RlQA94#QQEatfYd`5#oSUIS2~Ya9CQTLR-sJmV4e z{MQ9I-)=MviOSLX6t=93h*egsKWnTziv9c(xL|1nDclm!>IO(#c+QcHy zf-;yBEdPnDLZ@!_g7@IA=cI1>P<>wYR_7I~+!3G`C)VuMLMo$fT!A8;?G@^|>af5DXFYi_g5O z?O%T~*nJ>=Gjn=owczTC0G?6M8v}BZlLoZz{dLbSeEVCEC&hW^d`@rE?B0b#_JvIE zlouJS(=^}A8#5P5EmCkeOQQ=aca)UDCW~;|Kt|n7`un(JYVvXGj+D#$89N@A;z;=n zYffjTEm*$=^WFgae#x9)v*C8+bNTF)o@ZOFoQBGcYBc}b$me-T+_Cf{maZTvQImjf z>|1FY{uBy+nH0e9%0)b2JaP-9NCFE2tw zITVtou5$owc$-k(kXIfRYM7vC zbxRu4JTGeI$~FQVb%^J>SM-JbY8&VgM*RVtNIoBr@!w8*m7mMQ--?RzwWnn*XaKX17* zABdph!qm;9wBrP-jHB_>Xr{boKwY@{&)b6E3lS^e&|-GqgYO+aA^N1@<$1Znx9VM8 z*QhBOb^_kJnv8OFx=S>Fc%Nu4W&e$ieExT68G}$LG&XhvUmU|(p*1d{<=ZdmgJS@ zadryH`?7oEtAl+{BQ3S&g|KF6@w&7@m!*&5+4DXTASo?s&=bJ?6LOT|?mmbyX9B%uvA!M4p+I8cFK)xctY;G?QfZ z%@IEGL9;YjYqIy1`+h#edu9*b-tGM8B);>6WlVinXelp!amYE{lqkCjNO7U_BF zrBlVOM}EURY>{>7hs(`lfRLe3@2`D>6cHA4?PnP*-HdnZ-dG}{j;*vb^J9ufeJ701 z9Kh5)?Baia9_D=XI!tqKh5@Sa&I&tII?C4k%GAd`D@Q&|IrL8*UL{7RD^VpaG1ijx zLN%EwzB-Oyks_=`f-5R)gN&*tkQ%phhmTHD<0N*>yb&nB&Ei{v_eq)wMk2TuIVz)p z(qg`;pV(m-opu)Lo1@gfBIhq*;gSOzmaD~;u9f&V-^B=y`ZdWQLZg_JxypmosIq&y z=-G5h=;ctPA&d)XsLX#X@_wQJuF02OsFASelcHNO6OWX>Ui_eIrB@;A!aBb2#hfth z=1P$1vfZ!qUwdj#u1C}!`SOkYxSikfQ%k#+MaG%KmNF~JcnRvyYm+ptodXAwxf`J0 zu@T#Y)Lm!jnGYI`#v^Hn%=I%HFxqJ{?*X%C2rb#uHLPE>P2CbO-%`oB|LVqOE=vb3B zzT*C$(HSqR8jB`)y+H_DfvG4ps(o^ z9?*5(_e4I7CvKqKNfwAi&+@;84HEOmCU5gqk%|S)<4hDkwYn&LoC$Y|@ut=E+)QqJ zeM?}$%F(>Cwr6@!ba~I?KuYgn_8XOD+v#j0lde>rK{grJAh4`$`%0Lou2CsR?)0oF z^Su}3o~9#zvEMGeJbPCb08hn`B9Wg~(Q+hHKN; zvHNH5=F)7fTC-*eaN?l+M3ad^A%buU75COKF}A-ef)epxDCc3ny!{>j(b&`^n#W~t zp;zdiv+k=g^9ur)R^)E(FKq)tK&E zrfq}APQc<7f1LE^f}eRviNK(NBsCl_T@y7fDj%ONwmB2*Un0Dj5b ziiC0}sms_ABD?M|8qr{^*fo!fekh;wym7{Xn%eQ^7joh?kKe??qD`&=Z&3S14+d{bBQ>DpgA5~C+Xg{o~wg}D>ePl1^ z;TCJ&IJ>Ko(F|Wb9E-&bFFu zzx};~kA3gg_ttW&aCM+#%PA1k8_46b{%-?LA)KcxIU0x?Z++KI;7GsNX|{pwEa^QJ zkNIO&r|z{*)z{G2LUZ<|o1atb=aNZSqTJCD1iFn#;g3nuIQOn<=Z&vg;qerT&7AYA zDEXK)OosO)xWq_gvTH6J7IL^n^WRQwirA9L&s5I!BVyflGN6|$8md)wwzx*-8>bFr zV>6lLIk=wu8Lxj;u12c#hH0+CX5qb%tcZ(s6bE#x;JWZk5%zOoZq`<>Ga*9#a;3)8 zYn6zW^g#twP+_X&2j%>IC{w3^$3I#PM&Xt^;nqhn3(mx_`-Q3{?WF6Wx6^CrBM_q_ z3q4;Q|;0{Cmm$b3e;8|Xuf$rYs6BW```Q&vgzXXt^Ge46K|k6Mzx z&J|xzo}e3rB4ZK?w|-ujePQPwav)>Df6`rU`DUzJ-Qw;ofrl5{^E_pqC@@E+{=Wu_ zXRRh(T`ZTC(RG=R$`y5iTH)lwYW_Q<8n{2kAEoJ}v&{MK^ec1yf|Q++PhWY4+)3g= zpMMvl*EoP9j4e!@O{h`L&w3_ir;2teTWEVi>l-zC?Cm0z`A(Rr^G_=yRaQLS`&Z%8 z(MnD7&u`%3Q)R@FkHs^m1#&AH=S3O zuaVbM^JqdnAi8eSf&4gRVzQ6ny|Vr_iMz-dI88Hu(nJ{aR6ym&9}HrteG+II`cVpC zySTD`fThQ*tg$tAx`ex-g~7B8=nTbAt_;k7pI6a(GHUQp>Y2~g%q;FlHf>dgK))3| zSh1oP)H;~bZYF+0y)Z!LFYOkXbFfjP3~Z0lXJt?NmF5jaE{I8l&D{}6duD6Dl9gGp zovunMf6JNaTN!_7lNK_q(`w43-_E~j|FhDAFUT#17bk{@n?7oCvh5q>JwyKmz)l*C zydgCCArxN4%q%OYi}6R*UcRqACfaI}+3+F}eI6ga{!|-=6?$li|Nh#Gb+G7;Utn>+ zC6N0OeLNDU=(MRuI41aQ9Z!MKupBH~ZgiFm93mJPjsov|zK+HbC(Kt0eNND-NVjas zznv&ntSS|HbPRgcM>gUnAA+P9iUV=)MRY&zc=pp^yZQWqrWyL~GLw5PP*VCOc+q+k za}^GkpqnrBwii$pxfJ|)!{UEhr=MzT>NT>(!owH|+x)}mE*$lo47~2t`YX-VSSJAt zPd)FXUvje%1T<>^~|f%-i?2#W#-LkAj~=4U^`K|sJ!1AHpENsou_Z{ZKs;A1-QaS-*MkClw{dfgJ%eKfMlECsc-cp)&IT&f z_=tr$<9!c!Zv57aFXN?@ANZSgDP|)h{)D+u(0hR-lVjPwHAYjvg4-KKOcVg-jPaiR za=dsHqDa&Doa}aLq#7pDLAdKJIau~?#GQbQC!8Gfxq=b#b6@=`<{obW3{GlQOWv-Eps4%f#He9-JDJX^Lh8@{xXs;^~BM{W-tz_;=roGw&vsQm`Qd#SPA zqdfLi0$=-(J&OHPdKh0Lk=s>xo8nDu?qW)BKn&{>@_xPPjwcvJEej~mk1T6*i5x7T zmZ)Q9?qb19wRw}xq$gPF>c=A0iCtNK=MO}0Q(*;M2;5q5?r~P?d00f zZCyNvHB^D)f}%wUwgma`D9NQD<2h@AZ4fG60$li{CUU#gTM{i=Uu8Z3jGLr2sEGK1 z%s4HY%)o14f7u3B&Hf-(M)X*#NJ8^wCXK*{XF9sl>XoHVGkuG#?l}#qu=ebpt^}$zKz)-*XH^SWEfJSN4h* znSw$134;x@k7O)ckFwG%l?qU?h&>H^HEInt(7*^XXu2UR&5o4Ll0!o7p8#dZ0SDxt zfcat-(C$4yEC*Ui2Li2@4HL>+Jknb$9AOicz0R)G&Aj)tm@_X~2%L&c8{Rb?XZSPk zs_(0jgF)S#6;GC})E@ZCw-QdXyDCm7_fL;nOvB58+nDpJYyRzjuG+sk1$ZDIF--q- z|InPNh>wp1olV934z@iN%&ecWeIsz17{HE<_B`B5RN8spXe<7Rl^K`Vwrj z(DRd7Qvv2r8xaY>XTPVtUBdE)mV4-# zMP(RSDU<^&?Q)qFy;&iocZBjsWI_5#v83WQvV$Sx&o8;5dY$2Vqy4T7vk{J0=l2h$ zh0;}APUVwvf$Pb9FC`cuYJq=g?3V)&`t_VYd($8$ z?aPV|ETZNg;y!gj_Rl*U8fXq6a@zH-w0T-@X6bYY|rU>Bb&sAT{ z6%a>E7YSacpz;>P&a8uA}nScZOk{m%c1Wc-n%|i zwa~Th>9>@Lm}jIFfS~XurnSy5E)|(;0^$~VTX=(qv+_ZE=)BO9*HX&D=jWeHQ7Akk zTMF;72n`cz8}jKRWZ`lSlNfKh1UvK;D<(HhJ#4p#=IroUU#i5|ErC}@(5rl|Z(d}7FDhRD)y@|!NWEN4vZ~8avZJtu zO?8-8TJg5e1c_oy&gD8G=!JNhl2^!6sHho>b^|=fp(6O)J{c{?Q~jxYf4s<|t|F<< z=c0Vc>!XQ&zsb7p6az2`(kWXXaXvNPL-0_}hGdodKBWC7t?lBuLCy2_U7U1TDEG3i z?q5eo)0mG1d&amB8rzw#1;C@$o9O?M==D=L!9D;l;ou3EPi=(mZuoX9x`GBa&M}|9 zZ~Q9r=OU9XPXHvIzh;s5^HBWfGCGNXo&s zH>_!un!tVV>!*QrA#8rjJH8@Ht`q5ecT~ig$r3|^$OGEBC4{b{*Msw`Fa@J7| zlRDcAxlUTQ{8!={tnkL*Gpms|FH{62m(jl@1%p%ErE6T0#cV;uRhPxiRIve&JAs;3 z3x$B#X==hDik}NfjW&R{RaqnN*(6ETDaIiLPfb|EMCxeY<4?+)J^iZUvq8c=RT!*ZnRk^rN?9em6T9U_Oa@fWw|k}6|U~jk-q=~40(r|+N{;V z@Jd=(Dv)K!BGXppyd~(v?iowV?=g(>*wyQqU$aStBG~mN zyiatKbKCFj#&)Uj(m3FcKNIk*yl6Ue zq<$UMFNxwTRK+atXdN^6BW&cy_BO%#9ZdO!#)|l-3U3_WP)ZCB0qXEV>O^yFt@>jY zauQ$cImR!mko`(ATT_b$SQE|S4}2FY)8p?Z`SPr`#7LMt6YmBr9I%;?lue*K122|k zc}T?t>!PXqPwH?@1{V8!uph7&FU9jT@-mQ*iGfMceRHbocXc&+8U!kP&xKwN3<(?k zQ!1yLdo#H5F0KgLNhPnf&6|EZx8a*ZAL{t%!APtH@dR!C2ePkx_jP~VOCEGNU?s# zb)Lv9>Ms#17j5>8ebqi4hjlrRtPD`euYY^}r{KPOc8V4WDU%aO^&Es5-Q5?_%U|S| zEdRZxGwmevI~kjXWhcKFRm~3=8aHjR@TSBncXOIw=6}&tHo8vxl~M*|fKyn_7ZXDesK-Ql|UZatM)^2+eB;MP+6HU?Tr^zUF@cEjMfo1Z~5J9rMy z4}@ETvNmdEg9H)d=D0$;Iz$`&;JNgTnkG|~*ij&8?qB|k2IN7D%tP_zyL8Cix^}NW zYAa5{t4=a0=F+!Mgyt@-b&CIiUjagzq?hss%&4KxN^>OLUl2cPfOdzVOf;Shg>AGdc47 zwr;!bP1ya@O}>lT!dT;3Hgu=aH6J^VK)1%L=cJX4X(LT@ey&Q+tH@P+7gdd6?f+8i_DjW&SyfaSOF$e;gXF8*d?}IGmFY;CIhFYJ%Tt>( zMv60jV6ijVY%fw}WcwG0GD3-8r5|nt8sSQKiB~(NdQ_ViZiEV1Ye#+Q*xW+hlXstt_S>xYfi8_YqBZ?o&S`;EaENL&AalEtd#Zrib&xXG8U8dko zWjj)s@0<0?899bpWHz*x$t1a4QMNWXZn5jRZ4{i0{>sW3mJeXwZ@Mv^0aO`fnp)=P zVio$zKY&!-cAE%BvDSG9D^rt6KfY}h^Z5%}8p~7k`o!i=+H4*124)|ii||AokQqx-E>_Zt_j9rC2S8b^WZl(qh^erMxXwAAb$jzYJF ztk~(E?8l$Y8_(>i6GMkzxL;HR9n)DrFXK`;;FT&4nEHTWdSP1WXFmswa=#h0{)8E* zi@cwDZKIs_j@v3Nl`*npLDQ=~v#6gnB{?F&rpWH+^E&Vsn~@V|S~D}x*qm-f$PNFAle}jeborA4VkF zBVou@hsJFEWiy`Aq{!hu*! zdEb+vB$FQ;?!2?wSu=d72r?c>nXau)F7I8X?qiz=7Urqy#UXUhP6`tFzI?f-XjSEx zX^Y%xdLrzU`YLaUuL=S^@HUAdnF5{AH1h%lt}{IfORI%7>ExPLZuu~WiTV7+>X2du z{9K2&q^pi=-m$)3l7WsU_9J4hZNLBSs7PA?Yx}>S67z1KM+8@t7jX7fz6K+&{sEJ|P=k^+p#lK0k~ICA=Hhbi9~zrLVXJ z;wqC>fMhH$=*|1s530y8p`oyi*k*CRz$9b-S}bX2#RNr>eXMLtb5f=0gwg`+t5dKD zs!=5DfUsW^hrSW65tUjk78O{0GBIJ7*kfV#2t*bUEB9x<#Ns83spkRm;eb>OF1_fX z;{MCqiAJapBUNfQ^WIcNBZ1tZ%@@}J!_l|U$61)!oENj%??ub5{7|B_h%rcvBb4A@ zxKft~PsDQcZcpPO;~+BI*RMjN`W2gFS;Q&2Z-(MbEUfhK{AT_~PY{byTytsZ#_zQe zd!tN_-1EOe?H~T}sGnY@`mir$nx>oUEy45Gx^>#*^zv>e@#Uf%6o1pl7_V*gEh4>f zoH^_ke#$qd1NPUR<28MKf{jP~sVYRILB>m(4pH0e%TGrnGeg4%xtMv27<8A%CQ#Qo#-Qf&0n_I@bwNbbTZJ$a&w8Jm7pUMVEi^DMPhA)#G_d1HIWnUaa09$| zp#ugJ$1btj;lzvvBQO-3^ZmZ;jRks6(1zxiE21Lm3+&x7g-tT2CFfKoP0w8d76tmb zyurZ~M`75I8n3(=a;te%H$PSbccNppt6dFD^-dswsyHq@{Fo>c84Eb0t{@~yemu`y z;|XZxJqOIaqYMTlK-dA&+MNXRM^mc(l4Gz@j^~GLa5B)I4u6@WrMFTs5YRIGv_P3j zO&uFp7TtgYRx2|X@yq|@r(f4Z;khmcv`%H^-KEyq9Dyl7i?&a|80}4CF2bHn61^>{1~@Z@|)b^SxNr$ z@qB}0qqa?0n@gjwEARAIKpOisCj_{>rjNiLYwbOnqLbMEo{7lzZliqr*r`X%m^1Qu z)@-~y4*=#owNS8)h#EtnjeYm9=R0jyKUN=mlZ^nWus*dnf=ax;WB8T+np_uy6&bD>DL6$O_4WXu#5;_^L8u`N-cf>elLfgOBf zXy(r#M$UGk^V&5BnCqiZ9>htl*OS{adR%wC<#7PfYUW&&42<7D*70n;9-vB;90j?0 zD-k-e{Q@66;pbfGh9J;i%BpCBb1GyVh!i~~7pC=j3NvLslGz}I_4!dX^B>tUpM~VAwu+ldi3JA9h#EfY zEJT%S$YlM-IiM~I2r`NM8T3Fq6{_ysX7|~=X`jhG@5s;5?-NWbBdr@w(=B+==T7vORb=E1< zICXn73MB=OX_>QwuhW5OIj?tHO81x$d=dX5w*N)T#G<+&InW$JMjTs5YIRInGboHZ z3_eB5N>nZmz3vMC{^F-P*+uzfO>$1+%71%Z-4)y)Q4czK1Q)DBzDoO)bv*ME&)Ec( zW~lZ!X+FnzxBX{4fEp7p{E;y^ga<2ni0ZfBHRD_3ylk3{fu( z7#9!t(tI@8HJuA&aA(g%o_xx@%iPGIX>|w z?+0T{Ne-r;>Kfk8{mRcv^!D7O8&iMzu>I>E!{aiNa9=Nxp5Tfz-%7!hFEySkwBt@o zA#rw}y=!?djByc5%X3C!JYFfv4)dAw-+Rnzr;Gh!oqK;y|48{!hi5JOls%-$%QMJD zJnYaO;$)?-7Lz{y5kTfw?{<*BU)mGv@2X_RqknovILB^w_=_i|3cg%Kh0FjsrX63f zjGC+f7<&yJug{VQiZK-L*9Z+A>aY;Xv=gdb=~ey119CML65M3y1+l0NlFhX{E5}48 z9^0(bOtnZNqkU3P-d|!SNej%pX59IC^o+&@?Y{i>t`t>o*=_zcWLP&-HAA2;Au#f&VY78v0CM@p| zCa3(Q=zeA{S1J#GzzYPFUM@LLNwOLcJ|+ns(hWEeqCHUOHE?uhNFQZG zgB;@!V#fUz)9r4*6=!Yd{gdq}8Oi)e5jFr=-fravGjY1jxrXFuw#6ST(7c<7Gtcv9 zp|+53-eTMAxZ|py{oX18028(86E;noH7^!qmOjIp-r>k;@iu`Bqqf+~z7O-JJP1iR zu>ZQ8+{)e=;qcmS;Ul*7BWue$^tpuIf-;^gHs$QP%m9OMb3H9fmsN<^4Vupmm?Fy# zNdJH(GdUb6^h(uEt$AbG$pbpCO<}tmaAR5$V8v{%E@W0hxN+7Y%c>7PoQC3i~1=h@q6dWz|PxK$$|l{L4ulZ{NRSYK{2Y!JpoX!SRE8pTEy%wpjLn{kB^{1itEVTK4T)z${1#fk_ z;ZwWeEb+N)aQXSH2o)PH;H`qy)$zRF4 zwmuggYBFr(a?V6uRZ8~G&6`RJmU}!Z79_wL9$E#uCzd+rNsG=!`K1)E01v!AMZxF{bSz6>Lv z=;A}x(ulMY#jiK(E52JSCcd>i)jfbswY}9=6}`zZ zCO@6lxSi;lbYDH%t8`}7*PXG^-?w`2!btD$2v_P}->L{^dSi0IU=eDnh`A>;SYRGU zlDpW%!`+IGJ#b>k`^dw8>>!OR+WH2X9I>j2|G^e|ci)mJ|LZ7Z>u90bE2Y-PFnLtf zP51?PUVzP4YV?ZrG7r;*==E??qGsu6w@Gx{uWakT`JCoDoy!(YH+s(6DYc*JDZoOUw^O(_NO6w?QF~)%hwU(LXV0Nk zEIOM1`D~_&Hpp z;QSgepHS}wkua@`$F0xk%tM_m3RG)IL7YSFBmD)=+RIMN0ifEFDxGOb_S}Ss14!q? z(RpGih7uNgF$i?0^v^{;Pvt5A-)E+-GjRpD_tsBmkRqrLeo}xz^Odd0rybLcRJg1h@bQEn-MkSYY0=D z#FEuJ_H7K9wcPF6;@xaNkP&%~{w(fek#!V$JG*mGmr@%l6*J|Cqwn)lVwOM**nIEc zXSG=TvqD$!-XjmV&ROV`-5#}dlhay(b%VEvQfc3g20b_5nM~*W^;tF1T3zA7WZ<-7 ziPfi;in%K$gvNifTC_!<(x+Kk<{<7y>mK%sCI1!bJ+*}1TO|5dy)i_GBM$gt+41su zg0X>F4k4N@i@G;{J0yErCXz}ZgXTv=TnmRn=xPj8Ml*~S2;Kn1dFg7Gv`<%}aCI&} z4A0$T$WZEMLw>_Xv&<;osH=-gw?E$}(^1g`29h!Ld$uQvqizD-I%cWmK2JRCO^i?t zVy}tBAZ1Q-3{Bwijp&|xpAa6^11~0T=Ubg4-*xdai0SHS)u>}B6p+4{QOCnG#h6u` z=dMogoaSDSjMIsRydD%G$lp72lm{^66+ zdMNW~JqA(mYbEV^ZHK!bToI}1zU@&M4O-{O44O{?_k%w1&s@Ow3K=<20Jj%&|2i*> zMStH!q`vI4?++<8OIwHE$sszyhe)jgk(qy-_mqvIG7>d6CH&f8cX+p;&d-s zh%pRrzl;0g<>~r75oOp=oTEoOHG^JOb>w*ghBt|7^ttTKJ!rYudu8@$CFXknyaI3b zTw!V@zs9eS)|I0oe~)R=xH_7e;jO)qW72BkDU)P-Q~<&vH9&kk7n$nw{$KvP5){yep zjSMkF(r+{+L57M4Xe--Acae6J{>&S$m?i~h4KIjzmz`b|HQP^d|E?rfF};u`m4#3QeVFgk zlG$~Q7fMyJ$_9iCHgMN5AG52IR< zzGlZ1kapFLFE$T+!$Ou6D_D5cAd}Uf7GEN7>bDBhaIicrJM5z!ja(>wuU`)f*CWco zi%zwE#L>0TFbDHbX4QtD| zP;}qp?+nT-W4We1Y@UA*mGkS@WPc`SY?-scPgaSXgc2>^P8@ZN^gzgp1Qdsq$H4tU zxNCFuq5|%B-RmK)56OCLWlz735>(s|JFSF+2FC<4*y!gO<%PJ5%B8|9y8AewfFUt( z^kv}$u(O*yV8q!{(Z_?OxxTy$C)~$kF)@Z$UmWIL0-BbF>5tSIh5c>g{qJR8%u`(Q zRZ!6{MKbQ{lt*S; zOfzo2KCF@z%F%OE_4u)?oOf}jD&s%>F$heTk6^2_*v%qt#PTebC{YZdU2kTA=Sah& zGyE9Gfy3w}!j`!1yh}Hgfm2?5@*iw)QK;82apKUA2Td*$|44W1=;ALlZO*S-E|O59 z`5HRfZ<+#Hf#~e;$AXN_77p=Tgo78D9N%Jor*UPq$FxO;FysDH5RGL= z;e#U(8P_?+fsDVf@5u_FTRekEhG0aNt8eAC< zi+9mCI-V^SDA%!NvL$r^M$&DUcui466d!E7oi`!fXbU1Cn5gfDP5svPFfUY!iDC11#mk%hWY-Y1tZ>tcZVGQsJ8EjZC95c<*w_%n-Mx zDMN2AMqyfA=uENIwDs;FXC`9FynIS9jy}*Dx9V8OyB>NsFf1;wM$-K6>25NTC#=|NPxwe zPghM%P4xd1>xxT`&AuquUs_fec_V$e4b)g;rDQ_0Hoj&|A|oFXk@)WmWp~iSJ18KT zNlW9GpvcKhrV=J^njV{iOM~w@>Lw-ulZk&Z@dwZQo`=?k=1Gp}w-YVB&Wic50mV^5 zyNG>Y3md{?!SXP?%AUMjJ4G@_RG~$IVT4pw`6ev}%mwOC%qoyMNwF9u(eCJH$}B!U&~F%c*a1(^AbPEDV< zej69q5(V3ZHnjWdOR3kqnuF-wPIb@Ur%GEf3R4%9b2us2aeHu@KlHaS)+~tHbZ~>c z^u<3ivO>^u{+%{i@zNh%AbxhYUUT0zICTT(Gf^_Kc2~jan9Vr3cKI0(5#8dRpWnVq z{nVv{jJm9a^S;4Dgt{=H9)9pOi!^x53gLPv{Z6Ts1y9koiD$)Ci)o>5K|U)-ENMaM z%ytJJ!0I+Th}R^vZP%z<*G~jEyk@#0oeO-h1FKK()VgMS8B#&ol2f?FeaJProVQ3p zkSzf!SZ1kG@dT2vk2}=H@FRh-;6W0g%<=JpyCwU41Nsw!6&2r>p-dfcm}_3D-T|A8 zD{R&KZ*&MHCq28J!5x*)!KzBe?UA$cR(y#jrvA3QcO#A>Y`FCp_WFJtg$Na-*LpBV zwsrU=A{yT%S&TiK7*GLJ+pp6bE`D-SYK+A@I>aM0XIVr5@=AL=D{6}*1X}cd*>QWO zsHKMayguS6*FEX%2xrod){bu)TNT%1)Hl*qTCV`~s`UYt@nM6ppmKp8Nx)wKY)z|9 z^}mRpH?&q~_!g-kKoT#CQO@`OK*>c0#d)~LpUaz8QyV3_r-4|}7J={Wv=R~6UC7U) zym(qTS*1`9TDRyXLfe7V(UpztmD2ebjed@1wA$DzY=ZK9Z_a0}wF;yPe1Xp48AiFb z?-DNi^tt_LSRbl5i|i)xy)o_He2lL?|Ech87pLG-u-d!84@cA?VbRzeZN$b$FZjqq zbrjvv`utux@7Bc=&S!D|i5AH5inR>xaJB?Rx7m0vDjHjcN%kwIY-}yv^yc#{4)3W> z8MvV5UVWgJ^(UCCM?JsMDb!Y@8y#TW*?g)alfFM@S?zo~FT#B~;jZ7v#%5B^FHSsm z*iL~N-S2?b?iLCqCZO2fa-^_z&vIrHjH_72oT{#s3aR8Ye*}Ye^%Q2!eCm=o>|xoU zW9v*9TY_aqMnQJDeo-GQoiOz!cBj(3fAVlyE}IlNV^neYXt|N3JnnX4I@A6h&_OvZ z7fK^;VDTsA$0*G2Fb6gbuPDaOPn0ZL!fBPUU}nfqjf3FA*E6X+ndoyqtNP)`u0u&u z?)N_d25C0Ri8dVdK%!)lwTBr(`?+JiIQp?ec)mXvU$1n7Ov(}V_|JH;OwLae&D#is zBl9n9t3{`iGXJ&<>3)|nXeLQof(V^OPaA%Azlka@*C`3VAFE4N2^H`_GEjvF%>ln= zAf)gAC^`>EsQ*8XpU5GjLLJTsWkoh;kIX{0>^&pr?De%3XRqiSnVDygj7au&_DT+C z3r88{_xb$|pZELydA?q+=j-t#No@&9n^gE+%3pqHo`^`+(cJZ!W=`vjY^-)Q5g?^u zY1wtXGeE4>#sFpujN6R5aGj6ev%8rp46qk?uSX89C6o(q->t(94RZQ0U?MMvb&Y~p zb;&+Ah|9v(^z0=0kWPRHaIuc6CbC;rvXXDw5Eue{P`&`83 z7M(#2tSi3Irytdh8bNWm9))%YjELDk@qdsJ;==$k}P`G1Z+9)9-Js9${QMw;TGwx&W4mVO5;da5zVmfZkF z5h*R`FHDT0s%o@jXfl)kRT0k9Q(^oiMac47K2$;}2k`x1C#Na1_?sEI9yYcQV-VC` zo*#COZ}zuAV}b~)T+POUV#F>i)laE7@@d;gN#bNMcw%PTZt)}8n{%#~%2MNxX0KED z?&_4vB(!kpc9$QN$|)>$GgL?GXFL-`V)A49RP-2LtBR+R@*JDAJZchRAQWhwAUIUG z0sG}_oXCB54-;y`X!TD4Y!0e0t~9IcJ@2N8OSR>MWIm8csu!QU-Ya)VBoC$NjL{Gs$KeE#{Lp#v# ztv;b#tWfOpNP#cKMtJMMB6(Rs%+C!IT*Agv_@SHHlZ^P_T0bY{;y+KeHlAix+Ud1( zb4gbJ)}FvMCUCq9uzvPPq1S7uXwTq8ZQQOFJ$ce^=gah}4S@m)g8CJWKue9_?m zB=RgZ5Z)^^50JUNLPXFm5E1L+UBqhgoBtPN)c^zPRZj#WnMT)=clq#^a%y-#=b4P& ziOz(fm<^d%JEpXX>q8F+iJR7&ox8=s;j{_GRS}OrJEh+^jq|GoY)n&UuV}cSDa+WF zJ7MiX#&N5$p0qJt1CTAiW1#HLAuBYu%iCbxFdeou@IClS@hDEG&Qm@BS;I-B9O!H@ z@#i2@PJs)G4xIk5loCem>H45jM;}nbdP?DWm_{~_+#n?5@xiAbEkDErwGY*bRq%a8 z7wM5dL}Su!?UMRg6YVLqMA38>HqT}peHQJvhK&;wgZzrP8EOI;{=!v%-v_p9rwKnE z``#N<0LU`tYovsYx%Wf+fm8`sQnbHo$3)cK5s&1YhLZ(dBwL?AduD&wEHg5|R*f@X3BmN`H!*F|n5yngw_i|6%jwT?(j!8~pEoAd6qIAv-b zUDT_;6D_db0oz2p_Dn=zt#WhE3D? zhzTVOR+|v6=BX0x#R3A|@ROM?9DvwgU`p?l1=H~xc3)6GLw?@yUb_MDT8SX&`LUVZ zc#P?!G+*4}GEk*e-qlvvm^vUyq$cMClILGDkA~?2d-Ys#;*N=C{EBS}C)0n|v;)?> zco>Ni>6|858gn8V`-8}y{tmzDgikOgRK^Q3Xl8&gwU&RL}On|@v%d~z7bOm3vcH#q(V zeFKUX_}Rb%I-O&ta`7G{exNFjaw_3LFj|ZM+(~p%-4>8+cs-DQ;)z&HZqk^)1GV?P zcC8Be{{KO`!V5fEPw7Zdj=|=;HR@cxF89@bH-<+%OFwcp&*u1L%mv_)mJeK>tyEE? zV(7U7o7?x-H6Ix9O7WQeXNS+f#RZb6ZF~Zn1%1`UQQcqe8 z$PZK0HR*9L8?{xv4pev>4e=mLan3YkvLtBhOH@=@AE@8;`Ub?TpW`c+D1ASQ_&>00 z=&zj@&|vVun{)Q|y)*i@_>Hh-qGTP(Vm4B1qRZ*kpF@tWQo)EZrc`Mb|Lbz1(zL{U z->w6T08$HErq~2bQ|m8x7U!S*bp$QPLfN(Y!N50r}~YUE2X-v^nlV z768U~X4K8tiKfvjK$0GsS*|!R4s~aCJB`-Y-}Qd^c7EVx7ob_Ha6FaqR!^kkc5WP72Tq{mo}GXmDa&Pz=TjbX%pjvaN-i8~1ry5Fhpmgk)QX}<`~N}|&#OWjGvd4^CK}!!R1M*maw=ro(?o6? z!N!#&E9tO#A{+-m0#E5Vc=^XO1u)$vb_ISye~uYhu@AABQWDSO69UmDee~;a4G4Pk z4P}327Ma#%nzXMc#DI*PE2VcWXYPFqSXm_CHJ?^8r(Vptlc%MmOH z*G+ru2iV1b6i=oWa2y`gl5F0kj5`|Ui?o}mPB&9Hu{$pOE0cAR)yjd?joEDei?DSW zjl5W{;4#MVRURR58te~=YiH_N{#CP)m13fx*6Ib`yBvm{Px)lIkyahE)yzQdnx|#ZW79k*$0jn+N@6Ug=5v{T&UcLr z)m#z#3muewVo7+((5v7Nur;fZ6&-BQ3jdwXYbe|OV@{JI7JK28Wom67f%D98A#|)`+z4d7LS*n%A&p^Cm z8f5s;TR-)A)2v=)c$7U2!U)6vBtSe&V^L#ipe#*mIreeL%hOU#1`YF6?tephK{lR5 zV>*G825+A?@M^K%%-H9M^~vqLQhWS|{{F(KYuot&7+9G)?dLgD!}63=!aMnNWXO$~ z(xM00W^O=K=8NWk?!2BlD)HZnZXbRt=rL@UEI;o0m*a8pLdciWOY{?mhX>C>V`+=? zY1`i{SI~SoMhDJD&(2#cn5WxCn|rw>37fYxZv`zFU}Bes&z|itGH(@ozP3rRCSm@* zmZxhG(ipMuITqy2(s;@c^LQvYMZ8LS6?`*mB3u|g{?f70=4sLWcHg6%>oMm`2I)Gb z-ghA%WCf{Y)5hMN4f}Cj7}3tCayEB)bpH3Z&?>!=@Zt=xuyg#&ye>(!% zK;qc*p2hxrE!leC-F)K~NED~(6)QF~bpB#vhdzaUnH-DWom@A*=54IZU6 z`auxIYteYwa^ z$CJvxDhE#0k#jHW;^b2|vILAte9tQQi?(0nVzr(Y`KXx_|A^o#K{KY8U_(YWjob_X zNw{l0QMX&n0yi_H}ZL~Na zj49iFVy+nPn2q(gEadv0BP!Jq-u3tL1VTBNxoQgG^#73Q&1nyh`zswIG*=Q>j%A9GUk+F9&7E2j5m~96dr%uMLV?Azv zh5??csXWi~lb4!{yzmIRO~mVe_>A6LTfS{j#(A^o2SZuC+27d=p*AOIM-|yryiCb ziOW-vPS6mZM~j7@JX*)(Daxy0CccwE0l1w6#U*KKMco%vlt~G<%9a2#(|p?I5L$k5 zU$ReXD<>a+ef(OysB(TkRw$&^oDUZXDBp#}d0kX+TZfzT^yVaHhoWoni%@b$K)$NU zUC_?_3Af>6>{vQXDl)1Wd>H2WkSmd9^VPD- z7Wai$>$dcy>Vyly*3yBT@XHXX42JQUpBEQqb6Ru>Xbg7mCApq^Hm+~_QZIcpWiE>w z6iNm4&o&^H9hd(#4q94XW0v7TCO5NnZx+H{vw0= zCStF6?`|^E^9KGBbiFo;hgNJBlyW>)sQ$2CEGw0z*HApYw{P=Zot3BwWq+^NwTD$f z$P&$L$%7VC^Bo4AkIPpH{A_V|Bu10%?O5j2jsYH{v$_iye(Q}dp)i0Ya*kQVQW@F#Hc@ZZ=-xu^_wE7=n^K zP@EN@TcKwq^e~3UvBXEd80P9y&-U)E22h#mg+GMDu zF0lX-5qk#3S1+@)=4Z$Yf|n^y_z~^evOrO_@mC$1+$iox3ypP)9E9LyPG4Grxtit9 zjH!B|^?v`-L4I}&Yxu>aSU6C0P80s+!vcatrogJ$onY_o1!pvRU)uC&z3)lOAdXeS450ePZ&j5zD#DPS($ zda4Yyr!Zs38UcVW!atFTP`W7Om2voR*01C{3v)95D9f-%A}uqYM_j zxkE|!?SCQu=+}0SId4vl0KI`Tb~ztSLQi!SV%{?Ahpz89rL)slH4IBKI_0dQ$1~nHS4FXn3X;Nm3O44 zge2QZGR+kzg7nbK^>sSZnu$y~XLy)Lo}R(VjE?8MF1jGHfkw$Wf%j+LYYj`=4`@p{ zRguK(nXZTeE0fmTUBIx7`*Gk#4nN!+I8_l8X{m^_5^D^?k~l8+BBodG+bhIDc4=p(gX~VI^m`Kz3oT?Y_W&3*Vfo38yK9bf!m{R+jgH!=AGWhL!*7_*QItLy)fYZv z^g8(iTgXANVYZRf^OR7vo0$odT9#|1A7t?JW35lMNa}}3{OVWk_~}ctw(OIg6A|7D zQYXE6W>u*fT^8Ne!7&=wLmj2*v}wm(vnQJ}55;P(-V{v)B^^sb6?X<;|jB z34{dt3{-1Kc)dm3%yx1-_L8tBo=R7B$O3_=LHIJvAUYOn?jJ>5!*Y#}GiW49_oE=& zz({@)vMS>+eRrbFfq84H5+=B8Du#!EWp|`^AGYK<-2P?mv3Bbr?7Z{?rQZi;R$*taft>VnGWRe@Vg|)p2_0ZEdA~?T0yr8PsIT>vWPh-W z1XnEJ$Tx5E4!I_Pm}4k?s^9}<0RkX1__mqeS>Fz)kOF`qV);0aDRM%o-zSUvz{?t1 zWd}X?0tFC@4UIxR>hR@dlP+g+iT!FdSV9(Dvie12;I{2v*LQ!mBZ=@`V>R7Yuo5$K zwiHkNFW2q;il~g~@6nIXsa3~xN<>U279W7F+gVyM_X{$&Pm1kj-RD_wsU3dE}mXYn6O}PHTUX zQvi4oG#)y2VS^$_LUWq=GQ5G-M5mqI$-C}1XNR|mnAliW26wE|Pem)oOP6eZ`Y)S> z2@RInG4<25fjND5^hf5Sg?@g7Fzi#wyATt-lkCRFWpTAWP=<&TOF$rlbcI`5y>-fz zL54hH<;=iX2)ncpyBm1u|UWn<-X`kCkG|%ckwaIxKH8$i4SjM~9o)>h+AlI{6 z+;nzG$!x#W@BkWkzNfBew2}!K+%p9hhq2Z!RI4wTTR7f=KkvMf17faHF8#`jVX9rJ zfCiebhkGgX;l^KS*||Qn<&GbIWOyz!hi|x8E*SqD<*}bAq4(8nfRXiS-P-l$SYV)$ zuz5$BG!tjFc)=3my>!!oHir}eJX0#A$GL{nVJPC$N=eLC816QW-!Lt3X?rYi%M ziJ0Az-j(hyY-UT3e8)sB~t7LrbN-b1KIHvR6|7Lm>oUdCLqvVRVq=Z!%P38aVr&t z@fM5(G2v4d>a`5B1h`x!)t^NY0qz|Fumu>#|+u=zL&1wW>RFO{vjN*cj&Td&tQ843Q8rf;@~Ove$m*MyN0d| z*xAvHn}?!Ugb6_|rYqorWd{5Hfg;>e6^q6jk-q;}W}v55V0ciI{LS2+DUWZeowZ!9 ziebjsX)|0lOza}A%t&uZ`A0(RMUaNkWAe3wJ$=Z#0hs-U?d5D*`#iub?d4j~{07>n!uL+j1KDlv=&ZtiBZ@2&I_i3*tljmhrC9w)qy8uX(e)f3!l2(|6Zi*@_rU;MCMsQ1a* z22g<9ufGh(*#s$G*GsI?trSgx-Q{dxZ`bqSZk09b??oc9s5rfg6z%6R8-=W({ z>(xr7yQC)L%DFBxc2k=;FN*wccvtpPKcxwMZA3Nn;#G|(lJ0O!hk-6P!~h?e6Fjw9 z$EXIRqDk-sKfrfNO&vmH)%)o$f{UN*PZGo-p8biWBB%*gZa-lhL?-VgPE6{t^Pv!N zQah;x^`|e$S5M||K;mTmoD>;%hQ_^b4RZRALobQ7?iW&)y}7iDn}Tn;G>`*DD0Z9V za{vSEFwikC>tsF!NdR|*nhi~DI!*FXxqukvfyGObskanMAD}GMQd66Hu0!1uwO4iD ztIa<3Q0goFY*_=^KBm*Z^&U%12S8I2|FFe5{vFL-4sTc^^jf5QD*_I{?f8;)GDI}E zlr9z7+h%u{8l*escB-Z+<115rHADBf7Ea60iD`RplDWrsL8q zOyux(E)Q&9uKki6r~l8ILIDQsl=mzf$&@wwg1iSGBT&RIIkPxey?v_3ip&AjMgy08 zp5luzH3q0J<`G|R0Vx$0Q^hCu5QLYF7Vk!WJCSxu+0&6ZF=N=#7r4G4kteXlu5hkZo@e-e@AZyUmZdmcQi;jd?~#El=k$HGf{;xVIIzKex#9- z7QI2}HSn2GRv3_O1;a=a{y?Eb-4Hw-#v2T-srW*I&J%!r+xWqQjL~*4r)&Ksp!6Ky107{7j zoCn3bhvJiftWV*BuyJ!W5+B_!-B!miUZTUIei3yI^s}eO;81IUr#c#Phef}cFR8cxf=AQ(*hDh60#5D97y?F;$-Fuk1xJ z!=BUeCL!$};vbxU;reYXk?C@M7hZDdA7A`qrgpBI$u~>r;ko!=hcSgO%m4KTUOai= zATfVO-iyq8sh1YBG`C|(RqeZ^OEaSO<}xRt>6eoI#%WrPZ^uNRR5e8CH-uq~SIBMa zkwe$fnBsK>wThhz-`zoH1<9j{4fv}7FSXR6fDP-QvuYSZB?Fkb&K)WXf`ag9LO%NX z)QTg7#=zrTd!Be28}*%lpD^TcpZC?#gUd}2|Hen^^?NQbFo#fi_qomrJEP1gol0H* zLo<~PaCE#WswxxU0uGwcGcas9&@qy`n+^2%vsWEu4}%#6#HB^+Gv-zJ6!yFY(d78?<6O~ zd~4&k)1>LZ`+b93L*~iUusu!wW%s46MCr90*da_-ob{Z|g1s73keA1{6%f6Xvu%_sD)AaOzV_R&Z zgEDETT-ff(Kf?^!PZE4<+3FISn-}HWSH#ZJMBT9B|abKc*V>>V2O8&YMX$ zg|Ki=q)7j8cZqDWK+%gQ>n+wTAcR#q?|YGLtX*`z(BaeFr7w18#Sc8&RoX9%eT8p% z$x~F+F30W*4d8x{6$XI4ol?yIVO*O`(Ey9?1X4of_w(`9p1epKSc37rA1sJ)i_n_J z0EWrR?oEnjzW96pPE>XnFViNJac_i!3!wU9wtTkaNzAqZfi#&olKH5bQ+N3`j-`{3 zP)s%1E|EGQbV_PYWR=SeU%!Lg-}T{c(;pHed_c5`9azl2ohB=DPVyQthM;>+H|<)2*icWBPC zc=3_j>!%FOJ^j%U#BNqMeKYgr3!El-iZ(JbEvYZ6_QRS?qWV=4I^ye7uZc^y5|b;| z!APk{)P~RA^CoQ$fo4!9gttS*9^;ngZTx~kxB@?7c66Js3vy(1f7XUkL>)9nttziM zTbbo9|Fb7sqD-(E{@|!VmF#L^4E{2j^Y$?}g{q`J(kQqo(zfIOZk^d6UyZnnebv<- z(Yo){->S_6?I3a)7s72btQuucZ5^eb58w5m#zhy~dzSw0N-VA7;bZ&Kde?Z+FeUC8 zC}ZvSn5zxb-Ty*C2g&dkSveYy#0C4NocNoWsijU|Rkf!|$_2ke%&``md%GlV-^|Tr zPG6Yl3;iE!m)_6vsuq7;#K9X`!JUAE!(*vvoGAIFBJm@2 zwz(|5v1jSWTd7)3Z1VlSc%BF#y!g@7Yms@_a|R0ZbNPMkBlfIkOFS)zoNhGN?Bsz?`?OYz zy1U2O8{nFj;s1AK&v1gsxIAT&xebBU3C$C?(oVT$sI!?7dfynD zsR~mf%-6Qkiqd|-#YqZk^uSybzg$M!tOvMhU}Ch<)kXZKq*}#I4*hm}e+=wK8~}RI zFS36=J}&**x)>24l}LS4btM^x;UJXOHBC;STf{E1>f2fgTJ!I1KD1s$!qd`Li%xzx zjfA1Sz#~GMugoi7hDk>ZEfY^nMQGl2q*$h;2S&r`b|cjm?@FG)DBeE~oG+m>86DA65z+b6D=MX{;=EL8uZo=&~c znY0W4TSf_FQGEaCXyDgyv1bQW_vkrClK&k(e?#4q)5%_VAUIU8J)ZYX?)Ci6b&UKM z4^s7&xKg-t7m64QxS}Ml08k^#v>DKG2)g$X#O z%4JmWQ_&l!r-x$q-L%zTDovOAm)Kc1T`?CQCp+tES(_yU7kM95NJN zUQKIBBQsCEKTfmbuL>c*ORARmWTncT%zFHY{rcXjU zN-OFv0F(JGL(O+;!eiaps!7p%eX3crPn3 z_)#T#oZAt$b0#{gu=IMvR{_9S%ky3jFPb5?1CtC5#-{#ndD?mYO^g@51YNT&_w)GP z=xf|!5a0(rooAVOy&l_Y85W`Ka>Z9|`FYaWIQ5QuWd)kr&nahghOpus^r)Quqcs9rG&0THTj@`c> zjeu_Mv-GPFuRy|q`sXeT8*TSiwCah21uIYkU1y!dm{99*#J$a&5?}91^?bp2{X5gW zgQh@7mvK>>x=Wj;Oop&t5~TGDCmNg;^3669?m+DAxqETp+E?z)KvQL=U7@56oB10Hk z>Gx#jky!&c}KO4)kU%|dq9P~YCSp?^k{|Qj3k3pcsa-X9D+k# zPMLCf{y$Y6kkHW{iu+V|1oTq?f7xog&!^R1VQ=suVdMD4m*|iWCXV~ihyWZ*LwVJh z<_78>dU~blu7{+fZs75)hGc^hYgPuL&UNc@DQtNeZMjSh*DQkiT$k;40X7*^Z`?i zP&c!ip>CHo2nG_n`na_IpFea4=6%qb=V-@5yn(nUi*Sy+veVsM&6xTQ-5>W$%o z7VD8V5F8wv)2lso-VkYtpV0r*F*lCiFP>z6sH02Okk3Lhk=PKk`l>yZR9)s5OEeduDZR} z_H1SI>1At7uNLl33O&0JEgRauLFr#Ei(fd&|D$}#AgT|avkH39spo=#!@M;3b=NhSeGqs&i+{ff9a&O}H zDC`aXX{gZ>k~Z$TaDH@mHg=-*QI(A+op?XP+7T2a9jE9f&#UZ%TSfhR?Bs5eo<`b` zPWi@Gy^E{%clCe05A>J}awBWBX}IFBG!hgkZ(FgksfPNJLE9~>qhPVv6cn+!SwI|E&ojB$; zs!hTk{jfu+hia9|Y~?HlZyY*s0EY0{QA`m0Ni%y8Iq{pt0Q!gXPL2+DPrytq|t zD}BYExUnAZZtHKYMf?~RJ;xrs3a{VfLM81PfL!= zpT_Wt8piBjiKpIE*isT}*y&b~LZv7~coyB>TWX}Bar^IO@W9Q?uIZxr#z91=yUoed*lNIAk%xI3NL9I8 zspR#Y^4@G-y|HHZNR;@g{jE|EA{_OKA8+&RJ~-IGOQY&lHSk>TQ8f-SoGig`>^0XK zpLBvK%mt=%XmI_Hb##Hh<*T$}J(2%r0D@kI3dMVr#Y%E#gK!^_P42$*tVevgM!u_b zk(!$e^^-f(LAZ_(+KA7&e1}0Ne>b`40+GI{=4QpcrQ;-V4C)L69EwKb zF8uR39n0faBlk!`Z|Qic&t58@C{0n)=4oc_FBYN>C}9RDeX#q^G;kDKC`lD{w*&-haEe^v^QD-0%mbtDei^ zH$g8BFJ+J)1xS{ed^6e_=tnT zPKq+p3OE8DEf?xZ#3U?R5gsP?6;2RbvCBRdTQCs_G}*Rk78CbX18+cg*K&{{WxqjW zke^{(;61BcAW^4YF5z{;D7HQrNi79}_p`>h6iC+z5)rqs<;3?6+g2xcs{BxFPwHqu zM#vW%eEsr*Y_PS(ppSZ1vd>M&e)BQpjF&Hafg`)~__Z)u6}x0VwN7BaZ`-t@TkNWi zv;m43wPB1=jVMU_kG6T>oZBWtEC3Kxcz0112N#Q(Q1>4adRkWMIFZL44Wt?jUV>6N z7w?;XpUSJ08EX$RKKS>bwZ(@2(3s<|DT_Q~Ax?*isQB?gC1tAF#&v97l{Q$&jo~&k zNAs$`<0*mb?r6YDog8qM?>zS-WmEg0P^F7h-+?FC&9hYa5n!GEjWLOp%V zApKQnu^OI!1Hve3svFj(;~2P_a2kKnIF7P@F4!k*nRG+=P){^6vCG3;5J!{fGP&b5 zpaB6IpLG; z@@bA$9qT&C8fE><6{oGy@FELXOy60BK2ri~cer$#JEhH2Qa4_Vd@i|2AxJQiJFq=s zu2a>LRO!%Oh90AE#c%fu2R#95Qa$rh91P)gxb8hs+Hl7q^#UX% zxrpcWHx}Y9!y+TT}Veg>Wfpc|!$m)?LY)8b=zFnp&~qMM@KgVE(>9sD46?%GJJ?RH_$G zuk>=WT>s6~p7?X2rk6;{Q&f&>%B?j-+T2v9#6rcY+Si~qvI;&41-i(=Lzi{hi<~T` zrox&f<($b65uSyToQyI^JZGNve9?63g!xd9OktzClDf3lO304oU9zJC?NNNHK^pxWrKgyO*_QZ18{|fdwECDx_+nlU<#ktRgJlm(bh?kU#x|+8mOvl#B};6T z=%MDa(trL$ASg?q-fzJ9kI*~v{C{VjgRz$621LF~^(?(2qS#A15piJ2|MC5{MS}ml zL})9guV|nCW(@?!(y_C^_EONqNybws$7!MO=V{8g*MFu=A!^>-(FPmT8V5QIZ>nWa z0dvk_cN@@_Cw$wa8Ey1}$4Hivd&Ofxb}87L*{GEWuW;ZI)}m5hqMlmV!Y9F41pw_GRPd ze)PDG>OjUjGrlKaFJKVs=fSY)$!oFN4Hxu1V_8EJfa`xtq&eo(VmJIF)7AG+yRxT0 zM2k_B8HTdwzqc}*72D*2&4%Q88Jekx?+0&a4-(z_e;_94GN1Y)!#uUs=)x1pCE1!? zHGGK}#%1hea8oHoZ`;PFudusR&W~UW5J}ll!FrZRP9QFM+8i)k6P^j)_wQnWq6sru zB&35~{iN+?E9iJ-t;M7-0smCd0(aN=fFN^k_@Ax#ZD;|xKRzN5-$?2pN&Hk~8m?^Q z#~dcPap@z9+?$HIqP6=!T>U$c0E~Yz4weNYEN=yhbZ%RWDB?R^mIm|`yL#npgr3Ki zG91Opj10Cx(UNplj#1n;nU4`z5;V^p>hJi)bW9C!G|xcfUHe`yM|Zx7@I*|Tk6}k!SQAFGrI{!(e^f09Rp#aD3Vf z8`ewq{q^162b^<(hs+;##8^j86V?m=6JjcR`*Ewga+56m`IdvPwZUmWLy5-IBC2lB z4kBHXhUx@b4q7=_9M{fV(ol*<0O)Rn#u~-oku9L-jvNvSd@0Fb-FR7E_V2iqe%+iu z=YdVTRCD1M69H`Dr+|jSlM;9@<2Pzv`R2x!jc=;6vxQ92;proaFk6NMV#ZFyT!%F1 zd`#-rZJ2Ic(lcEP;QSp93EwwK?nmC6pCFwX`X}Q=!#VpU}v~n4H=x(v)?)a z=GbAALpUzU%GB9hLa}StZ7oBTPd>dS)N@E zhLYXad>?7GhmK7Pb_UCy_@6CC*)bjnn2}ItADCHD3n1nA%mO%{C;s&H@FOPe*u87W z)9~B*vi-%@x4{cQED@TqDJD@Z$h@}PWyg=Q;jeuIY6XeQTY7`_^HX2c?jg)w7t=CG zg<|^F7Y2&Z^QtCbwcq+VSxOSxJzLY&6C{eKx3q&cCTeOW4F-?GH4XIK>#Eh`_Sn-{ z)Cvu*4mLa!pvkG8{Y{}6MK%n4!e;yb0q5MsHqw{f&st-*r5DEkyqqkBRMrhg&s`LF zJ@)9`@pwDpt*%Y+{#fPRuHhOC&J9S-EV z5vPV+?5Hk70ZnhY+Ng~TOc3O1(gr5q*ft?feDkN0wLFEpP2?pp7j@F%Q)#8lZA8GO z5Psd37QQ?!OuDJQTpELk&6OKC*RPjkMyQ26)C(w}I%h&YxcEAg_tIFTZ0A76(Ikr( z7a_}6jZ9xFo$&~H!dQ-?<@Z^FnAcv2XQfGZ>7v~&RI}}`skumNK z;x3%mtAQl`M~M^td5;1y77|i!e&2l_8YPrZlKWL(eX{y+1ioXCpEg6iPr@7OUeRwJ zXT?d+=}rR2R_W9lxtxK4p~(b68AK_L=F zOPjZ9eQ4;NFWsPHT;9@}szldRy#6}Qf2Uov?|%j?P)2_u{|o#ix;?chVM{Zdy889y zocQcqV3-}855@)OOhs5GNGc)en`N)X8FbC3mZ$2ca0&4*8hFn*X9gaQxaYWIP;2l; zFOkI(GmDOd@@cJ=4w{?E4x6>5id3#?N1;b!^Y>ciw!REA^Nw}BX?m|_t-jSM-l1Wb zk@-H9YudKf{3uiULDNR?z(Zg_E_!d2E?Fw(HC-9A)U0Po{za19ZyAw(xd(YYIY_M1 z*KK_vn^SxHoV9AZM?KFhOBcVs9ImDAWE9@3mswVVXU3|m<-Id4je2XgkIjC>9~+)T z;)n5=kO?XBN8g=X`HMbC8l^1!^ZpEj9uuBEGU8s1e$h7qT>F?)F9{)v;ps@E(+#NV z)j{yx%5EJQ#y<;O^tJm>B&>NQg6I3lOG%9W(XV+bY}LKe+7(}<9fsP;UIprB9*D;GQ2LHA6t44Qn9mL^;f>i@IoS zuIGxcbpE{c!=y(f$TMiG^aa>U!TjG57ptX9Ifdpo#9O#r&%ify2+!ArL@!^jRxV~0 zvmzWb7!E$2 zafZ=g%VtfruOsm`_TwWX+K3b#Ih!uZeh+ngoM`-``TrKVPQHOCHq!b7-IhmssG#fPw-As&M2a58tvcpvn$Ok~K?xTB#Po*`u%IaIHf9 z5De0wAY&Y1AU_;+?*!$ufsOb^`w1*_-O*9j!g=`OjnW?oL$@qg(*hsa_;Im{GnwkN zW&_ldN_MN&>fs;sYR}8eRbkHTIx-U0p)WNx=Nb2(cnslhW>1)|O1^w?>h6-kSG(2! z2`CY<`dA9K{YAQ3wBA;mp!-cUPq`pHV2`DT@=xExw@~23dUi<1;z@dMT(1K5x zmtp;u!tq|cdw?Uwipi~TY0EzPAqR;Qvviy?ix*vtT~~K`pmVtTEjt+WDo#bOROY@H zHFw5^K*UZ0*m;-*Y<^yH@y<)54_rmTbJa5kVCoM@XZzrQZO@DsOe~)p9A$fiSn`{m zZ8qd3CF%<@EDi@NUUPA*c2K~zo_GyuudmTA317vK2fflYT_5k)ZIDE?j=ugU8)NVM4uHU-G@209_|WL9fmRwGP)_ z{1}wB@(x2WijJP2oo6}UwNe2rqkwbBf^*`E;5RkSHeM}6qZFhZy#+jtD%LQ~9A z4F?{)WLBRaZ+R{73}Fk5baW5cvyt*KE-6pqks*R)IjM{j97`jU>~TSMnS`0o6UN?` zv;XSw4#`~5)R2AC=?VcK!=!i8%D9u#9|;vGV&m!(Q{4ljX`n=X7$8w!QU_Q&6@0BDeZWM?bbG1&#w$na{1`0izO#_T3eV8>wbS{kmRV7!!e(93>5N+{U#A;X< zyqDSPprL7F`ek1QLdmyp7*Qa?smvM-*zbM(eD9dI$wJwQg~*ztN@q)~viHwx z74m`X-GS)UNcA0yGcNpfKkQ<9Z;TX_($a*~6A?H{o6NSd38k7>zY({BUP-4B#69m{ z3iu-yP?KC9yg>zn3*idHe19iYOSu3*?9VDxL4cBn@lU0tR9{-aF5BR6Y((V|16!5% z<%?6Gg(w*rWGtM6ziY@pO4OCw@745GjyOd-VwC;ah7!JgLVgEu>li=gpn7$NrCLgf zHlQm}g%7_F?=W)lNxW*_Y1hTyHI}s%GH?k6-!!@9!L%xYAQuN09!4vGpr|sk9H!!BYOPSXwyz-^BjlM?acy=`0i1p5P$}`V3+6%w&9BBRn00 zyS*Zv`Z5D`kYPd;&Mk5locqjs!IG-hvE+y`7I9XKeNCvAlSW_ke55O&&malo5`3U( zKesi}ul}3dYuz1;_fsQ5Hud4uP66CQfbeb4k%|Z3S8HY-3t@ctrkPoX(zoa#TEdch zPPWE%_>;CN)eM;RngX-UuaL5}C&7Cfj?-%2;);eqo@{AYq&sWiXHjHf z(Yu>3!nJf#9hbJ1r>VWaf>#IW@%K!b^c>w(tJ13)rbMo@4+n4>_L1Un7(JqxtatmP8sLjf`FV5MVe#!%xFd#U)r2NTg&`XZ zj!qd2iz~N+O*XUac*6wW@z#zff@{5gwYl})UaW^+9323Inz}(HyOcz?zfHj1V*_6T?Z*Di??1R(UcO^)eb;ld)1zju-J~Io z%HT=ZR9~aQXQcI~oV{GHP;e*w(M?YVe8I13rOCUYUzz%99)9x>J}Y>3Vo>R#7b@D= zmb;F&y#6IudAN|fzLm2hwi&!;O(Nmge(vXeAJ>PA@}vYEoZ!e7zAS(`d81%K&ZzzQv# ze<=I2RWw)73)}JK)Fu+R3C<4uE#&{EcB}tr6>i>&Igb(BqT$d+sAPQKddYt6sqn)u zV7k0M5{6VIPIgSu@-G#EEd>8Ay?zYTLTJG%3yoED2(EkUTszPI>f}F-H z@~UAUKK+uBh|<%2Cf5)7o`@Z}|NPpd`4`_1LyZ)wUeo7t-w}!p4}TqSy)^@vha(ho zLq$6~lL%ut*ATPZ!KhZ%2?OstRfW4dEUBpArG&zYs+;(-!dZ{&p^qMS$0;BdFc~WK zD=N~z!^89Yh*tTHb@ML*;O?g~8217rlguBz^{Q@c!-bKP_f>3}bUFzSPw)2Kxs@29 z!~Ix4*g^V9wB%mj}O!4bo;kklG{P9#O$ky_D^?I1y?`YsSE@t;;J_|)u7Ikv~iC2h0`^n8fE zC7;Vo_|*ma6T?E8uV($7D{ptQr$Jj(%DD&mo%bQq&@V$3{&94{h?V57_ndKpX{m^^ z0HWr)>VM<=S@U?5Pk32as%~<8T7>VDn^nV4Nq^${&mJ(vknWZ}gUMgIic%yR22N)u zW{geo1&nlSDK}Px?sIQkH@C8`!>j$=N;e;`sTX@JD5`VIKjuKV2l+c*_szgVRwrS^ zaAio_Ns;7E(VkNXe<@G!sob$8L;GFhwi2~TY8Y7mAxofa+&mvbTjT*&gYD+rqPa>fa?rXyg{ci3My z?D$@qF`Hs0rqXoxXYDZFZ<>^=>n*bb-9UZH_BpfWjq^=V`7SN6L(1>D#kBk*_JX%p zw!R6m8fr}MHhswvzGPyQUeDwNyx{#FTmfAzE;YP1fia`Q_+IcZ&@Q=K)b5Ci$Il3} zGBAXPjuE_kw9n*|gTeLJ!n)QIu1LC^eJK-MO>mj6;&L9r#>imf*=JKPI(1?E+No*} z_WZ}A7n5CyLRxPd+5T>MOr)TNR4qxG9~O~ul)w$Kspj2Ff{ z+` zE%D-w%Q9E#8uFnp(wBuy;)i4p$4h+g-bk~PcwvOC`zgom-*~iGX4Uz07-)D{Nws|S zY&3%ZjgQF$J8B0W0YN*pK9 zHw|*_=F6tQStzE#Ar!o`gqP*U>XMU)^6J`W12gK~K10q31NXo{HamsdomPI^3^rK` zD@bb%du-*|0TjQ-pQHtAdVS>aZkpQfdC(LI@y>9gO~yxs^Xh^uy;{0vLA@%!j)h($ zsq(v(GPnfsG)!(CS6>=sHTD;GtFB8Fz)8i5BTn0mDO2?(EI?(;$f4p%5_C!MOcSwj zsRm~qSU8SarBr^~L-_WCzd+o5a=4|qa2Lj&VFeHGzkZetgK^))*Te`or84vV%n+KR z&$n=A1;M_8v2Jq{mM+4`rt-k&F?Tj9w5~ z)k-s}0s%v8?wYZ@@+nz!Fg@9xtK_!5A|Or5;%TgB?1qB-l>Hz4#E?|6B4Zr@zlVZ- zj}RVV8B30Av`6h+KM2EKyh3Aj;7d&J^L!J3-hq-Q6DhpYO~%ZF1C&1adclnc3|F;m zSWN3$IIREwE(f{2q~uzfF5+;;1VG2+Yf#|WVt0k5^7HYgZD8&DvqY5V6g8C7n_qxf#G<>5jK?gvXWPg9jj$fBm#p2)+%ys z9sj?QUrrJ{H+&S1(hJtv2!c=n+H-jb`jqHlU0nkd$hy%~kgt%;F1~bC4Kc(dW@W)u zShiHnH}9+6Dg6zX7Q0@OxpBu4z;TD57k{v#)AvylmTVC;@Zq*FibZo!{986@J|9r1 z|GC+t-DuI-vn>!|+veC|_Eh&_Y$dlEGSmll#MW6b;IN#9EDr(HTUViX%C;Xr=dWQ)!Qp$;`bZ^f+ZyqU zdLsv*j>oyu$cyU?0>$cfGnC>F=MX8pY4+`C_b92xKGOIL_8Q~&NO7_<_jOT)Im49xb&j&fSz@Wd- zqxG+O{0xX~c2ly`iujiYmwHlNy`w<t4(I5{ni-pnqKT1NXEyhh%v_pY+0;9=_DE|xQZ;zN z1o%WhT$+!>hKt`e$C$A73*gFxky_|b4S$U9ra{JRr;iBQi_}!)>Q}Jpk0bNp>)zgd z9Ch;l^`OD$Kfh=8qz$y!4Ym8Y2v%ouqTc9P=D}Vc=j15)Lqcw)IQOC)kWBRP<4^GC z^crmLBvsKNIKh8g??P7mP)2*xxH|7F?W(3Q&#QjwgJItCdpa!R1yXiCw7d6g(sNGe zi~mofoM>uYbo$wdS9uX!oOZWqF*`2;a>K}pVe<5qtHY$w)?Vl zVP9z6S)6M+N0m6Rp#`>!lS^K~yq{DKkl~_dpPYD7jatBIlkHlmcgnW51Pct@uDlvB z<%?nX_gw#9@6gG@^5Of@_#1u0%O>V&8MDqB`jWD5CX}U1H;r}tof#U;AK8jGl3Q{gzU~ z*Fgf=w(@$yhqV-<6-NBqUxWX~iNqITZVGuk+XzYC+V?Pls+SAHq{ot(Ed@`$eAhFc znZ|SAL{GP$AWi62=3Y}cYKVn9y}{W!3X9N2J6MV-Mh5s-a25$R#g0xpR8P_!IR-Uu zGtM7>DzlY&{C*@1(PG(Z!C)Yuc4q9u^rC(@u{a~pJcp-7Fl(b)*QURUz~r$v#Vdr% zPoU4(pzVYAb8wz`R`8}I?{xN}rxa>a*8FpUCp_vs@I*{@6Gu#JeaA(ptsGSSbKht58Duu^KjJW>gP*Yy z_L6M#L!I;-#)$Ll8znK#O|7D)r8_D8KmQnJKGNOHe87t1kO}KP?ePz4zd7|>OK4?J zo&S{G<*+j2y3Y%j`uL90Sz}cY%Kj*WJUrt(RozIH2Z&C5i zhG!UO@wE0W>DTjiacO^4>Z@MWid>R3tw-2=UCtt{3WZEy2^sT9~SpUbFvX{&8kKQ3l%<45Ee*F%Bs`Z ziCK8f+KYlO%1%Mr#5dziMMZROBIfCxt{3TB>9DS2g}a|XcI3Xu%T+{2=C4yu;?$J+kTIEuNMe5{StMp+%J{x@>SIqF?mc(|tF!%XC`eV`EZ zkYSfPS&46AQ>+G;o;I?Pcv~x#RoB-~|L%=k zLZ=z%fTbYo{XKVz4cV$YCqE@I z<%C`ubMiL-<52x0@sjOcLW!~95|iq;Pa$4BJ9I5$Fhch}OP=MwiQIi>QG=eBY_MoR zZX-hHNqVHw-?tjNCz2K!ZOg+4*4-7ID$^X=)V{;E_1wQpR*KS@cbS@Q%VY85_(%5x zSi2Pf!|3IoD&>!e=!4|${+hllD-`>TWk#=hA&s-K6DuA_tTkq4!phIp6`}E^1OfqbE3`=+6-uj5bqgf_Og=z8R@vq?wxhV)(kqPQ7mqxM&wD;2zK7Etgu4q zl|x4-l&L!)Pm6C!#VD)FtfoqPG@n0!0k_29@O~9#O>EG8F?b2kpO`#>u||}XsuW;G z1Ryvj@gCEOoxmeH81#J&~L zPz}LJg7~w-!*uEW-zL5_HvdhX+_{5Q+tz1^deL6L0*8HB;YweP-NhR4TWQ3k^)hRy z5!e-7Z_`El{fTkMjWc% z6y;D?QXkS%4yfiy-)Z4|st#Sr%jAHiWKf3Dg>}@&;>~fOfF9}ecO4+IGKE04oU{Jy z1QUi!r!s4}S$cC3kchjp^tnJOC$XOUPG{+`_~}EF3vez%t&-MA@vYlE$P1>0%@1+} zUS?nYy^fh1LpwV#2o&v@a1Y@VcrcYE@kK-9-68YB!t`|%W{0HARBvtpeBl`7Lt?`- z2v(=aazNgiFVE#{Dry1{+|KJ~c+qOY^-S>UFeWY9oD#&_0b)WOM2=up75({S@{)`+ z+K!nDbeLjwvHAw=c$?UFIH_KmWs~*>Mu4tHvA~q}B6}|ji>cXZFS#hE+43}PE^fSq zUh9HBKVQf7JbHck-^LPFc77!LOO#VE)w?CYOV#f_L!=g>e-&po_rY=6Q3RAa*tZ#- zb!ipKr<5?*vIhZxtbrg`6b;-lsnt)^>5X4$zknU)q?$#cX>;M#!OpXH_|^zTQ^(an z2>lLgvvJOYe?t~v$WUAagUMDc~mL|Hl= zbBH~VQseSbLlA^Y9z4PheEVAh!}^c2=qnVm)Q7+zd>L*YPqjq(VC$-+ z%`Z(_jPy~5GDMGO?Z$ z&4_in0q4U83`rzQa?JR{qe^~Zt3w*SI=&k9dY5-k~RV-E0(FX|P zkB<&o<|z`$5^Ay?G@y{}1_?JI=OoTdBNG@;=I$>Nv82jy2p7F8bhq2jlu65eOH`_3 zuUE=X+9dFl=Kp|maKS$lDU0_|Ws5(60!O6$o!M3=3}V|?+QXG{mK(T12j|8dl)Hry zE(Z2vMO?$k*oRh=OG+3gAH{$IE(qgPy;)jf1h62wWC5uzzAV?ir$!(>QCz7&b28?s zE-d-UYPUoVK~}_-EFHVN9f!0xvmO@!?EK7_moj z(%3(y-xeSK{iz{sHURu8BgZCeV4VCOn$Pun!EM!p<*c*s_X|cFF+pGTbymsjEmRx9 zr-cPhmm(CPF4X5^9D=EZlwRMlv;8Q?1sNG1AvOK`%To^BbQ!@H@0n*e84UbyCBgTouE~_n7G5@mF|BToYM8$(I zOfyO~jWZej96jX0t<**X$PZBZE%*8bT(V*!lw4FY4)5r=qCeWxOy1B2fsOgo|BlF$ zK$z%VGhWoAxI=B0Nd=Hp7lVsj^vB-@`V)mmBX6wVo;7sKSA`R{?_19O#I6~ai5ahJ z!ODX}Eyg-MvQ3`TF6q!Nr0j`jHxqY?p1StyFk4Q03H%kySK4Y&iDynQ(FG2ZS6xPC zOq&U@!kMT4>hJH&A~Cm8MIqGD->Eijc@?Ly*}3^mqBixsdqqzxHebr32p%_5u<8_k zE03nbjt*x6l(c?&Tx7kN>RBNtLdY8EzGm@hGb>?Xjx=c0iRkqh5%}Fxezg*~hT9dE z_?|fbeEYGqZ`tJ+@wXkL%_@~9)RVkOw*&3tI zCoV)^`8NqI{q?-lPBFKpfmCG8a9^@&0x}r7f%Qc!}4~p4KSlWwT*gR6nmz$5`Adzhg>d zV2F@l)C1gDQC1A7c!VG8pVdFnTz{;TmmcuiIWI~W6$pl|p)btdh%3D*W|^M(F8%D? zGWy77NDsBU#fr1%83cgJ_^02Y)r)h5Q`Zh=JGxZc_+`%d#Pf+NJZ?T(&Hl)pXeLeO z^nV;j^jY&I#Hk;=IJu}4c zNtH-Qg__W%#xf6b_nIyx4ZK!I-Uqsu<2Thz8>eGn-=f+6R2_##0$)_^-V5k&tLI7; zx;U>}%ugzV-{PJ$5A8XsJc(K4%B9bK6|tcSCKMUJU3wcwM$Lo-6k#uULFqQIGIi)k zD}zWCJ}0~8n5`=$5m_^#zqypSb)Oof*%-bDQe>SJB9OVy`Q z%?TjD^BN5HIBN{5V&AoM{jR*p_%}^SYJ(qqv|hLL>rMj6>`H+)I|H<)EYs_L`&XYf zOs?^&3d;dw>ziA{u|FcDz)gdmoeYy6Uq~GfZtu|85maraBp*5}R$7^#aqKMYp95&@ zX+5=qXOZ$135g2RSjUdN`z|Uu#dY@lT?(Vp5MQPrC?;8}Z>?U=hO>rWHJOb@C2((L{1ONF-Zn*edEjZGC~-ojZZ@DSxwc_p;ncU+{Z8#* z?Unks-l0_?m2GXJlA}^3g2%9HJ(ih?U5C(@LBC2Q7_KSFM1U(YGOckpJ$H=O&ym-TfhJ)vXO4>C{XEIjVf+Xwzwe4LIoO}4OK z!qz(nFzP?zws`PA5MJ@idjsmwAedGS~ergN->q+d3F|MX)2b8=Xc( zAn0kkca>PNkX0MwEWo58p2T+fgkBhvxOV$@ZFQ|4@j7agkuQqV$vJrp$p(ns2YGb9 zb$?poOVE0aeMXVndbH}PgOR6h>Py(wprUbLq<)f{*8xtTw;-1^3MwAUMzhm)=t|+g>TIb%p>uvd%JBh@J;C~86`$XA zgi|Qhk~tc7 z^@(RwzZl@Bn|zu%%?_Swqk_;byV)gW8 zTT(%g9HS*f9H2$6rI=-^gCGQvUL9gNlm9% zV4_n)_~MEzX1V@!1PJ)|nCR^ReAUrowl%isz9DsFQl*Bj7tGgz&`=cI!WY#zx!DBZ zPRO2@Q09hE1zqFnl{L~OvVtO(4pQb86BWP!TXSw#M%q~*rH^ahSkT|= z@|>7Dzk#DI&)a+Gsy^d4Y2W2{LdUKG2Gw}V&SK2s3I^l+7LqD5Ujkyl9Eyq*?@+b! z1ybOpZ|pCaD^&sgf${x`ci`M+v~dK|fMm;TeeW2ZWNM6CB2U#bC2&T7UFi4k=gd0Q z(()A^5Q?Nq&4;LlLVB(R)ugdwIA8*b_#hJn8g7S=Bfj$!*6KjT+2`u*@gG0P*w%BI ziyrrbs}8j)T=2hQg zb`O>FF=!IArothVNtMbsKiav>sgktJIfH;gvj3;vj3-WMm3b(uqqNVsYlP3^8&abs zWX7D^1W%xRkU8|daqHFgc*4gSS5D4#Fy=gL;tFeL@;^kjc;+Hj{21n)h38`1$ z+(pt?)fj%>Q406hvDM$;0n}+C87n_!gGTnMIDiTyKxeh7!TXv) zfr`xran6IsmC*UNy*UTm^{sKI}G=G?rF{ zB3^~$sW;wXc%0z>8Z>P9vPk}(bH|Z7a)2U-|G!ik#r)^j8w4<7kj7$*YDmVw>Z~3{ zoX-t0zo-E50(P|NwH>#3*5O0&lJML2wIn zIY9Oz)>MfSZOt{4t#sz)R0aw`chiosW9%sM4kNg#dt*r{naKg zoHzE;!-(nA&fP~lz}af9DB)$8ab05lCrfnbkyYpeIs(vyP&YY06}_Q(st>>0lkFI# zmNxT|>@0{c`H&1;U9jp%N2g$(rlC{m#Homt4|aWOMtu9Wdui{+X-N46!%_^h8pj$b zUmFwOaQ4qd&{Vn$; z8I7B-?ZNyV?@~)^8CUiMqe?hus*7H~<$06_19q)gKAZa4y(AB@7nBb#0fWUq^yQ4Q zJ@P~P<0(-pe(J*|MWJ=04-DgcY%tIl8#DFM6H zBdvE2F8PH6x@Q$=d5&TSc04V-SIH#o=Q~kzYRY<6{j_D^P88rJZD;_kvGtL03uvVM z;Xh=XcI%VkfpNttH_)%#k%slI?qknNbb`DM*<%V`27l#$XffD=9M+xUy0x%Nur~l) z=8_RhX7^)X!zX>EZ%Yi-5K(*81`{+- zOKvRnz0kh%6iC37xp#E3_6_n7_0IB=KsD#N;|<2Jn7O9y`wPt0)~_9pZoXddk1`D^ z?k8&=AzOA<5wQFZlAm%a6y>2(&)Q5xy;_&5RTBZ`TOqRlL!jAQZu&1%d_C%-t69JF ztfe-||K)e>c5@Dy!s;Ilv_po#N%Yvymfue?UpKDfYNH#^{Gr$;=)a6%+uz{szyUM+ zs7p%T%GVzg>#DMF7F0C_s^>qD=^XGqQa3DZ$mzRP29@TK0;p81EC*s|Km(8@1Zq!nnLH;iyP_ zV*vV{y5U?9H(?$3h$pGi^HG>&=io`NTsO4r zjrxD=Fuu(|fxYzUJ-~K-;&{^}5D-D`mov7E3#{eA(sEbl zl+p9W@D)A(cGVw?;5&8~Jt^(yg;#6_-OVgYQIs|eQC$>n(=F15AP}e9j~gB{Sk>f5 zvmq|;%kj++ZboPVBX(QXfe{5KIarV@|)4}b1lU?)tKH-y!Q9cz1WOJ?+(?`MhO#Mb= zdp+H!TH7DMQ)DUCb-w80?t|p^rn|qr64~N_M2P2h;6Wpc@s>OE)%)i%);E4eCkHth z=ji={9dSpNnPOydv?U9c6`0ln4@=*{24H}bJ!BE%0xnLTLbj3$W)`k zjs7CnkcGb)?qq1@lQsg{el$Qb-vad+EPzE+%I#_X|6ud@*^VUl6Q41!8bt5nJ4#t^ zPD!KZ9?M}KBce-qk*iN`S0ba`rF1ck;x{?v$y867IH;^(Ytl@)N z0+dKbYygy=GUNjv3TC&H@|tU_k+s!Tj8(>>UKC`xQ@8{V-6EFe8UD25qBR-HPCsf~ z#RWpK+IiA;jSTw1a7y8nDewSJ9Hu=_h9Sztt|)Eper`nd?TxJ(OwesDI4@P9V;_%o zS~M{Ut-v*=h;8C3lwq7+^~bvN#y3_hx40~3?fGdR4_?{2!Vf@oiPhsyN?v$J@Q^m| zM}pTzpmcY`!w5Ba-?p}OS;w$`y~POFpDhXjNVvkh#b`pI5vrAp8$4270Mm^$iG9FV zE~BMie|;uT3kYf{CfNiH^lkr?6kA;-YE|haXhS|3v2QdmuV8qkSLrx^KW`NOo2Oqe z!d?DdoqdV{Qg-tZk}-u|&!-l5er$n{B0xWk ztL&D!pZvG0?sQm=^?lcJ-`qS_k2NPF^*SIxJwi!OoL;MKtkNF~F1v<&6Lkf)&v(3B zJhN$o;z2>UsaHo62~aY1GLxv}-OyOdx>?dB--~?STksBw$Fa`4PPd0G)~il7sCa)Ys{$1!}m2 z^Wk#Kw?4XjkbbY$4;W!otHx>&zm*%zBg0Emv@u+30#QLM_?)sA{lk)R_MHwP#pg^X zw3YDQ6=vJkfHE$2Ff9+VuajNriD7#@0HDBe&V6&ySpl!IyPOyfa5%6n&9EjB26Nx- z?_a_ZH6t;#)X->rhTa!sx5V7CDD&ybg8VV)^Xp!>Y5*ArO4^hg z48#RcEJc(mW}93gOj5Jk5yzvDQSA6Z?(=cRjPSE~zP!ut}Wbii|vr z?6FBj)1ANmawBOzN&|NoBFAzVw4^p5{~w4xrUK1rOSJOU2yD?Xu5$o#DA996~cl)UmzvN+|H z>23c%%H#c>;u!g{jd0f$fzMj0Tz_{C!#DAkP7pYJ z6>ahTyE)o|yM`@UC5Bjg%)xXj^7I>5fB?{Xzq-AjE9l3hn9vyV`iw2aFvR`gJbC>r zn2%CSAH3F=3#j|{!pqkXfdvmN}nC zo@~t}WO;N}E0qc%UQL5Gd~FW05}kHGA|HMVtDgXlS&sU+ms>Rot0p&}sBb8IyU_UD zsN=WuE^U2?w)&dCaaYyGh4P|;QO=wHKyEo)Npe``@6i~#pxHfkg?$;Q*ICOHn;S(X zj8%IeN&dDprfkEFTVSSwRE2nyX0!O?wsM6-Pir>Nma)>)N_HlsS>oOeCHy=#+2zS(0BbJMhUrdA#5* zrG`{AldpgPSc7JEI6@lVRxZC89f73%SAWFpPkadRgrbu}I23Fc$h;y}uJV_CNN{Rw zdY+#Td`r(5%@zu56W$M@NAyFT9LvgQrnLYdf#PZR^u;*JJzhbaqo=;h6_=E7K2^J} zZCNgD=ohR)c_W^i{uz3xBb+tZvf-8|tJ7u!9AHK6W;HiF6PWGi_eO{NTIf{ArUrGAzteV2)N+R2#~Q<{sh!3*nTxmnXp2 zP3;0#Q?dr`uGg=-47NU8e5(pP8sB9v8X>MRI|kZW?T;z0&8EWAZkZ`5N6>qWVZ)y@ zj$KN(^^R}fcczC+TYszI%)8HBGEjjv`+g~u&Eh(pWF2OHb+OPonE^b$>OWQ>OBzWT z5BS)uQQueC1SP?y$NBsfv0BQj*Wb!#7AFV;FDNtR_3H7a-YfyW3Yd|eS$sq~JGiFG zF!_aatXHeMxCw9vX;HSs3LuiN{9HftWkonUKk=PIl>AMZcx4U&3u1$-GV~{<{aBV> z1eDxqLd)`MvASO>Z+PQpi!_U8PmnRpV4)#*V&y z{KS=omV7R9ip_1CW}f0$R@IM8UEnsolQ_s%m8iNzRLmH2T_b%9erDrE9rt`r_rHKO zvpIFY0)S*ickOFqc#=-)(bs44wv>gWfVXk1kz)Azc* zwa*38z52M+?oZy!+6jX=s0(IlKZ?hW1~Y;EJ9*tMiWsCw({YfjQBx0;UJCF&5VwV1N2E6m3)GPQ zhI}VjU!7~_d5q*UB>-8Wubf*AZZh(`$V)w835xTPwF)(b#>m*TFf0Z3^_8Bs zd<83?RJa>tJEVJBVrADrreuC}Wj>5TO=U$KLLLCF)loh@+ZyVOcLyasUoO0&HiQYPHPDl^0EqV{zzhb2KZ z(=9=4r%5mBd1yd@Nk9aElb^`0&0%i)CzkQgt#A(6$#+LO*WsBT5ex-(Khw%00+4%;K~gj1{2n0`sh@iFXvm|>ye;XThr(N8 z$-{9k#Rw@tNY_uxJ@A3ioO;}^OB^q}eZosQo#SaAh%C8evDb;GD{s<;)&7~;Hx`$E zyZ$&2`@Vlro+#AM55(Y7cT$SBXV7~3zf&5`=zsl`YBtAF2C-iVn)ia`xze64)%A2p zOK+`{z96yJoGS14jsAWT`5ic`v%gr0q|^DN8N`IJ(}6Uo>j-w5X)|a#;({opI&!7y z1^@~{wX-OQ*QMbcbBpesWA5P!s(H@_gWc+zwnUy^Zau5v_=0cZ-MBFMEG3=Xw3M=| z@p;}Gmg+U;oX&8vd1hP%W=joXBDXP0Mt-<&$g?&)xTmMe)df=TeQ9Ok=C1jF{OlqT zk)hYUio?rp8bTTclSGaP(5bkL$&%vKTTkABMkyPYmFM#P4^y?gTSw|0(9#tN(O~YO z<=*>pPImA=8xKD6T|`2?{V`DN_|BRijm~Bxr7X3j23Y1$kV{|1Kw#l4YUg(pI{#kr zZ_||C^fMHD=2mcN*pfbn!gGi?)~(;)(s5!U+DCm)amg4KojlMHc}Ol6GuGL=q(c0I zw8T;M2xqlFxz!fQE8-Sc#{VC2_r-X@U#nWXkd8uyA)QOC-3&(~Q?Hx0ihOJPB`}ao zcBaBw)kN*=I35_|RD$uuM~)(bk2a!1Sf!4M$xqW5O`lhJ)zd{eY2T4u>)G|DACb)IHNnjl5M z60L)jYPxTw)FuO@Zv!fT0T?;O ze!dWWwcB@~i2%c2zVZ6YFNiICknxdUya^DyiP>u2e!-->zkXclQm71D+X<@uX3;S( zo6@g57V>6b$JpnEw?C!a_n|{eT(1Qv^t6bf(8Yrx!77^Ouzw*Hw2R5&{4Sd6iw6;` zBw#?i4!#$FKxV?-9Tv z;3JN1uY!78{c(3h`8g(6p|0?De)!XzSO6S7@Yn1A4EgS~nl|KSet7?4w7dK8 zg)M~R==FVk;wvk&AgEM&gO)HA4uApP5iG^_*&CY7nZNP2yydT&(P}?I7ubX zV~V2G1VRjWKjTMpuUbEJK?31QABq3i-M?AuP>K;@Aqk2kUbSkzG&51Xu;KO}1;g>r zYBw0@@VQc}PKKk$duXy;XMxrL?Qd>RhFub)Hq&Ik;O9W$>akdHNfcb_R=dLsPv|-E z6;)FwrSrpqRVskBkHN5*j*F5Kq#G>&K!97*_$oqiFUMl=SrXr0(G3>OknVC)98Fez zte?dL$Lr~VM~XPCDqYeKKPe~p6Z4MZ>;%SB!H|aqfZHNT$?~L-ZjY`CzBw$X2LRd0 z<9tV-{wCBK>6SjqEzw>cH1quuil?SJD`*3)F#bAiK=7`!B@`OKz8x4Tf7UnHfMgcR ze9QMm;@M}3X{Ja(e!2u|OzUMWyeknuQhg{_a_dCc=+ieg$SzBBE!VB`JCcuUBSN2V z>j;O|mN)_k+z1*QB%v1pSO(q9@`1V>R%Zv*Zn8YM>P+xFA7{wcB4I=;gwn{eVA@Cn$EbdZMu>?jfH^FWbyBq5 zP-DJRlg0lg-<282Vx_mlJ5N%=nKjcr-!7sPr%5AJw!R0qU`PL>=-lI({=Yarh9Q@P zvdpC@q58VpvI!NYOzwqB=9_EU%ylBSDBqd8iWzdR+;R^^#ZWf)OD>5qcd?Cxe!oxu zdptaNoX`9HdY|(;&)40we8oufHfhqg1v5X0#U`?6gP_M>w)VvIN&=BiyIO?-!RJ^86&C7s9~&$zW?1 z@1Ru8vt%D?D5(BUIq)2lj#Tjr%l%UqcqLHVH>l69#Sl;PL&j8Fve@MeS?n^n9PHa*V`BVqXcjJXZ+Y4OjUL0)MWdCRiqB$tr5VDz}>_`p(2{! zKj`G%C5iBKqAS5;O3gVjH_aG57hDwhQ^#+2orQR(=O+;hC8V+Q96Ti^Y;5%4G8mYuS@;obgL{bT8cZk?gl^ z9Q08RaRpv!G(^$Xf+<~Kz@XgYOl^fh{$7Jw-Hh$lOtL1U-0oo<@~8?du;k2mdMxBr z+;n`j*D>`die##QfnEJeYv9TVXEb=J$n0xdY6YNnTvNsGIPH2F)QmKkD7F;~B7--$ zMK%+05q|!_w(0%Jd~2cIsO8Z8<*!Hgy8O_4pHQAQY3_Q7GAVPyEn66~Iu`}4NE`%2 z9L={h@FoDVxNo~M`(jv9PYfx!sZ+hXdPsw$htUFdn$izXOi=d9<<1(AzOB+qrfC9H zLmPjDT;f$(F#)23|#HR3J>Pm{_cf2bsGIbEnwRC#diu$8w< z8re!tb@FbqmC~lv2P&%c{kzD-HUv%Xis<8+8P~OaZ@_^tutS1^6+z3@GAcTwB*VF`(pCpBbR89hOn5g)^z}U1H!u%WL%DKQJzY&m>ZE!sWLUr zwgs?IaHs`jQ#b@hD!=@&;i49sB=P;hGt}hp${vuIt zSwk=Bwt;PI*gF_u1?`pZ_?Nm~{sfJiaFJ2k6QWYxJ-1EjA>AgS{r#LEU28x(ox>P3tDh!hUuhbfr~|`;pg60 zSkj3vo)ZSuvl5(3hpNA)kVwL3Hv;UF06J1!zKjUMFT1*1>QiaXp0&$n=ds_TPw(Gi zI6u2(bo=Y)ytr$DtRhV6zn!Mj1QNIKTX2mmTHHZ26;cWaIGc4}LTA`C$p~p{;P*Nh{ZN)XbhUuuAm)j%~X)1Ewcg_Z#PHPFg44~2mH}tgS@+f2hQC=E1#fg_U2!PK$(pYAKRwQyW3a(&$$DqcbLL+K}Ar~t3al+r|9D}#Udp?QJ z17JLp6`$e-*YPwc#VA0954lKU2RK3SlH>H0H{~*RxGyV_ELkCd|>3ze7VsFU+u^eR^(U`n`V-Ezh7=VkY!5Hs;S2d3Wbf*{f8x~CA;_DrrOEH~4Mzj1$a|@6P5Nzmma}-d06p41e1iKy(!baTR^5OhC!+7kD26Z)* zx!2zbKE}6qFaB<%e_jSyIauoGsYV`uZHk>#P(@$S0gn?wBZX$|{-si#1lw32AoVFEo7vl>#TgcC@?oG5_%jP5Hc1gb|a84Am3xF`!syMyGyL~j7^v( zFg@plx{K_pH-Hn1vj*nz&7Ot_J_~kPW+gp{!gQ+g1Dq&Y^lS3_MY7=Bf>IJaEp<7% zZPbtcBNK>Oq+NWhTX8}(x7s9#EU2=e@L2sdf6SW0SImlQ!LNt)O49t3fH7O!l>Kh^ zX=G&@*(Y0K!xrCUz2gL&mUDqQsMQAxu_U?AX}|uwC|*3?+WC0)kn^JZp?OV}=@yaq zZ~pxfSYWm!q*i-wO8$SKlI4w8UdhwONGsT^(nn9!}HeoG^5ne zc<;x%iE#6ivp3pR3XW*0L+%}w&}*EWS*2?)*}e&y_Bd8uDJM+fyt01RcMZ7u_3BM8 zDlh-=5AQ0yI-~KeK!zrt!4|!Fi<*3FcULi>Q^2s+&o&iKQyIvNfoY(T%%6f%sq)Pi zBs;GhS6tS`f0v&diHvZm*E0U$Rs0wDJItAxFt>XM^8lC3nbfRz5lB0_Y;q9;oxg#M z1)-C%NNVjzV`Y)e6`Of{o_Ous48=Jm)KU-4^IIN{cwFk8q9@P2b3jISQFf*}XEs;& zGhjC1^85E7)KC}fqTc(KF$3Exso!k}WGUo$olYBkJACCp9g5a)PL}!<-J*H1{K`jv z^i=m*E-BlzY_j_JB{jd)z^73&DN)=~X4K*I^A&{$KP=fd9RChd*YYOwO2wxpuoI%sAw|ru$jfY<=8GTyXxbFH_dD{ z69>&ddcYS=FSxzOoGZeP^ac5AoB>W=#CB0lmDr8v6=o$R#0=LiTG@(SK{(&I+IS?7 zQYFUwu5ct#yga}(hcpQsz}!zVw(T1hc4dDqJ4R=a_a<@ww$afHyp;5Ypb%z@}tid`Hi=%D^WFa~6R=wIjz|uUhErpX(JKQ5k@3+zE zh?F&1L*Z{DeS;3w-BMUU(b0=umB*uY+PkKrMqYNE*XWF|qTRk?deXL*N#UL@NpX3d zD(FbUPr6@EDfkCqZ0V#Qodv)q+efcJq6V9!jxy(z!+vVtRyb)8410rmB#iSD_uC&n z;jRD3`n!^f)Z;ii$JFmbk9IKRnlln5K1&!Ip z$ncO2lhP^n!>08M_WZzGZ9CJb=@9$h#?sA(hDYTg>-v)`l6OVgX4vi9MRa3mH(0)@ zYRbEd z&w)jHU^garQ9JF|DE*!Kb53YiZ=6*9y0~xuHa~nVs%^t&NxzhkO8fVC6^y+c(tDG9 zSZp#7h99Ue!F+pr>U1|R4;!FV&b7kDwAXH_-aPyWaGd&^22mDB+wDvo(DkI}w>>OL zV>n%Rt_WEgNERd{hb4fINHtAu0DEM-4`d+8{-N^e+!SF~Qd-}@RR;(lHD8+XDVS(@ zDh76a6R-?*xDX3iTE)u>9>{G{->1j%8v$X41quv^u8C}eXXk3n=;Ks-3SJ;4dgp_mMAqtmO2PQ>c$h}7OcH+@8 zYTFmUiRu`NIf_!n#NUew@c<>JXo!JHBspE1p*~-u=`vgC?Vp)Eo%r28S&&H3N|vrz zj6b0-S&U%rEC!c*3#%{!%Rw&DRjrU>y9-Ke9(<7#2Uv1Bgl~gndOqmp~zi4@ot}lmDcTX`w2z{WZ+2S2|?v zBR|dr{vNcddh(*ao)EjJq1}7LLW+`%3vFNFyo$h10wO2Z$U3&uF`80KMiB*FR(j`+i{FnpCfb}-g5pn3whUqG~72>zhqOOx9v&q4XpOA z%$*2vMQkKoq26PGTsaKh7Uxs1P|hda-FQ?$N9R^JB~K5>$+p22w=N!cUViGCSf42a zzvvWaecs%K%P_37c9<;knId_vW1ImB5lw!#NW;Q86Zpo;ua$TN7!&}Lv0HQUxlSRz zuI`6%;RsG;&d`RZUkJTVNa`cxnpn;|R_mqXSn%U6Q0}FKj2l}5sPLuViTUkKs~RX( zBZj}0roDT=3ZOhsUzZKOhNu0qjZ%W%o1-WYcRvj@DJI8(Sde^9(OJQJ@;P+1j5nCJ zAS_uNn}rF+57|wfGcp1aHK}7I6;r?V+QjWe2y7i=Frkrkv*p7HxDtSjBUS zCbe)FZR+!dT!(R`=O4$$=p{0z;vd=pUU|u6^ztXth`*(;Hc+72P$eX{L~tDNdoEG9 zmFbSvOOuycut_C4kDtt?EhY4Kd&-i}etG7oxOdz*+~4ubWII|VDglvp+?Z9H#GY?u zPZwS-kl8iiLX;!Y)7Akydmrb4Ml*ADgEW`{z@(zsfbDu7VCWAHC3ewG9DsNj#N*~qU8-h zq4x9H)E^CKM>6N6c?Q2(HA;~qH#)8E-Z?!)jW5l4Iy-otvY+BEpoaO;&MCO)q5Q>L zSq&f0v`xD0+Bov=d-VO$c@#wU)iE_1(}uF2p9C+TFx0)k%28AT)w8+r^k2)wUD-2y$)AHuO8Eg2zMRn79kuK+ zZoni}_G8L@4U^9-pSR4I>+b}V6a{G39f$|{27-kCnr`kwPKMZpS7{Szq(MtD=6bSr z4&a(e{8U^NROj62zAB0JHU*3i^NETt|8CA=-TPd%JrrbK(HC%CQ!rLzI7t z!>s3F+=;YF$F916UaU-}tYztSZ*;X+D9wTni8i?r7GPo_s8_cR3d1pPv*dJU&F%b|iL|8kIq1fUk)PBYiSVr)1xW5b?zV5LQ5WNqkuT4nN@Y>VGfTOT zIE)x7BrjD=j|=TC%w7g5M2#xW?95UUqu7#+YYD-9_jyhwUHk27J4gVJ23aAx3rd0X z>Fv`uHPa|jqcYO+BW*0@|AB(*LM-d5Cl57ZgD^NDq%Fe)h_*YdWhp!ZMG^O`yXlB; z+JlJgSIv#Fd~pzELKc&B{B+VXkqGoF_jS)?X)k;1)vG;&FuiVqKE~fA z<3jUK8{`7Y!roPr%6ZemVZhreu(#ZbAq3Fqa0V(i6PPU}0jgdK$vdIoE zmR3?0k5J1xeE)9lXckZR4$b2BrN2Yrz=@bKZd(zY25u+{)xQuskrvg(Kn4}vprHV3 z$IDNxk2Qb%*<{HJ_+r65 z2Ln9T?J7F2WRB=D<CrOsls_3v-@s~frC(7BBhf)gtvm0)R@Oqvk8+)GN_fRHGE2So zZ#0`kSWun;e}80t(Aell1U?dZ#bwGvN5CRR6ka+!{4ALttQ3?HkT8@eC=- z8(BfR@E&Ky7)uH0l>_Nxk?gC@Y{n&v3x8k!+XBiY{+UW5sW%yAfWK|!EcYZCow3r! zyjM2$ey8Ge1`Vx4iwIurHYwq4gw%% z6dIU$ads4=g6 z#$;Bc0LCl(zr&ghDwIu!Z<7KUd}*~aAmRWLUo)R^=?H%9X8pt&;O@^oWh-K;AGCpy zx4~1ymal~9d6We8Lo<)eEzo=ylIlC+5Q^lwhh-c)(#*XoO8Vh?KaLTMid5-o*k08-@EhrljDO+ zgUeH>@wsA~sxsepWA-KRL}PJyWNyln05Fo0_C2d2D8R4{C|CVk$msUM&qJAAyjaU`VE5|w}5NEp5Dui?}7-+NYh zZz)$ID(6)qSpcq6{6o6>lNz_atw&wgY>us=9FYi8I zpjOw$&E2Hdp`1b?4YlTUj|T6b6~k#Lzi6avq{G8AzFXQDy+W4QggIlkSJf+z@=oI5 z`IDhcWipy!Yx62x=;HIDu-7p}kuAG}buD64uR-^&>_vnM@PXcMXw(KesUM$ot6hi` zz$chJO-R)c_%mJiLp2!X%srVp^_2ccW{c=na5XT|U+aL#?tqQ-AM1NAGN-ax2KgF_ zZC0jgXQ3Omw6UL#0DD|8kE* zMep200|oAIC!3U(G7)txP%)<$R;3JYTo9y0ickvy%Gz=wj(9voF^a@NtliOCC!W2B zRuaY$vwY)y{^29BlPo0rJRdyAr!Wj_f1H3or+(q>O2TP(FVkiD{D~lf4Me1nCpDBW z!O=2-29&bD>1x1SosOP4@Pl_WxJ^rtqV?T@N%je#)(4WBxgeMWL0!!cgy~Qa!?Lhk1$85S#8x4cMqb-$mSwIWKWF;gm zfaFbmRHSsy4|HMr!aN3E5~vtc$la@b{en0U&$}dgBo6>5Sx6Y}`3_OM$V2;2}c9V%6x0Ztm-9iDQwKK%B??o$wl)vBSE2UVU+OS^#zxH75X z5SdSK2FHM_>+s??hMHMY>{5yWAL5{?^hf|ar8@R zw|A1wZLFSU+`rR3uYnY7Q4_jtoU%JsrA~FIo`e>^nkJhD1*OD%ue5^Sm-8ZqA#I3Gz9~o#0uEU}-swY6*WJ0vKIVo#+WBCbrYHlw^nKBjtde;p@uo3diw0&FzCTd|%wmsL zrJD=OqGv@E8?LNCScrB9mQ9SuYhnhm}p-k)q?0E3V*O#BV zR`Vlz=Rrb~#@(g*YxEz36;~{W*WabavLPXes$dAAZaP7#P7O`h~^ z>*8a9c6N#>*fRH(Qu@?TF|T{x#m9$2cKP^dgoa&v)sEGto`CkfGURcmc|Q7r+{;u; zi}_#oP+GzjsMjpSGwi_pP-5kIG3kYSeC+Oh)m|iP;XD)H?yX|8EtmX>5{Kwo^wx6| z;>(0kGJxi&PTZlbA7^*-+cnHt~qDxocpd_XH_e?)?zAIGW-^(i>+9#@^>NT#E$D(Z7 z<&3=mBnrRQMbNqmIL6|mIbEYII^<-_?Gn$0rsNZs67z@wrEiV9dYAznDq})Nf^FJ@ zVN9{~?@bg6iOcW~ZshS3GlnbtW7z6t#{&dcTlA9v!NS{gDrs7U8a7DwZ({9^lHSxB z+*tk1#;j`(CLDbUOF5?E9c|Rjg4s}IGuoF;)l#K9W6k`8V8L`m$=T3O=R?%+<*aai z0w7(Az0NMmGb@DGDn$12W*YEq|#XVIEl#YoB1hMdW?D23T0*X6TwZQOpVj z2=4I9>K;k0eKAo7UIO@-$v`9 zUr5hS)s)(I&R|B7lD+VEB<>w!OU22=8Va`FTMGk!@T0A*EW9(G*NBBo2mv^$9w_HUL@zxc~9+25k zGQX%Gko^pu!NNNrK4P)q-cCS6Vmt5<_jf=M6x#-5g;uI$PUM6os<2Ryh}JWAqj9FZ zticugB47^vWcdQ|c+jlTj7PaT2h_%}HJ{}Ew&l+U zZlbzeFiaM9Sg2FGIln}-@NN~(3dG*+uZ!em3e3O)c(aVxlFa}&M>)!uS0Xpt#}y{9 zv|)+V!ahapZ69$l&ZdVYhO!$8L6q_fF=0uH*TSJ8? z5-j19R>;BTx}L@s{*|3~*eqfiX8XqD66yRv z6CHou;#DvEuz+X%e7PX{iV8uJkq=6UbFhC>Wy?T{4X2_DEN_%9e|cDo~feeAzk8rcoJnyH?Wrfm-w4|?_1-^Blo8LYpj z==(_o54_+fwOFMcAz@SU8LNkUWF`Jo#w6XPEt!oE`X|293e}0pn$7;#rtnPrD$y-j zOZ}|`9WDa^RBxOgi}5o<%T!6=bT0f%|Mt6^*x?8r4YC=>%Ss;9whr{*BX`MJB(UlV}ym8`~l)U2Og91TpPvvsGRq` z&t2{)3oNx2YKZJNv69UTLdMSXo8L{sgqGZQiv>A9xM&fsE4ltxOK27H8h|6c3g(#< zkAW7b0X5srfLHudL-K`%mtGk++>;{0?45o;u05H0z#FNZiszOc4PWX~k6IeZm`YU} zIp)Wvu%m|Xzy3&3lsxXuIICm@y%kpi(m!sFm7Rh%1nxE!@fb4|K08KFcxeyASjzt( z1GY=lW7t~Bp(=(SEHLM4yQ|2y74)fAul!>v-6$&nGUBP9SH!#D`o1>%xQUTmNIOec zG;CA=;Nq<()I-1^Lgyn3Ba2iGK}htYoDk6f6snIGmPsF6yrNs+I{tl!Kx=5V@o~3x z$2jSA%3%9EvVvISkrM~9Nlxe7QNy3L3=v&?`_mkOGiZnufOP}-ZT%bOe#VLti=jnH z$|4$FOKEq`Zu@ec+9#CB8uR`1x{BVv1lcMNo=mAw1{X_FD3t=wE!Mi_0J27h$Nd0R z^TVa6uBEHVw8&#)_T{VMz&Xgd;s#K^RxI?^t=r_bLgcw@D@*8NplqE5E%85iu zNfo!HJaURFuXY@|^f6fiBMuuJ_CKatD?h$+wK!Y+Yx4b1nlh+JXkN+us5DU*uK?7m z3F#FsGP#ff8%S#TpxM4Mn6R5&^cr>SmFVXRaob7TCw!Is=1O(?(tU>+XGPe+lq*}z z^n!y|t$l|Oxv5=;Us$jkhTpi11&ks?oQJfXV8Vn>r0958r?~C6_jl}GED14d9=5q- zqb*Gqe{4pVD1256to!0{;*9wolhs%vo_yFvo)|Mt4)0rkDwXs(*W%6{7c%D@KvEdy z=z;t&c;Jb2;=vE)fXa-8ckfUdd}$0nblEjAoRliK6q0q^OW^Z0GDW|KFsK9g3y=re zH&;CHi2+}z1_4rI{mCM{M3LOvL#q>8YQXerV|<4v!v?{SSt!`rBx&*mvUE4M9EkEj z3aYHY15PXm7_`oqcb_$vr$nn;x($EsWOp5SH~ZZNb*ZG;jJAwh*GRt*+WW2-v3}-= zf<_u8W>ojW>6bm#K!L`%Q-vUrqUJJlsBlnaDyxq>Ht*%=Usx_rT=T1av-LI9w|c2L zL3#J()3?xgSok2-|IFkiJk|2Nk3nl3QY+P1?spdW{JOD>4E#?Zy4eMoSN3g;Pr@Fk ze5W{InhQ?XxnkX>J)x!>6rB2O^^x)SS=1@jJw%yOPu(GbgqyAz7M2n`>13k4sJeY) zAJ=o?={JPZiN5uA-DOuF!qs;kqf?GW%V9xJXFvS12-v6-s)o0v9tmYssC}U}qv0w@ zLKaN|f_a@_Xc91`+GrSa^NErQ0!#@gVs}IQGuqdQd!rZYg57}+y??!BwrNo-1YUBs zkmMeri zLi%#Ti)BHXUN05sxw<20iDx`|uiVojZ*YrC$3mE;%=I^gQxyroqmE;G=Q)dH_w!W2 zynB}Uj+l(d?0ah!Wg_G5d8GuE2Q^dw#iDS6wCch*Np-whCG-=_#-+FRhkf(EqK{8+eT~@AD{R(anFI$PptNT@{b*}E_MAbRZDYaI^(?C_}3HJ4YxJXXMjxF zA?}?z=e2M5Gn!-&-DP~4S{m$=nc5_8U~^f&dfk!^f$3W$FZ*|iEzWu83 zZfA=7+qRo0d@BPU;zBBOa52lo3ke$~^}-XqM~{I#6lYVF+}oatESAjkhXU@nfJUFY zf9#vwPQFvhKbW1RR)PNqI+un7g~5i4luvyBH`(I)JFyoAZPL)hOAlE=M9P45IKTrN z;STGS#v&iIEz364A+R@ZB{l{LYsVoIej@IdEqWuJEnAHrH;CcPbYz41G85miQwFDB zt!5{4a82Dyo zp0EC28Q9gsI~f91(Q)VJG9C_$ll}^3ztFj+ojeT@O$s$*_WPxGADcD(e#vl7rhWaU z-OU%3V%MDSiE@dTVU+aQekEgqJ^DP7%5_iZ{F8k$YOiXAN*xkH*@+N?UcDPXbP13; zCPDuLiQA^N7v4jPb{MUZFCO1=$)PtxC~f=~8>rlTyKoSxX~jZSJWa#D@_L}=a!X3 ze5?laGmcfPO|?R)LZA7w3}3(~qtCt$Ru5?x_`}yQ@-2UKn%-RB|I_MK^1zlP)>x2# zQc790e3W`&KqL<#qPcP@=*pc-fOiLz=3%iNtnRGtR*l4u3I<4lht5o3~Q zlTzxi(@m|*E*^>?Av$QocsCx=J+ly%a9v>Udr&e6q8x6}e|MS%=;yS=<|N}a3v(8@ zwDQdIVbadpO|%_zVi@J+cl0(HiQIBs^Pea=`bJ zg@zLItTy$6t?>RNc^nx~*aLLqwof7qn-*e!bgtHmiHV7Z%I3K7#C5kZK6u*&DZ$nt zC@){$W1yer4A`I`A4QV#lVG`OqlB%E)EuG822ai0%U9Q|0VWYM_~~cIYG33X)q@q(kZ{_~7;kaNK#8S$nyr_PUeY-kUo8qB6W1-iKQs#*8E2fQB${D85 zESnlLM#@gyxL!K%Z-j#DOjVOesTVfwJ#a&h-JY_^=ClYelZsHts0`i9VAcMwdamna z&mZ)6iXPG9fj@F756N^yDOjgC*L`w_yI_OD_6F-S9wf6o>CVxQgo)p~{wa?%xZiG@ z9NONib7bZSkvvq6UsdBRK|V@U#D%JvqD%?4;byP@p1b$j5H?nZ6r0R%Vxe8j7e=4H zYepHyXhN^+AGR`HvHB#KbY_y*cqWW}Df}bzUm-tPa3!Rn)zl^ZM9?}Uf5j%-Nz_|B zRSPshE@*{7l|^>iUW(rE0HldrcIfqqge{@cn?nAwvf-N3E$S=z%c-x^J9*}c#Wc-N z^^~a*aV6Dd!L5A_{6XqHGbxOV8HnIF*=Qx`zNkT5wkRFnjbvwqoR#8piTQ<@pgP*#AZd$3!mhnIS?Rf*HxYtjhlBwPvc$_Wg#4c51o{RLSFKHFox4wGYU zrdmGjmd@BAOR+Ehjq>ApO%rU@2gX@anV&evuQflL?_s4RBzsWGV84eAbn~bmne0V? z9K!OGqKfk!4?ArIfT~B=-#>J({IWt-mA_&njy+iZInX@BJvZi+s+raT<8q{BZyP61 zd}6|4x@Joe>y9;0x*T2gA!6XV7ww*L_Yy;zE!sN1*enWP zj1O)qlt@K8@bTib2g;^SAD`5SXbCO>w&XyNVzr|qSeTwfv(h`DS$evM@ z#|SZ6T~befeK2+LJO+acEp)r&njEy*XVVH;0R}l|1KYU0AlILexurYWVFiQE!p;l3 z=m~D2mPHE}6>&E!bE9$9^k3{JMYs$r!9IjH6{{q=6XsT(QDGn`;~`wKfOOwdwIAc5 z9(WJB0MyALj>HKFgmheAz&OJJ3QX3wEYCrgZlgU5FMDUcMo&$kL0MaeXNI32`o-S~Ozj21whxv|L z`reHNPMeN4H+Tj&oKmgdebd?3{NKanNv;xaGOdxNbh0Gl&h_!+mdiELorLM+&-!UA zo&0VU+<#qwdNdysa0y(ZdTlCt?Cqg~11b}(y%xicoeI3{&-eO-oCT+tRNakO8Kn%H zW#2Q;i)*X`TcD5UzatT9HxicJ|>2aIzUZEpQgH!1<$c5|XTrg-3 zy6IqaNKC6_pw-vTZst`v-FGCh@YVUke9S68L}##DStM0lW@+uVjiUz%7>R7~x1-}%@_8weB#M~NoRj6`wll226WH^!AvM5e#LT4~eXI=x9fK@VKW{bZ%t7z@ zIm%Uk%hk5B4kfowo4idHAOQXvEOCGO`OKq4dro5oAfq|qErd2&Z`yR4BCmje0Hp3= z(xnl(SiZTkl}=Gb5P(Aa&Ra{)N|?*5XN&bM%T~Am3k?o6YE+6o! zrkucbD+e;9HELU)O3`4yWO!-@%DUH8fOQ?t%nnZPkU4z$QPI_d0{;>NHwN-K?c{rp z7rL)ddQZiQbaIK)Q|SSpLv*^&yS@mmA6K!h-ApQiRgF3Pd+vk2ltdgqEN)vmBkMdf zZozfzZ0vbsJ{z5l6W6L_BdlrGm=z!Xzp(X|i)S6l1?2P}ir|t{ksG}aKN4^G5$^}d zg8o||NL26tK>zW%J}gs#%Fz7O3JlQQ9J8Wl|4e_cPMOsik5F<8ii!>nkY4#C zpXp;MJfNF-MgE4Yu@Y#{Az?Yzd8+2M<_JkN!>2nVp)o>(2RXM{-2EWrb#0r!_?M`W zY%ldOAhKWxAg#^apEeDp(KXIcnhixxg-e}!$Q|tC2I-q7Q;(GGt30@%F~Yg%591)IZ;RL|8}BY z_EUqe?_p0F@sZ9y5{@c53sMHJSYbXF3PIC<5UH92*=ny!Z6(l}acYD#^!Vamx&-$_ zEx%&u4JAbPifwqgX6`Bf&r~7K(rtxiz)^LXiey$8UDQXxi^L@+_VNsVhV2cHYX!>O z0{?5bhC=c4H1%xHoi4abR?uAe<-wcfxCjButk~5#0$lu2?ud+xyAo9kk^h`m!${df z`bW<J~e z!;B^|PN>VKuQhMQyJ7t_>BTI@2@4V&d1EmJ&d4#aA<~ zF_YJU=&NlYJ>;jkipba!1~f| zf4>8p>9e{fAIXwiYQFsmP$b*ei7=}6z%vSvTH3A5;Q(}t8_iXw>vM3agtiKl8K9S9 zp$O=KYFpaA8Su*?y`TKGCkY8!f{IL!GJ*I;1wiuRcb=*Maq#7*wfr@#TqJMXPA(q< zBOpoMU}@_O15qODSVY$H06?A~t{Dd;WEN*J@PSWk)*FJ&oLWaOPA3Ngjj4c*{1+ ziqan00#Mn)vqs8nhQ(n9Abh0_av|W<>6|jdpDJ*}=aoto@vg6Th(B9Fa$bco(U5$l zBH1d~jT(3U&h_VONt=c3cZWQF_pSLHx?3bEXuO-ijGD;urZoWDfed2ibD$+K%I$#O zZc_9YCwfi|$(xl`Dz5c~!ond4EIL9L%@8+aAEsZ>D!^|0{ll?nP)bd>`K$f(BBf6J5=ju*i^J<824g zKh;%M0JfFdRY*ICFFQXyf$l)dHDV&d#|_9K(iS%LFI)Slx4)9A5HbLbtA9p};*TO& zmIogCt1R-H-=%Dr(`W8?0V6yK=lrE3&JUxeo+(EcsK5q6a1}*;D75_hPwojnn!t&t zGDw^@W6n~B%`+#T(?K|=yn6lUR=bRXzET2--em9uHkUF@O?>$ba9DEeb7WtOQA7ri zU9qI3wtFoODN99t7XxU)(Ds$C65f0bAQskk$%f+@gbj-KjiO&zh|>i4@Wv}wgYVuV z(FaV;yH>^$JTEJATp@&!cy|tv?mD>f(G(oHD!f_C++6hn3F0s~V$5yXeroyH%*^Hn zIeqA)QO8XHDFBDR)Z<@3u7W^l--m6Syu(Jf(d$Gzr=HbRNp~zoFIr?&42t;~Cv@>XeqZ#*+0HU$IowL(HMf7hVU*8TCjVf&0B+5M4913NzaoeE!{D@Eu60jfT{lwH~qq3_k00?RaHEC%^HjiW`46w+{&4T8@4TMqOU*JV*@U zs*GlN)+PmMh?njZym(uIhDn%y*8)=;%kV|-=!>3RpYcXmty)- zEU(`B%OvLr(0asHV>(53=R}0S4=yG?{F=c)Yc_-Kl9>KDZH$ZioXQ}WU51|GUq@=K z&BahXRY2^~gnlo#%YY3w$<@i)f8r&%Vi+BKaeb<*0KliQB#+=(N&GxZm80VXn zLSjZMRAbcel-y#~Q(=>CGYXigy&=D^28W3t?|{a>{?jh*M?#lul=F>efDOgp{Q<*q zzzl>Vp?Ei@Pu{?KR6(%|M&edJ%LE*pO4mb`egO$A{*i=d?ErlDslJa0u16xC?wDq? zJ8zelc)=qGvErl}_U>t->#BbkXEwXpYl2a7^5r%dsL~@=cl>fxwZ@TnvgpGaV8|+| z3<|e2@X@~*fDKOxIL--=-8x3M*8?H zAE#@u7==lwG9kCKSZ(WC@bSBZqZT@qn|xF4}<&Tx2hBxnH@Q9zi_X~R>W)4i|26{D?e>=LB1tQs}W z^DH_lL3Hvz!6HE_Nc%GXa{l{#=cjMVKF1b|Do4)9he#E!N00n{(S3}D_prOmz^q?G z+lxMPvZ)VCD*9XvV2{M7xVoQ17@tn`eIKW>MM6`ezTms1drz$vG5Y1k)aV&@4BeLc z@y6(&w=-^a`=@5TbPV#-o-6+t{V=1x=-F*o(lx>wbSn$ zh_;mk9JU$otXF#X`&2n!0zQSrWu7@WArn@SsPT&!JOa^|smF%Eob@$oQC*joWsq2PH09a|^1CReV3a zkw&!nia#PUQ=J zD&coQf7R=F=7@OEu2?Z_abS@mx3JN&zHryOgCY^y!L|mYHwW z5(iW+>itMb5Ru>wVoWH_&txH#sZqe5C%18JC;$F3i0gY?v|9OLk0~K}T$=rdJ;}N7 zbUU1G=nkDrOTd0~b0c*LT#RMy+M&@RDK@C)5qo8vBQVxZlo7cW8^Gk2=WLXny(AfJ z#C-JDYx>&b=qiIoknNUq5}=+N64N7>zlWiS8xr zuhTO38>5@E6#L|&wzn(gX|BgQ{R=7p+{$ri%6ng;hv#hCC6l}Q;nS#NA6nI(PgIz2 zVZs)A!G{ti%vD0+@EIHVI+t%k%fS0^+c;G_jiJ);!%_vW1S0*$%B^%R1_^7DB)8Hi z_WPETc?=JqQ_3r1DY^!%SuBAF)cCP1nSf4ztMX%Ryr%xz z69efY)m#|t`0IMW2@PzuN5_*SyIENnV@N|uYp9LKjYuXD;D4m2;@YSLN>G{KOoxkP zbSQF82M1!6&Qbo@qXARdzdWIQuz~yIAE3$#m?4xAAb3wPw?0#XH_*}l=jd9zng0L( zG?}|XHMthKCwCj0Tjg%<6e>k-A#*pBOH`V<%%wC#?&OkObBV+@3VllQvf>$ja)xYYlmWbE_#R4j5T8$b7R#G4iOwC+zLbnW( z&sTR>I8JhyZ=@YxXQ*st!H_{D$D1m5`75?BeDmjYu79tK5)6!3%EZbJKDwJ^{Xpn) zh&l+vaE({h9!)oevvT82s}q3W5XRK$nUkVU1cC9Yj*dZ7Th)kzS}qqIVnz%_QXs$G z01V!(uyBbJem4(ad6Ks+Jz=ok>gFPe8yh`O4@B}|ssmVB%1I*e9D`9K@XJG@paHl7 zu$3QXluBKJ$#gj749NWV7%_p(wO}wLrKxTd2#&xked8K%@8+|EEtDNf^L)Lcq7zgI zunEwyxet%8<{TyIoY0PPjGlINy5=dI^jFbicMw*5wpxPXxotXNo;98*oepfKv&k3l z7PFp+yh!lFCy*tFcW?7HBX7qT|9NFCT1>^6Qc7+*u-%N`AH;CNUCzuvy;2_CO~9VF zQNNEn7iJVZT~Tw(I@iO0CpuA`CwakdI|#H?qHv}H5MgpaSiu{~*3n&$u1ms1 zLTY*Ds>G_>a7WD^+-~ul`O9WsziRQcpD>TIFMca?_l+U@6>{<<3MA`TD?%9RV)AgdDf4DoU|?bEkKvxY1l=x%j+cLVv3XG2CD)%5jSKW20x&v03!Y#!idYYRcd6}%7N_=dDSeCP^ zv#UYilCt5c&%wPKUSZvPWGXhf;*5v3`Ex=1@-`?`aK6#}e;`CY;ob|0Wn-Ym4g67( zke*=0vvpquSh=z1Qz1jP8(@jAZ4AU*DcvcO$(tK`;el7|A91= zd4H&l<@%l`hGVd{zMm!?IR(PuTfItPIfNHZ+oAfjGq(cqMcnZ5Xq~BuNVrUibSwY@ zZVIQt#KzRJ`p!v29oD|=$ZcJ#ZWMtMc#CS)e};p2jgiO0w8LwYR{@h{NMS5|$Y6LS z_iVGItS}@zpfmHEkOfONW$R|~bla6eKu^)HOcO)F2J|Xu?hpX0+WIk1N@XFurqc*S zeGtwlvI67Fjy;RmQ`QzMEpd(4wT`6cIteC(yn-P1*hT&}bh>Ym#IrPj=g&WK?4+c^ zo>)6!xGh$QJXAOkU9ry9771>G>oUqfRgq5!!Q0+G(_|-5!A}?Pcv>N1w;2~T)__?()Wbpz`Ku5DCMVV_!zla$0Y?oyf` zxlh-#{KQg@|ANlXdJ&T5u8Xaq={-&;W~%#pbz1*UZ|G%*%|wD zflCS8<%p%UGizk%@o4zSXFxi+lZ8i1sdO=^8Ztt9vZ6nc52(}?%pY)|&`HcOub#FM zXV0=tGqhX0#L^5+Yg#p(BKlLW@CsWVX78SjrAq`S9Xqi^db7dk@CC+URoA%h1g2sPNSE#Yg!bc&>n_KJwaS!C!p`qdzm}fSdrk_XM&yZwIBXcQPpE5YCH9yBbW#2f8 zQ0z}AvwH=ox5gQzV_e#5JzVakW8I~154IB2m7w@T=T1wz7umUDHRS}$k|Y0L&%Zq8 z;G57bI>Y_u^P3l;S;8=Pg?NrF`?d0_QmM(Q%U<@}-G)?2eh-3ulqr0J@z&-2SX+GL zMSUgJim^>ZuHOssmlht0GT1$|<&W8K0COUWpHC*IoYF6kuno}@|aErgJf3XZf}j=zrOg}DB-ytmW( zukkRjt5vv(K5To`CK?W={N0MKG$U1hwK_XrUGqXBB~xcN2}Y`p>@9wMS;|vbOJ!#L z!L^+CG22nCe&X-(>g`-*f0{pAMSOGrV$eb?ri&_kkNuSX+bnE<6uRX+ksEAY4_AZV zZfxTbWtXCf1e>?Kv!4G18~Sft$tes$`o}@fzpU#T@(V9eArGp}6CbSDAypO>JgBwM z0i6nx!F09eWuwx?7NF(JT%}|b`nD)KEV$dk$G<@L89&9TIj+=!I&RG#^Zzx zcdiDU-WA`M{kN_LxlP_~`R%hICll|E`9~PVKhsxa<3I_8x5y*eRZ?hgV_-6|3+$9O7pIIF5}!B5?JONmCXiSe1lll z+cCD73)9pW;GW)hZCMa$LV+bV-8v zZ~&|iA6OeL;^R#8C70n{6lksVab5z;4=slKs0f--MkBSWOrhOypA!sr$DkIj~mc1(J1orIqJeYA>3tZtAlE#5JAh%^3s-m-_U& zpj~aUZ3fl0jCNjENJK@2l#PE9fOdmLJ1W8j2wq60idu}Y5VAS*8mGDuHl8jnAN9ZGt@>mCXzX1U;$QN#A0i`+kt>KyHWLgkkhfa})czb7u&keQ(uR;Wk##B$~TZSL$gHI%} zq;ZHEqvYCJ$z803Sf7%DApj&R(gE$}7qHQ0E$o=(wpqCLXZNIaD>yy*)>NR8Du!jv z>Ka%Tbh!~|ZXJ1l-%9My{?*2=2mV7M`>_CTC<&*o89nm?Ms1fkXIzFDjpU-xH^$pv z7u8dvzv2?0YZDr_hF^3Y>Qn}#8A?)8?}4b2S`<_GsD%vs`{v!s@b{aiD`&HMC~ZVo zAEbmc$6Wf%(`b4l+{$3}AJQoQT2e<76n!^5_PHW@N4E!jX0eLmi?JdrtL>2^MOC^F zmXc<=5#0O9K3av6Ebt=~`q(;L>kBb~5IUe0dhc29`%oX%NiZJBFQUj7Je}pBE2%wE^fY~grl{wUiDu+VAFrBAX&87QQONG;U#qZ{4=7DpFF!I8|;8WbXaczfrXVJ{B3UTEgy(*t(qR6rumHe|PCe`kC;mZAIV3Fg4(f}d< zDQcO;y^3jVAWkJ*8Wqlh_0mwX?lT19Q}cMt&3f(~`4EF(k`gq}mw_z{Y@&faQsYmK zfG67yWp;fowXDSGOlC?Mm=!(Ue);Cwe1T9FpW#;n+L$ROBh3Nx`?DMNk5AR5FdbhL z5rNn|5d7h?iBtHUwt0g6dZWVK&9*c*79s@k$A>e^|84iXVFv85$nuq3{{Kd|TUj56 zPryrrn{d3CJ%#S9x0ny0c`Pw+Kvptt?2z$>f)D6nu_YBCo53dfwt*~&eajNJ4#sKY z+eW&tN~S-9CqpZuGNZ}yLD@Lc<26I6$b|jD3SVKD&{Kx(d+Pd zQ}9lRde9o6Yn@=+kx0z}gC+J7IQ;OC_zLZr;e7 zgw#ZkH1^NCTpbNxm5doyRvW$e3LCOEoSgHh=u~>fiCA7EKCAxx9$sF)$A%Ad13b^3 znKsniz69zL1kwdW7$nz~=QUoyEBD*Zd6&G`j#fEP3Eq#+l|V!cQwD$M+~rhHMOHY7 zd-OY^o?WPmE`t~`w`npf*Q71rr}1Be-{U&a&PHqXO1x$uwRi(~Srv}u_;*pY^EZuQ z?SF%MkOpZX7gKk{{x=AjZ7yZ1?)Nd*OAmq}!;8T*sq!$`3t|v1`0Pe{t z4YeQ-Nvz{AgcUZwht6SJpsvKe+;7nvqX3`r#e53)k1ZC1(Yi0>;Lujy4T~aC9t1K< zSpGzLZAz9-nft^_46Ek&+eO=Zm7n)EMn_({Kfh$ppX3_U-_pG^o+DLTrSQ_e`Q|0r z9F+`JIIIGdAH?S9Z3R2Z7p-hG8wlW8H~A2q(KNY|@+!%Nm+j=0$$;qU?bw>InsJCa z)A@#zG0>p547lo5&w#eN?Z)3+y!I%Cyl$jG4X7DKCVHZz?&&NL^WLL`pRIz(l`l*i zEZ-U+xB{z%Yp(t-iPCC}OigZ=J-#*S`nhfEB^6D77%kvhm75n3fMwQi8rN5Ny@yp4 zOnUDKr1{Hi2vyOgdb+hS9{gTD`ZsK z`K*)Gv%ZhJ%Fk~O$;B)4MUUi(12hmnJ=e2~+T z?agBVGq{6;exkU2rR`A;geMOQhYklwmBm3?Dfn?OB9J(Lb z8UFo77Mu#B;+FiY2@5902%Y6R7j$K*6gtaIfZ!$TYE^O4(3Ek znIzyi^US$`NkhsdFD-~+LPf+H3Knp>JMJS-E|>sX>nLmetzlkzMd`nMs(`1hqDRG2 znS;hCss^oXazg-aGr)O6rW8Z%K>C6E_C9OsQ)_EG)N3M3 z_(O0Fnt+M=z`{esT_!Gl%{=vW`c`*uq{TYRFcze23Mq#drViovgMT|no;QIl>&uAn zW|nt9C)swaAA_C>kst(6#E{_Y%?nFE}|6+5MOQC_I?Pkq{Ibs zFA&gpTzq=0%-W!=3kxt$BSHl6wiYjFpInDAxOo|<_}mgodzyaa;FT62C9q*rC9jiy zME*}wM)IRGJ0F&fHT2AD-%FDLbCnzmxb~HtD7U!S;I;7#r||y|sv0IuTu5T?^RaMw zCc!h=hrZ3}_2yy{bXMC9ZDNMy`458CDsi5D=u{}w0uni$j98ug^V=&nX06fx#8n>&}T>D2rNIxgT@9~)#c$-YuYwCnRYx4tKh}GXDp63X` z!7xav&#z1+b9ZouYsj@9OOZ1!4~2%G>}`ELO{42FGhk&MbHEgHRA1}R6JH@4^#jORe6+E^I`u<-IOlMuF9-QZm^(ntDf>8H50 zHgVTc2Un2pF7RJ>@?QsPkaog$@#)w@AaU~KGZ1f(WyCqJa>i+Qo_PoqsO309V^5t6 zFIcL|d2QWNEi973i}b1FU_E8BiqamQxOT4oR9yNn%T$sNOyV?FB*xn(0MP2}a90t; zQ5~_xv#rw{FhtWfPlRUcT1h!SpG*a^f{#2UYVcPqYM9}+t@mySfybMa1RqA~cE~p6 zoQ+qlx?rt8h~Yl(W05V4!|z1hja9h~-Ga+QU`2RX_Vz1#gyWX`nA++B`EsU(bwHLUzFP>du& zkiIdd7+^Cuw!=YL*Zvki^MMAeot(aw`SV=|-Ld)4Gqa$3$Q6VF)^&}O?pYC3v4RmV zNxfb|Ok`PLOGx+c^816@I7W7E8~}Wyi2s#PY=h3>XVL#ne3hAHgtJM4e`N9t!^x6@ z#dDhuu}yYR=pUbIY#^@z9Vwr;Q*fE}WRR&8NM0*V=|s5*ttqm|<+5?nFtA$w{zcDK z?7QkaN$y|fA&~LT2d{20&l@T&1xkS>Ss8-gDcxO&Lk;3!mn?TtqRdCOv<#38RA#RN zg9P1Clgf*;`qBSW9_Y4JnmvV{fESzws9~;mGBC!1-K*E~{opVJHTme;kbQd5D=W~J z0zQE#FKt`2{kyEZmuHfFDwz<=tL-uJ^j@F|8Ie4cyJL`%Hw8to%#`}PlF5KW7aZi& ze*@Op;hksQdbfRqg$;%v+h4}?$lsjR~WkSv#* zW3Bd!vzN|ExQ}-FTyLZ}q+MI)Gn(o1NgV?mNYP8^k5UydGQC>fLNqvDn=1LE)1akv zYGcNxcZvO~+-xL7mnn21t|!PymB;SC+89A>V? z+gNIioKQf~-ZWmAG1JspXgZ`JKCv?fejSX{2pQTx&i!U`7pL5Z{ zKRPXYgQnEJhhG&^e3?$C@YCa+jz%uE;JHNBuZGx>=r{Y-qIi#er9Svk(%+}FDzXna zsRZX+-ElUv^tB5Y_~PFW_9rb{@hIv3@)B{6b1YN33p~6>84(&TGa+&!{Z4R+n#Y`e z6Qi?k76z=uB8@$i4M2g7V|X3j?G;^CT&MA`m$Qq#)Q~hT+diQ~(WGWj`p^!Wx3-_m zh>T~)>Cq%x+)ICCu^xw(&>nhUu{K%{S9~6K!=Xs)jfm%HD~)*NCw3Y!Bo*b^giw5D z+Kq5arrvLw=K9?u90&gRpJ($Yr=a+ixqukl`HxL+^6maNMn}j{BO4whHn&Qmeo=^n5Y zo%@QGO}0H1Wd9Cc6&n2Z!K0Jy)#X$x!gle$=Zj?Z6bZ_L?k{7pj7~A$ zUK?XBo|BV`Jh?pC`B9Dh*@Kpf@yzj;E~_T72_`LI>APoUGn~ULerbr`H~uCzdY^B~ zKSTYJAaR~Nq_(R~4yy(_!G*dY)d9szZw&w>P50DO zfG-eew5Sn}^2JV9HxQhy)AJCidJSiM^ga!xeOUH7GU5nIEDkNHT$L#lB7?bzygvH! zY(V`sdc1NCRMMOgDTF{<-q*oFU<;!_Oe{d7{1ieDEuyU1q7HvQpg$}bvP^~2g`22^ zeKX;pax$qqZ;auFEkMozC)in@@v7^FmE!v8Dl;Bz3__^*w!~F#Rp5W0nM?0JB`wo%NUD8W!hf-n!dN`=l z6oQPhWg@JLUrSxrG3}xe@VS{}lcQEhlh3{suvi;qe)kho7UWfxGsh=TAY<;iyUxa; zy=#OT*fzYhZY@nac*j%<-2FU>^y1GFpS4f1Bw$@NmKh#twqBR!HSH3i?iNpS@e8N# zpt=hIATw76=(F+TC3mqNf3^v`xx2iJ#U~~yBB2F-m-Oi}qsQMh3Tl+GUI_{F=>y25 zzfXa%3bU*jqwaV41-;6k;y^2m;txQa@%;c~4~K4sI_?*wlVkz>QkarUYFRd5`B%nnls4Di8~_PIzzXt6{% zS!nC_RcD~9R0|s}Q?Zi&kuTl=T;40{cjEa)AmB2*MP%e$PuRxnaQvr)G(Mq%j35wX zVVYT33POuLZP@^y3T5lI?pGAQG!X>-iclO)`sy*Es;dZL9Znf2+cmw%QB#lML~$af{U@}7I(?QGqk2d zV;6y>Ba^{MI*?VN8HQ5>YTK{HpmfCGqH-^=i4Ge?!ujVqs+8cp1Z;5XNJ=~AuR6X} z3%Cvr_)Qc|fk4#Z3>NCx1a|&vvw>Q3?Enc@GN1Oyl@D-SAtAgNZonLtlDHoS{IPEg zd&kR>mH;*@5xZE?8^jJdy$iDdCgwK{#t-Uzn}0_o?A|u4*8vx7;TYpEZdF15=^I#a z=PFQfyy>>pT@x4-B3VGPF)!ydkU>7?wmrk}pWDS{$uH>v)6|3YB6{0ud$@7#VZ6iM zW%%F!^;>|5iE=Qu?yBnwC`x|r(~2H`o+bFZ&1y8w=Uft~`3HhN@~$ay_Ew>}NPrNG zJYd?rwlj1UwLQ%a2;a75_sOfqB`l^rXS>0WkD^sQ%k{|9BvyYJyiEh=##1oT=%mxj z+db!}uWVx(lu}{XO#Cd%ASUL4*11-*Tc7tF!m?&h5aLY-eKdatKKi+Ctd8261DKfa zj4WZCVLCye_ksn3j2wuNn|B{whZj-d-88!}3B7QH2R+Sa<1VKYP<7lR$`#5ib{{(Z z(@0f&B~cV4@{^OsuN_v(e*|F-ps*g4{d|)S;A7jA2;Ehs9==}AWR(^8|u z_1FNrhC{C0>usstvTbpG`8m1%Aqts+8Ct zgTcs^oRy84YB`O&Q*0xqZh<{Sq)+Nu{iC{IJPuygvJI$vw$9H>K<+ugVCz0#0wfXm zA&cCfIhv&Ekmr2;aOg_zXy4l)AjxXf{I%h&&%azv}gq%P}^TP4Lhlmq^gX(F3TGC`x-Gm$DES{%&i)i$9=U_JDZnZ&Mu@6Pke1tA2LloMH)LD z*Q<^OD(rTwf0RVV9)kYrRjcnNBqZ1~#?sr4OO2~xN+=Zy;D{_KO*aR$K-)jnnkz(6 zK9fh0TV%sZh(Rwy)6IWckf~ULduVZ%DXc3S(P=%H(8&S3gW0&B-`CY7P!53-#nDIy>>r7 zy)P2>#(qb9rs~>LO0@mCbv6IzcRRIqH%{V?%G|{&To`P_?kz48UM9#C1Q%{4x~| z|D(QUk-jB`eS)(O#zy}^2H&|zt**hWQ`uvczh*1~j0}Y>Prgg}Vir)^lO7NJ@VaBH zZ4h5x`e5*wL;m|3wl6KN@1&9jAD<6e>7axeMyfsiAILE_t3MG=F01f}8St)w7&Mf)uRe>|l~LU(d>nOsUERt`J8&H+n; z(eqj&L24$u+t679ndYm^^32Ht$@bCS-qz$rzHDk*PrSm{-{y;^4HFsOT$X-c13|xv z>Utx0E7$A~oBSAc&{Qv7r}w~Fyw<0v*5U2jbO zUc6@7`vl0U!z-G~Dx|h0W9DV&>POCaLMbP!vRX`E-OPH~^b-YRKr5yrzM3Gi$dbfh zGtG`z;Coq=CN4UW({G5ki|?1`Z@N6iE0&-l15B8I9xThCW`O6$WbH*7HO#mg#0}2( zMQ5-2JGTY^+%?uWqT$!8SJ}`6)%ahgBn^4nY_c#Q zOJeeER@DW$r-XB-{@}F)quTtMGnRv6L2GxUTl24uwJet27TLy@gTpugX^P>&IkDS- zn?D)%R@?KnRh`_$hBS*BQx^MBvidGs){y4|tS`tO>xgdL?EjWU$-*&>^rIe|IRwq2 zgg5NI);K-|E(DhNGV~7?%7qV)H0VUliR)iK-hcj#UH`~RL}aPcEH@~3Gqt#TlwOHL zX0i6hZM|ZFi)GD6Bfqtm^Zc%~?=*~$B)M54mqA{S-jO$9;D^ID6L7$L`npix zIhmh=#JFMLx(LSqNd3@J7jdHESGgl7TnU5gkn5?5`LL20^)GUc>89&F6Lsn-=|Xuv!NXNH3Ua|3O;S|01=;TGthNT4$9O)7vgs1*-a}wk$*eRiex~ zb@dFs02IJ5nPY=Dk_Dg(v#C2`R)vMn4oTy$V-kT>BWCtPR3013+cYr3FOdpeX?GlC zR$Odd7ZwTqo5_r!dZQv{l78=BXPik2CY+)4LFmIaZLCUs3vxG`&WhYLC6j7=Zk{4w zQ!T#VDqmG91K@FO9u&uUnk2G9c&p#1s@DBCiwgG*e~|%w2Qb&>E6c_M>5QUR&%!T& z1>)y43E$$4A%&%r2@eNwd+w9p$-QV znNDpiLMGsV$7f2@deRd{K_gM|UVs@2$X(D~lM*wgTJd1>Gd&hk_s84s2iIBaQDD7X zNz`}<#@nDS4Prqwm40c&w=0H<*t(i>C`ytOmjy#ah+{=3lU%T)O>h2*C=hOLgnewB zvCvw6Uwaa+ZYM)Ia!7rosD&)D^!k~rb>M6noStwslsr@>oiWKuSvVDTOwB#>A03Mo zgZKHYlZF9&45qk~0^tLkUxsN|x82(ojXJEe?A>sgCLP;zw#F~jfQlF1#k*}u3or_^ zWES$M;WaDgI;j-aCDz zU#=6cV3a*n1PaMC_gdn|L}x zqOjlzkv|GOUZr?Rej^v*F3PIUM}=}X7J9;Xc5mo8U-5R8L6u|&oZit2yA*S&aDDq6 z&$rafy%-j%dW`{CE<=adIo3H`8)F3^kXT2%RlXW{sRXL3P0AzH!s$sv7}C-h;x5f` zt1XII;t4vCIOy}~{WZW9#uFE}!p+;NJ|Ud+J)kK4dXnK!(;oGFSy1RoZhHMslA;cd z@qM!=mkvb4p1%q2^83a4XzjTKHcY2&B5oBkAgx}Udhu!SD1kS#+pm~_t$^vYeeXY> zyfXRH#h8%D>IDYZ$X)X#vw>vl-~)z}uakb3ZRb}Yea>5?!O6NEomp=hhXVki&(;@4 zpXrc=y`g1s`9r^OapzsXREGxzr8Y#9Sw8iH&~89pMi^^p$Hh7Q9tT^5Bs|OTbIJg?t!h~CExrOfxDCdZBcnVPgneE2t zlyXxUP*{6yYlVd@lAh|EC9Mbq;YEK6A7jiKM^0IQCy0E`h(T)HkCN%7#)Ki){2cT~ zzVCStsc1lSHsuqhqcZr7=AX*Vd)2E^3zQUO%QJzo<2Sd+dUd-$gN*J2Mq3x zGN8@au~cX{_DVj2h{o{Ul*x4hn9G|oF=p7){R{F_EIXSk4EI+^yMFs`$KaR>(Kr+)^AfEqYun*kHPsR zlVbn59u}MTI|y#sg)4X0?udHKrMlSY_i>1a*FdE6q~4f@%PU5EPzx%3LU`ZE&dvc7 zvgM;}xh%@YGANrI#uY#F$)66 zL3T+AI%`-hZW%vCY>}@qMeI% z5rB_y^m9$VauA%lQfv@{qs1MnA`P<2YFRepo!4bMtZsj< z3I#2`{dVXj!Ot?(XnOEM+Pd`fr(Yiu$d z=&Q-E&MaR1_X5k5SErh{x1Bw}%R|u0k*@l_4JqHjdRv&i!An?YOZg684PEGLTApPAY%$_K5|%SSRwYKdH`t^w*lx%lE7240dqx zZJ%~q93Ayh!@Z`IUJV7EI$I~GL%op+1J>(|a|!IfA2%riP8feSwI2LYacqt)EJLrOf+))RQ%$8_&y#MJA=B%I7 zmcM-B%sw}Lf+|E#%Q@5V;E$1W4-9AWy?B;E+LC2<84Zp*_5+(|#7 z+(B#@I~4l@zxM7OWm*l!#3vdqB|^+gl*{46VHWnS%qOe(PjHZsa4CRs`0L<5=Z$)! zxk&kIKQ|jN1f?AeSP-#%0PtRv2Fxk{1Ign9XCXq88vCaEHyB9*djxRmW9NY1{K7(m z5o-=5qoXByOU~TgM~753JD90WjV$QzQBS!GQN7?}tEb7gXTD zIXn^fevAQCQ~6bm-OarVM4i0n=NM#Jn`u1HbNX#uen4Olo248Y-#y3Juq)KRB;g^# zdsO!ReYs2>Nzlj;FcC6Ox4VarZrCbB|4}13A1zB`EFOQs5r{{nAX6zULlV;DyHlto zN`WA7nWvw#!N@dQD@%R*fX6RJiE_q5e@iP=fS4_2>1v=hA$>XyN-fiETx1S!Px?4= zq9?;Du(4uJ8_6!XLy_ood_y8rv|PtNrCnBtqT#E}4oZ#q5r{;a%lGm1_gxDJ0{FGt zfGFyKMQjoGat$}O^IqBZS5R^4QgkB~e83egD^9+z& z-sx)(eK+|T!Jtsq%-A@|1p59FXn3+MQ#v%K>kzm#it_NZ=*;zJ|-_LoF=#zO5x|Fq z?MOmd>4FUJQ=htn<1rYt`3haI!NNxzV4y|2GncK9-eF)U6y%Y=cKiBvnDX3UAp15S z22SIL0DAHl_R=fv&FD3XOow{5Oqwu=;0{(tCdp@uDAQqVIVW35SBX($Z7Gugum-i` zag4dA>G*D#bo&d}O$%>ZD>(Dm)?Fgrlmre3w65WTsW~gGnA1OUo#KoVEUXjekv@Nv zBShbi;LiZm9&^Paoq(Ty{r<{ulvDbsT|G_U)H_1xA74U190xZSc+l!%skwRX=Nw7# z2(hOUW0o0C+-4h!2`Fs3fAC^6LsJp_@GummNvq$l6IGUthOV|$_rF- zl;ij=x9!#PISGvVv*`YZ>>jwNR(~|8@&qAvqomeG^fNB%&luGi}lbZh|!h_ z+b}Oktk@PmhDqS*r%QPR{`mXpiFJ^9$ol8UX8AVkPQE{9o~>I6RqmiKzT*(i0Kq`+ zXTr}r=>f3iEL9<`T(Sfr_s<;}3`R&7?+d%1g>L%> zw3_tpjrukG>)DXSv8~f2+et51V#`YIw>*;Kq|>!2U{gSGo6}Xsi0rWmE(Z z-U%MBx{vmg{tS`P`M_zdi3bEg;bZf{w93xXjPQWACh6CkzU?q(GcVS?3LnRJ{2_5OgrmCN0P6)N_y*sm%Cq(TcH(2!2M z;6C^*J!#F%4lcrCspW-c3V1@bU~4GLsr85r!{*C0C4dmy;c7-?pdS6)GAUc8f%s%X zqoZw+4>&zMd*nosQP%8I0Fh^HXT4l~~JvCyXdd~>;gdbJ})iKW3n1{$f z2%L|4+5ydY@0GaYIABXGr@rxQ%w$*DE{PUsQ0ZdUDg}xhRG@0uwr*CbUZ1TrbpjE1 z`7{*KCcDcv&zK~$(Oj~H?Sita02zYaPqsDE-4X3{4XLzU8OuvNG8K|YMNO7&JJEf* zR}D%oGgpyT0ESKlo%7?fplf{H=oENAc4#Sd%9SO(`M=%CiLP-Jzr%$Ox(R%a_9Y>a zO(jLHQ?9C398R8#NoMKHw^c8$3N5&WN{FF%l9}H3?69sknP~)H1Jh9T1}nikt9XU0 zYLRB_D(Pum6D8h{Ppem3T(tc4d@^Y2Q}){JJZJg#hoawT?sb=c{nQ@VZNKU+Rc`D+ z3!YA6Nuw=LMZ(U|qQ2!Qc*Txd+5HoUIp>1RF1U2G)fbRqLL2ro0RsZ}?|I^FW~=_D1lo%%8dPfe2SJ}_ z%F4hTx(4O%T)F$Xx<$=@L5w8&-tOw9mL7_b+YMZs6&0nKo%sJ{do=$m{eG{$#cl0- z7U5(qS|Z~~`5g`TJL-#<-guSO+S)wy15E9f)`q)TOSyidy7yeKHyZI$lMXAEGjC09 zn`Cn@DCY{~FY>bKY2$xgT)0T6VD%CvXc$;r(yxJ2|{WAtfO&5N{V&NgrRZxXcR`gGB-M z%)h*2i{+@ElQx-kP);@lx^SvJ#_=>g-d1Zy)-Qe%=?hR` zl+M_#7`o^--hCMMxrb~#1lO?f?HXo|X`10KXKrl}4T}Bs>&YLzUeE{iZ|9pu*QIL$ z?_cJXhO^@9c9&OGl5h0H>?kCOz54TDW%gbZ@EdD3u^6m2zgZr6x_={{T^_?7Qt|jj+>DIq z+K<(237~wyr=^Oq)5)2eD|I^!u9!~xJ#LLGMd>0_V4 zE>rtaV^L;u$NhJ9sFZ)##(=s2mj5xIbIGJWG#?1XSTDa{L zN#gr04y+fjZo{}EMz6ibt-yP)Vph+;NE>JiBne`-x;!CRzB1qHBp`~8x$vKa*D`&- zgd4n&SBc5ML`|gSUu`V>^(;VG_iuwj>=e-bns4px+?L!`UwDsQ9!*A^5BnM9w1yq6 zt1j1(1JYn5ldBX=C%q7%Yo@E4w!oYpu%YH%4(|{^gt;bIo}L-1Y^r$jCRFLI9k$h+BnAp2%ezJ&ahl zjN~QV7@wYbm((Q$CY*mDo8EfcYECyZAW6PXg04HYW)+A!Cj??bL@Q(pLM7!3V_~F^ z7|Wtqm9C-NJ{`CETmKQ(;cjA2V{eQklGlE;du$cyfNFFL(yV+fTcyPEkim}Qg=h|l z5N%87_nN9Efv(tMgTpW@#~^BHFfto$W0T)RM zJ)|MPYdkRVA$eC@EY%EjtPv}`PJ>h2S&88G>S9XL~K6*OS13aigCKu|w zar|`7(fVq0tzfsNeSndU6?Dh>&K#hFW~gYt)3GZAiUD6me|!z^i$E%T>TEJD^OPYJ z3$)>XybQSbe-xdGBh&vM$Hy?Ya@3YNYReh9V#A!}%1xB}D)%vRP0CS{*4&Y1$bD1J zP>7A(_bnNgvtszt@%w%H8}{D&{ro&%&)4JGHE@XjwP@k5r?hmPAru)4>^M0$7Cdli zhKZU_S5ViZZ>XGAlx2V*U5FFSEDxyg@S^D#%sKybH|7&m6g-128<5?HK%spImUdLp zgaLi3*IP$!bE0L}`+Pjm!LM4qojs=K>ac9OBN~IAf_;ub`#i^-6^Z*i!4FHj{Eof zCC@Ap)#blElFBM<i<8fxf-MtN+dHDikNhlV(fmf?K#6NVu?Cjws>c$DbJGk2_AS zCWAxpbOAFx48$Fg!o4?1mU#T22OA&f75HkmUbQ(t!2`)jBQ=bm9q{`&9y^jv^95rd z2+|@IEkiVSP)9nXyD7qa-C%(M?l2^N}+jhq)@b^^2>as zCbrID9@B<`sXOuD+*Y8mvnu;GAhnI3-qQ{YEQaK*qUi$rEV2nu<#cF{f>oft-va_Z(od$W6Alk0EdA5Qz-&@gvpnXKKd` zMhTzeN>Xh3Y5DairiWSs!O5=GhZ@-eosrK3KcLHRp)FetxuRYt0RB}`Um?iV zL~bZ}oOfT5^Cu~y*RK5B&X|uxDl$!l$>nVP%Sv7!TT6I*l7gI;1A92p`X<&@|O|bVua^txS#olnX4Q0OLDqQaZ z{mSva@jwS~HAm^%;jI26u7YH4b}&BK$ndIv_=ER;*fMlC`gdz>N`~Zu4esc!??Cjq zzq2w^j~C3AKgH=!Bpob8b=?y?pts)C1Ys{s0AJZ=Qt-&M+CrW$-vP zK4s~Ea&7-vM<^x%b6d?n;6rxt?aYJL2xNNd^7FT07cNSDy0?KSG4p{dimdjd$g7Gu zjWz~K_a2tkbiL8>I3T`%v0%}U7TO& zAN10Ps8=O88D4$lZNx4&8X*{=R8OqTYv2!V8CIQ|E4it=FKk}2j`s8Xviv4f0WvH| zSk6E-S0`LKHzaw2jd|!t#F{*r5{gX@TI2kgl-&z38fFe(-qpM>AYR)cw=?X){1(-T z7FaR5U`NY`#mFj(ha}@t41K`vgJ2khz-22FZ}Apd|3T#n&hnx@%o{X9yOGV880q{) zZ{aDYs0S33VkTnju=I7b|qU-jKYG84)8e3VBz>W z-08&RgCbAj?l9o9uMvRLCEfu;S;SB<@28gJfPci{Xjnhq^(Gt-PBwax`3&mkz5p;k z)%I?}EQxgxQeiE>^xZh>2HCqUt#{yTJg|DF|IYiw5PAfJq$gYpbUpEau_^%)E+jQL zE&}+Z@xzfT?xjNIEGs-tQMS+CsXeXXv6v|FrZ8hCKAPcLo+as-qWlgaCbfFN!uS(%G1Oh@# zn(;7j|JN_S-p2$NejJjMtHr=P0{p@wlNMwG#W?z5!?dLc40$$Z z-@klX&QqMw;oZ^+?e_~d^C6WL7}06OVEJVttEjLxU1^q-ZyJ*PDaSkIJPiWG4=%kP z4bud^`?`0C06LG|k_NOKq)1atX`xR87g67J`5K5;X06K5;>@}`VJpJ9T+)mN$KS^j zmOh7_xkyG+;}qkyIC+F1;@9|sJGy~ppu=7V;JcmDA;xWXS$hP!{f~R$wi2T_`BRq< z@5wb9{${hzjrQH+A*u)kqW+*)xG0L^`G~w)5cpV24W@O|Y6HM29afQw!iL0dGDAXK zekhWqd%uOHI^@>Blc0^>3d?F)A_rr8dF{>%nO91EfQZI+v80fSwT?Th=B2v%Qd1(S z_#a+e1Xf5+@z_6?h3v;|;Ov5%G#+{?)tSF#4ZySjY`y&prg{W1Y686Lq>bJtO!4#; z45%9z$fQMw7|om^zF0}`1b0{_Gznw}KFjhUChI^LGtYtclh)e`zd!jm2@W(byU{d_sM`^jaR>R;+m0) zt7&6Eb%zp4%n~65Fee?R00l*}rGy5eha_dD-C6RamG$a%BJV7Q}f zpLz}Er|6SsWy4f#TDH3<2=Xi zwyC8K*V2fEWlf=5v+iKF>3Q*_n&ztct zEbsePXKLR@j&0E8_YzxX0{eoMp_tHCn7F2dFmWmb95}qH8ytkYKzmuMGg=>4 zy7!Xl*m<~0QLpQ|g~A#1m5`i<%qsFiM?&`770+hTB(8dOIpM<4bB&GX<$vkP9AY2@vb?iY#xJ|(Vq)EvK1T9)3+^bUOYx(+YSP(gp?YZunqLx$|U_EyQm>~Bi<7^Ao)zpZ}6FX}@r{ z-eioOaw8z7P1SdDuVwXqD@HDA{ZvTfpomg{PbA;43Cih>otVbeJ@w4=xJMT@DxVw& z@^x3nmYa=EH&4@F=PREC=4CyL6rU~$E-`-d*aq(J62#MiHHv>;PmwUXYHeJnvy<0> z$jb{_iL$QrW@_OGzd)p;|3p;%AvWvzAOF)2JUeS9>wD(Re>aCiHcivIyM$iv&O(s9 zq^bRfp9{nF%LjF_uKbY^vhJ_2DJ`N?52~!X@6qo8QKo?He&-a@@m z5o~e~;bpO|QTv&53psvlR#s?YS@P(A<5{0HAi=52U%Et{+Y4p{mXO#ttZxQgIAaOE ztBXan@V6SJB1uORZ|8XT&xdVv6E=wI!^ArEABv8$CW?cjsj`>x%m>^Upn7&8;5-u= z3Ib0ijSYo3b=M=lm<0VQN&(8@h12Epr+oJw0T0H@FyjCyWuR~98gMac ziPLMdqQ2qUV$+Er{$F(d4?cUE%4QC@B))g9uGgQB=4Gh|2%MqT@CYSnUI?)DI}`Jm zy~4oh>82ILsv&+`Uok5F-{_M*^JGpa6JPnNT zvTd=~4%R*iLihLOqrWUbt7Sj9xxLH#3a4HoF?wW~%TFfRoc*VzU+%QGdF+2k$h71Q zd&X^Q2yX~}fWFvCTl*$qaOW9Q z03f0d3{oFt>94~=7HQQ=rAWShim1hX2q12vi#@)_?#62kz+WPdYx9m@eRI%>Ne*~b z_ShIoB?@z0nDtI(SI}^Y*1~8syf}lT0+wB`X&JLalZKxNE)u{P*i_i@0-HC7F+FkT zk^cj!vM>m#dCs>ZGYLPnMjV216Q&T?9rQ-}fi_lGmXSs%R9_|W$ttJNw#__ZgyPN! zijp!4j<1$ZSJov10(oh6M0*6#Y0RR)g^2rkKBUE!odJSL9a&;FO> znDK@ zS^C|>CHBj70{Ic3l}9PielQxwpPwRU2IFL-*CHl&S^Q{FG%a0wz{Rs*3)J_fj|q)d z_k>#pYf%Q(fHff(#MNPt;0q?Ml~70-0XR(sX*_PGSYsD!aQ~%tn>^iyB%9Cs zKJR!kzWz|$Ho{%F1XOoRa|VzVe9Uq+PCoyu(=o4cE*pK-x4pQU`PCudv(i0 zLy~?dVQ#X&i+dP~^n}2zGBdFFaHx=Xo0wP?TD=L#6XC|eGeMh#Ki(ZqacAq}_tUT> zR7s0tk4FGJg-dB342WjOg%%nH#s4IpCu85t2ISU$?QZMlZLf?PmZ2p@(*r>$S~@;c zJ-J#&_EyZ~C{QS9052a7*@>D-Xr83+B)~E_d0F(U<@d}%3K;pE z3mbQR5P?B$_IQs|EA>{6ANtNl-g6mMM&^5ql=5A(TjKw9L>7nF19YjWy%?!dtWaG@ ze@W_r`$BrskLvrl==*15=7Z(Gfwqm+KI4JZ0ommIw`(1b-NN_!$#N`erxV&}vUb3n zkmM4l&LE2+fUsRTF^(mvS^4gBdgqlx^S~xhAL9NQWG2ezES9^53m?sZQ}M#| ziIl>?&EFO4GJ4{#8ZuAw$XUpJ!CF(F$eN|ti@M6#I8zDF2Y6mcL1E4SU{1~Ko%5^j zLkmTevcS0`uk91R(Ral5{+6bB2gUI6AWz4gS$E`Ch_sLVctOBem*|+9m-H{2jDGx} zAR#E&`{B=F5N-O+@8PelfI?)39dyP|+&>o@tF z`$5->l-}(!QJL-w?DLZx)bMw$%>S_n$>|b#|5|UhFWd@2i~tWOufo4~9n8{qa^d z$bZm^(HF>gZL-)_2%O8o^dCJjppy@F#GBIKXL*^Bc!KYm!1cZA`W=HDwSm{z#5E}5 zzYLM;OJ5u!c@aB7YkVo(Cfr3b^~zA$R281LEQ_yABWcrlLSvko;ZRXf#I|*Hs7t-( zLdd0ec0CbYOhMjnM}&GR-;Sf6%d$G`du3dbNd_Kk#Vayw&Tq?u<`(UdJjPa*D@FF| z*@;ZVvorG_3?&v1Q!qW2?xAn?C|9cVxO7JR>T3rywC5;@Yk5+3mr_O+_wHJVsdwY+&)WbS zhNa{MHChf@bZSDNs_!m|mwR?uaTtVV%obFy2f;(`Aaf@G%*`~tkQ2#6pVfAac?_qG zr&dMI@sGZvG3{+TOHnanTVi@wN~Hb=3YWln+)FbkdCI&RR3*o8f90qwtmBot!^M8{ zS<27Q0$I=JK+S?ElMBm=b-$8e#-X%9sMjmmuL%w=>lp!>FR=4@F;-!})LtPNp6$OT z#?QCF*Y#|ZM(IHr|;L})s&D>|R~7wNNC`>V$>|97VcpOZ(X z0AhF9p5vQs7I}Vh?c)0@Pa>ta3BGdM;m6k_oA17lZ_}Kd9GF{bAXG$Lz<$$l@{>Jz zhJYf_;!5WYSvBQdW-VTjTcvE$)ygNDO}KkGIRu(@^&9ru4_-|6-^&Nv>64|nk*2`eE z8TV@iNhQrNrjdZJzgre#v~8S9ihP^Yj&-3|R2e8=lg7$Ixr^lt$RI?`xX=6u$c!}m zom0cbO#OcEb-SnxvVqIpL5USw8>f{UTl-Vl^Kb!&al_^QL_qdvdvnC~M{$3R``j6y zQ}L5&*h7EBfm?cg{X9SJ86CEs(n&?kU?k(dx z84|Wv4(cR^{1;l#lsV4vMkJen#fKQ+Z4nQ<^=tPF-kyp8`ulQf7Zm33u+c+)J0_y5 zzxJi^p&bi|RQhArh(i|CMPnjJHI1g}=?T3*7R%{#f3SkvsNMnz=>uJGbot5`eH^oPTbF0k6TWS11vp~%- zXQzyl<<8NkOrezDtoZR~8t(Y3>d8i9LrF82?Kk;X22!-0TKh^G){`OVEr?GE)zyvy zXr+ItK`+l+Wpu%Yll2f&WqDCyHtW@9jo@(3^3 zU~ccuSC)UmpQ8(F=Y}{NhnAutnE&3)i*bnnnn^ol7V<4x@<8XWxmUKD|Kco^f|2g( zIMmOdEyMqWz$iGA1%s0qpp49?b}Z3Uz6^DCOzHSwjfNQAgOCy9eMxSe;~}=@ zi+TTq_-*cN;iVg(75wpcC$V2b)VG4k&PFDcGiutKq=e#<^KkTcf_EqWaJ#e8K%!wg5V$l@+MCoQHrnm(ye8 zP@vNgo8Ay0@^SEjx)`3H@0S}N+Yc^|pxy0}QcwV@f~M2Ug!(l)m}R4&}$E(}jjEuDFP} zJ7HeU+P1zh_;fY_x>}BT=GEsN3|$GO&cDl(uX0RXDB_JYw#uP`G z;@-CLTFVZ&Jr{`x7Z7c^VZ+=>3A78dy_^SJ^DjFdUtR7Os_)gC!7AJ}wG|3+k}{gX zTs!Z2?kiNNW5nTJ(Ls8oX6Un1_ScoO7eHNoY6)5=F-k=VmJBCv<-hChTw+v~bECjk zRQ3ze=l~zP_pLzN+6>*I!6SV~UWd$a$TdqSX%`UGqm`U3b5Z`?iaPma72N3(V;WI( ze)7gi2n9~RCif^y-E)Hf^u>!mUl$|pipD9gosKhn^jh(75r+5#&jRCyQMyTOazO4Q zRkN3J=iwoA$h8$aS_zE%wlI!!bg+kcgn#^7XCh!dArDbyIsPBpx8zW}x1t>r5Sr!uYZmwp}%>FM!ur)FWODA9zQ6I zLGPF_pW=m8iB`DEWDBAM6#>Cdcr5ct#znJTdOR_SYXba+9l?=ss?XOJs!|+m|4trk zSl;RUwTAj_uP62{;{o>qFk9OE7hff%KIx~Tui5RO<+pCJjCF3C9@s+XLuQlLEh@tl zC$)1w)&0G~u5kOoFbf3e#eE?Hfc}r;u>I5|t4l2$q(7BCIIm=jYVH0JAvG(Vmxx$W z&-nV5S++;~uyUTAmlhvdP5ZF4IO)P2zM7yZ{w($mems_VTp)HR+yn@e-OiI{Mr|^~ z_nQsrWW^aytg~1Z&hbW{We{X&LZd;VPa}T0yt0F3c9Cz9R)F5NyiQi8f_4%4N_t~L zi3Gi172%E`uX{pW6r)T4UHiZ3-Dg%L8XT*4`z)}#$owF~R`zLS%h#Fh@7i6RYTBp4 zk1o{sUlG##%C-_^)%_Gw4_}#VYmhO2q9u;^ESBS@(60$@0ST^|-6^>sHue93&X&k~ z{Axv}J0l*JR>&E2`mcE$my#fy8o-P^Z0pt&o?nA$Rq_j|+QISO8|nWw2s{n!L%DBh zmArbY_TGK`sq`I8H$Y9;jTfF3l4M;ryhp2J{GelcRKLyX;YUydEp7+3NPq@a{K!9W zqT$kVxx|8=Qug;HgWcziYij{Q-sB}%;vK5=_O>}yEca;?r?ymr7dZ@|6unLRl09uE z3O(iO;}PmrqI#@71};mwHfC;E)R22Db8cbVqBrFHuxB&VTcW?8KYA6|GSfs%(~)L% zJ7Fn+s858IpD4Zi203Y#t6_I^(hQ7BY)%CgR~o1#e)N?M1P7dX+Mg+Rg+S zZhm13u?z%w4kY4%{UQu_x+PrF0uSAT;Aha9nW(v8e)*=?1H&qrowaG>k|nri1h!Gm zUHT9{ao!iy3C0toY|20M$|RIIaI501S{8`zKa2UBb=>4#+SQuSQanC7r9yq+{mS5R zDsboS>5Hc6J7t$xqYE^YZ2P0X4M6x(NGsymgO9ba-$9M5~UTS^%9yKljX3Fd$OA z6kP(%Xz_2)IdSrq*u(WdU+4cu$?pencjUO+{RajHa1Rn>y!b%H1>WQ`LkQY?@UG*3A`Zz&eRw62t2!;BY9N_sE9D9AZ3V7RYS!=E zMW~LG^%>Q73${K98TmT0?-nf;$!ZJ%>qUR&ws&tW4;!y_ZV3bjmx>Eit8TW5-6vN| zI$Qm3=Ic1i+>_>D1#K6Ulj``jh9}m~y)|6N%!xCDQ8$&>MkZQUNb8R$0+C(kg6pIn z#Y}BK;_zvfV4QEc3+u_J)2oCciq=C^vvbV1{OJRicc@cUisQJQ>c3)eOHZCsE1;PT`Mh&}l%OZI*}eD2 zjMp+a9EhsYZ=IOxhmiI8^V2>dMWGBG@0{gwlW!%X9<6S74uKxDh{{fNYBc0FpVv{o zH6OWfYF_YEH?Mr7WLoN2D7RhM0JUi}6dMPt!*M?{!eJgy0bj}V=*KJjkwX$#(xCK) z)q9LRH!mkqqy}dhpQ6f1YG{8vIxQmV#>N!N_-f-p4`UEF1BE4Fw`F`Z(CjPd)cQq` z@m(hY*VuT1kJ1)k6Vf$Lyp+iX>I_yoPB8XVcPZa9rJRpeH*_lkQZ&0aOrzqc(U);RS!nO_q`SO0mdLQCf}6)DpF zdV^YqNSzdJklY6Z(FFacnt_H3H;aPg?YPqX&E5y=6Z*yedVB04@6_Sz72PHQ1Mz&d zpae*52fJkatoQ9E4};EFk}U#VmYe!ouxrFP$h)y5KOsgHOB8PBob+f~Ik@9V^v-S#w$6g;`~T`LFZ9XH(cJ0UReav{7-R0J2!uw;0Wp!JQ%!a)1s9DoThsd6a;z(5Vh4{5qV?~i$qHVhM ziSa2?lL@HkI4oL-&77CRj+gq!n7uL`XBG8`pU-WPXYxcIB-MEWYIzZ;BZSo-@FgkJ z{v*q$$vdfa5S9|kX!*lx_j?8Fi9h70i;*dmxCL~li>iCPDNIC~Z)7MfVxvZxPaq@Z z_Em9?8U97r4^EHRZQNP`0nNz*-uT`}maL6YJqFnlUaK){N`jGVv{YSH;+{2tU0(UQ zsZ;0RHQy5evy95o3DN?WugF~7Qa@u>0IsE%vT)6yj;`76aRTD`Z#)!EYN7GBY!c57 z-&Ap~j@Z4g?;=!0WSG%3(n8UGXdF7c;dK4MCX(PKB1MHHIcgbma>j21t7FLp2yt`; zfa#k!q)rkHHYeh5zQ|vRk&hi_@$I(>w5q#3b*CvW!#ytA8~-r6^Ly8K!+enTcS?cM zYFGBvp|~i9iR~MEJ8JU4GQT`Q73l2?=GFuK516CB1DgLP4@+FwU?ULFf#C)t1DK#{ zn>x8`P#aW5T}S-9#uqZ_PM{o?5vzUAC3w`sfVA<`#rn7ra-?{*C(OsO5&HFx!NXTn zesWVXWbFO%5Lc)W;51CpIclPcEk zLn7cwPSYV76r${J67!9t=lG^s(1UQ)Z{O|>htkS`HyqDPMOl+xv~DSCrEMm`rQ87` zmuv)GvdDlGana*J@_3PHM=srmQ4Z%=_PsHIahzSm4z5>T9@-?i2w`e;82;d~SL3nzb)EmtdrBdGkP z5|0y>z6jI5k1E4gS}r$Hy>^p)cSX8E@FxN{eK|(&vD`|e)u;q{TgRtW?$?tQ$8#ov zJO1t8y!Huz#2I`GFp&v zy>^*fBexy5w4{I_7gnFS3j_~6F6N)EvP-)R5B0 z;pPsyp^f}j8xs!q6zVIe3 z(Z**zcG zoTZ-P%#YG{7u-E8d*s;Jem_xq0*d?cLWH$fft75q`Gr4VI70NOugqV@98m7lyIn%2 z5llaqGqnSHh#|xJ(;o~^gljEo!T0Bv6vwk&g0$xscuF7HWi0JolddnS*b`(=A9Yt^ z?Sjn*8?1>8m#f*WJ8O3-=ViXtFP4t84`-sn*+;5>@d5p(Gdn-RJ!|<7KA&DVVHTS% zt&-*E1jm^faiE5$5d`_k@r|aYQLqA|);$*%gZ@je57q+2=U?Y^R9(2wBKV<&UL zJp13z?UQd^tS-?i1p89OGQXJK|J<;(=D`A^V7MNnKc5~vk`YZ}{5<^b`e#GX`7Y=o zy_~sWPn-RtJmvFB(yOM-D&^7WxV3;k11Sn@6kXE@@tED0V#zVV`gY+H*1GjD7yc)~ z+AGxLYJ+m=^1GIwTVUYWO(RSHqJ&3)C}4CJjJd)EYT^!JJ=}G^lGB)M*GF*uHCR62 z-b&?9{mwOPL`%WlE6we=VmZr!hB3UupXN9YQG#)_Mvt{!>>LjiCVn8~1^*~sw&k<; zydaozD$6e94WB2Up4L$iyf$R+;i%LJjIAgra(U~rIqY`TesZL<#i z^-@AGi;ko?RHy>;mJ!OW5EUlSEYm1P9g%K`(|3!StPE_+cQVuMb%;!BLjrgA)P(x# zqjA^g98<3kN(~Daugc%#|GnlX`Zw2?y67qJkXAV~>NC4^os;kwOfsTYBj1Ovq6THd z@3SkI75l=P{TaXMmM(Gb;zzwvD3x{FBQ3J}H;?VWnleaGLtfAeZdCm;E)Mea?FI1;e?5->>XkX~&=Y@bGJHD7pL zGZMMiIlrL4JYr{xGc%4IdNab@O>lvE!{{Pvqf{57BCj(@Lq0F)>{|HdVJDAyku8 zN?PJN|NKmw!AfeJFjVU)EZB}w5ba)Z1&+xu9o|ha76*^{!JtEQp>p9Zz@KCSpuehuqCf+$P8d8>0e}` zJd^EovXgTwxk?BfDt4R%7vJ7AA34>;b3mv5Ir2ns$fA%tMu>W7D$KqsciCvcz4=tc~- z6D3|MGFI((F|;s1M&(-y=HIa@emfm*VQ%^%Pd3e^2XTA#iT>(BjjJput5nRf{F7pr!NZuZgenC@t;1vkFq=0{EX2}xbboJH3q*etOWCo5WS|-lGmYNax zoC~hlHhZQu&b6Q*oLh|Pvit;*uH-~@+d)&)qSZ$EYl7wU5%cN)idPPIf($VbB^P4c zoOuY@xA(=k+70j%+ir~!lcS^2!1B5Hl$9+ETo@alHS$TSKbuA=mOP9AXX;8L;_7M@Om=+A9)NPvN?HN;u#av4uBe@`{!~K19KR_khEa$g*q&8 zCA@fOHjMKi#bAZ5^rVSMt8F~*BC2*UVmb%#@o}y(z1(&QFY5u3JG3T;{AoC>oSNW@ zPoK!y=kwaF%Oi);zBHJZ)bZBg#LN=IbL|p@hY?DaSAJexxYt&B>x` z#S7`9yb=5~lI*KBYM$|A<~@v#JQHuQ92e#`HQa&>`g`98s0y2;j~ZIuj)NeQANlzH z&pDIcLHn8Y7Iw4Z3@brb{^ke_@8L;TDnYh*W!ub{aE!1%ry?S01(sawHU@l-20Dv!;D3Q=yxS9xWI?(UP>}Hq6<{)Ud~N@)*P*@~R|2H0ud5`J@=$GZNV)$E zq)VzDC?mtkX>IpI(d8Y>#a^-i|LUWXhkSn^z)j<%N##Onn1 z1NFk9UBE<|`dEkc ziU&45{Z45$x#kg|dckqnE^0A^d6*5*rsozg(Os{!A!**a>jXWX;>-C74S4RofdwJ& zZu428h+WH#s_dQYAD@`~J4YNQ6W5i06wwMct>=w)%ya=hLhpp+$s2saZxi0dDguX2 z_U1l-N0Vi@E1a@j^r+?l*l4e9-;flyEdoxP5dTI=6x%AR0Z8SeW~Nxx(|X{DJ~qvEtOiCB z!`{Y$`+IsYA=cW|w@sy$^{{6up?x%=`op6AW6l=?#E>u7ax4uMYNR}f0ezdKLytK) z-%)lp&J$W4S?qhnhs%7$Dk^%F)SYw5QjQNgY(Qa2du_)FC}U+gy@CD%?uYKC3}ek} zMB?wo)enY~{*^$D*YAxm^ry4m)GYE8<6pFP2{)=abzYmfVqh70SPGr>3}=ed+Zqb- zNzl1C*FTVFd|={nk?nTEs^R+k(L9@5z=zw;ptIaPp;n=%<0+jSaAoapHy-%i^@uVg zc&X6-OzfJmf10dy;+7;A8kU^DKK9CBe`<|sUkhFS=GEntSNL&H={PJw`r%!1Z&>3L zd+L0HwsPN7B>V#DB2p$XkkjiE4MFe`%}D{i87Al>%A23Njw-#olV3;7Kg^YSvtXtu z-!1v~=x6M1(g}K@U|WXcswX?kQZ(o;c&1wS66?B$;jK>C71c97mKl!A-5uRZ1mmYE z;-xIFuNVq)rAx=<|FZbJi~VBHb@4OZ;p}v}h-{Q_=GCQ^5#Z)#?!65E8|8CKw&No6gRcLwx5oBY@^IvEg-uMnSO?&r_$+f8D9IDZdrG1TBk`2m5 z;|Hp%lx4c`Us6Pjy|xeSp7)tl-SA@&Jsk37{)1=kGNr12l5BL+99-eaoEehbU6Lko zChw|c@U8zYn`wTvKB>NcZ@S2+T9Aj{k$?83xM-EMt8z4wUU${%UcS(Rbmf8#}Uso~PH}L~Y zc6Rf8Le*wDRQn`b>{+T6`WY~$7`Q~BOTU}6U0`;Lut5(Qy=$a=JKMjWnwSy`Af)yk z5;7F#$xmyUsQVS`F`-DsZudZiKE6NeBRKP;yK&izp6cbpsLiAYS##+X|6Jw%2l*i| z|8}12!-9zUSr`)dpT94`Ww`_|GNhQf<`}yYKrmYEwKoQ-1~O#aC<_BqC;~EGX+o(x z%EBT7&?Rf~2>3zo&E{({fN-Y2yiHh3&G0NXIDRLvKO+B8254`5R(YpzysJKTZoMYA~YVnC6pFUYK`kryeNT>aMmsN1tVPXoUuBMF=mPzOeJbRB1$C} zH7we$;na@K6td^LLuMzICYx^Qq))P!6;CDpQ#bi*@uYa+2C;Ky*`6Z6l8;jOOL=f= z{b&42oMO_8sc_fZ?&=px&pny+BJUZ1Cnxcbxruyuf>%d^*tp(kQ53sw>c!8Q8h@~+ zr%ONu!C{K&D$jqG`;cp^xZFW*7$#!No3(H2VQbNJzEbq}*Lh|>Da!r))KXCqJ3bK$ z6>MBM6_Vp{5W6}5+%XZ*%)lN7wdn?$U{A=E!_6dBg|qGN!cZ925uBy1cngd%CzF)V zJmaFiM))JK+yX&>!l9*g?1G~3;Hdj0K&=Dn7+uf@0O}5NPyQZ7^EjMZDuVtffVxg+ z2*u@#Xy;>Jd@LzqH4BRtJy=j`O`nyychLncPJ%eK7TqxrzT=|M6FY;Z7kzO}=AHwC z@L{W&iNkV{D7wa!k>ee4Ks2|X0unl8K338Szj9P7)WJRW82|~PHsWl(JE@~d;S5#5 z!L0N87Dj^NUQ~Rvk52bXD4a_227?&H^BgS0zX|aGf_bRNz?27$?S*ZbK5V2a50AC~ zB(SJbiQ_e@D{F)h9UCF~nIDGTX%HBHfM8RaD)ncD=<)bGL@@;jg%A>IWEY;j18(Ti z+uTK-W&Cq@JvCK;8c9;)*DqFMO-1$#5hsQt2lSGbd!Zbn@Z-PM$_-v03j0#d^d=~N zlhZF&BF|pbSzC+GdD1xBaG_7&tsZqZ38b5sRq7@=cjq=R1e&B<#xQCj{V9;x9WVCc zn*z|0K)WAJcBEpuU;{n|$Q z&S*@R^*)Y!GEXtgeZ$>uURg6pZZi)To(eVB!E*=c>(Yz%a_aUZ6A|#QiEHk~`s+=f zI?;!lrc2#G!fAT%$$N%0g%C=k+Gnl&)ZN2cMzdF>%9I4T$IMcw{?)kNHbT_@Kz2g0 zZCla|2oHG3tXl$CjH>6%2k-qaS|71(XoesZjj`~T)Q(KYY@9Z~xIZHe(9kz3>busC zl@6P4Sj^OQZ98k!mTxU)4KP4GVpLrY3tb^KsFj?ehI$o71-8rj&DBbEYlNp@=0=b2n^iAp)w+kT~5vs*UbR(SGJ2I`pCb|AbDRABx8uPUslTJzUfM-xCB`T zGSuiqRf_Rcai)`8%{YF9_>s~he;h;pCa)cNV)ujCGddgK^(;O0c&{5WE)+d_@B06y zwu*xO2RapL|1vZ+;#WvhW_PP;oqU*mqC)iFjn&MGjA5{${Lje18dK;>3z$sxce)7Dd?W-JAbUlpYzLZ=0MW5qNqAz{^o@;>qlQu*_KCp&RF3^3T~* zvlQ1a_)GRoW~F<|2s^?1Y;89Rhc}Fs(&NWnv(VCk-mSc{WE&_cle&f)Y`4zUm`a<1NBMAW^eprJy!8MTwnON zZ`l*ODZhVnQPkxV>oz~TWY?8H>edY?&jeX$}fnox-HUqE}jLT7lqFJRG3*NCfa8mVu zppVKbuHkuDin4;nvF|=b!`oVri zsv@m%7gvi{;L`?;n)OxmEyb9TM!uM8PEG^<;YE+{?Pql8MmqSEeTI9{OGTZ#1H^?o z0E$LJabB&X3)EgK5F#Ci?B78TD*v}#)=a#lYEe0>8fPk7#pU&(>$Kf2-s^lC{Ff6s zNGt8yOLc!4q^cI!HF)GY!op+jVbgq7}e zRQ}3S9`Q0%UHNxF{5rGuPJy8q|8LgJ(3KM)#N3Nk#;uZKtq^-ze|`E9faSZ#tF>1) zNnhXOA}k{F?qbk@W%rB^heB+Sh{^hvcJmO%BbtvUh?0<`Qi+#Pkf9#!LESwiVuDa}pEyo%Na4{blWiXF z9@)KeX&WPga15?6;(;v%smHcFd;UP_3SgrIKsq*QwZ1R9FSRw#nu;W(G*({ls!@RN zeN(@!4O!IR*g$cWJFoo@bmP!JZIAU@lH@E;nhZF)u+bDjfzDaVHqItGIoJ$blC|z) zB{mxkwS?BKen%qLQ*V%O@vX&*4qDhMdp_`t!A%W`E^pf3>6Xf8fM&N^jew|~c_#Ya z{h6-cdY;@EKHadd^>1EY9+Cv{sb^)x-&R=;$U>opI=j>bqAF6FLEL-_s?v8+En7}r z#dH1c{fXQ3)Ky8}WA=T+>dwa?^$-%2xn-h`_g?BMt7ieO&o(V;0CXgqE*Qu6uxE>Y z=Jh1w7wCSGZiEEahShTUr_@^@qpcXTKZfJVKL}DTjJnrP^UL|asS-JYKNU_Xo2(j)z-=;L zni(k?cFJed^}K;Rq)#3)Qix#;C)`tFqv2G!4<A;bCj&Vq=BqF=hzCt(LRByAW7w-aFFBHRIm0=$GI?Mcr9!BR)%&9D%N z=2__o?xe%`KUTO|ZAH+9U?Ac$oJ_Vuh;rr3jwvJch)lgXAY68ud9Ao0{yQfdgqmR& zUHRJYJKBJtC8rdWJ`x+ zP&AstY6g7z#Z$p-sEv&S!X2lMHeL;xzI2YSW^xU(oREp&@oNC7YnGYPJHrFbpiI5d z>XsP58%My9M;fbKV$_2&ML)%)ndp_SEy_(#IhO~3u5dm?HGnv*mS^KAccNR4fmC-i#>E4+S1;%;LdJ96dAmDt=WcV#8HwH!Kx!rILdjRRz#t z6387Z8lZ7D<0<{ek&dG-;HG-Z10H_j2Pfknu+uZ*Se`5Q0gQX5=h6%z$j6n-PCR4o z&8-ig(A^iz#3McO*ke=^Veyt~*C*8m>FaVZIp{Pd7|)XN>%(YnxkXTw?p?wgR;zIG zsvRyCK*BvShrN~^fwFL)z40eX`%l0sC z!oZkBX$ybBm@z%2{Mg&ucIKbP;NyGXhk#xG#*OP2-5ea?+7_8A!9Su+SIQ(hCR z;%|iM8+w?+AMBW%tU3M>yD_eRPjndo4@T`C@@%?+vE77%6+4?GX}dD=LL@`hhuaOTu)k;Z3grO9(z(ReS!y@cV4Ko zc4U$$Q?)y#*YTx+4V^oBY*+Da_#jo2ci&{#IgXo60fFbFxOh>*7Csm)S_bH_20S4E z1hpKBx%ULtvgIlgI>S73nw@8wyG86`s_OX7P54F1Gz`U|J0%=bnjXI1ifx@#mYo6} zw+`w0I!svUc+|?Ga)4vG%l{t9mdc0wn*VbV=PA+I#63m}?h`|)UhTMKgcRM6sxRAoz}nq8ei8O|%j642IGu}!ivoy2H_W{*MDpQS3UPoH zmvjgWfn;brw^83`jaEm)XZuV33#~Bh*0s{H*JAx2$hDv;)ApH@X&tc~9~qo#MisdS z;Kp6t8DvF#k%3G4$5mX0IoxHHw))+06SbLp2<}`HEcvS2Ivnw}fCf(i{MMI$&6!`U znZ5)7;h`#1cIfZPIXoW+Vk`OQmS*i%b**5W0i9g{T&;0d6oE=bgAT0JqRp)>(P8!4 zU^%_tQs!9r52ERYQz$j`%43`H^k6)_!A$G?@fZkuWMV0pvl0tz*8sI{a5JGD+pj6O z{}LU{WbHL|eNvZ0q7}05u9-%6mQN)0?wXA}WI`M5T9w~>eu;6TLXaTFubJjy=d81Q zn237X>y!MdNIdC9T`@R%CgNNXw?l`y-DV~rk=`A8@y}z&1pX*A*ZIayhO5^};&89- z#7N_!m)Z7SM@%$&$gIv$X&`VJh|q8fZA>q0g$r1Hc%quwIq@}mf zm%fXlk>TcbdI>H>XbAfD?PF4@momnGt^C|Me_#kVdE*TE-P3!OcsfGu;RA70R~~0- z4WEc`Cd#j94X4VpSk_z}6U2M5;MJe;VJz`uZPv8yA}!NAw2q7HxcO$tW zG1xY!s-TL?+ItqxUC$=jX7IyfXB;dhhJtqDv;|4O&Lp@x3ZYX!ee6H?bes1)ij{_A z+qxNf>pnK91i%9))WOS{0@k)fg_+Y%wj`_^3KZqZY|6cMvEH=a>+%6v{Vu@Il)7km zbzSO0sY5@6y{0zQ-|e!#bL$>0{cQ-J{Iwu1xSIf$a3;l}NPK~S_9312YEdEGUFkks zajN;)ha8IB6f<+b`CHq65Qrb1VjL(fV}CqguL%d0l7`N1&YS0-WX!SGbt^|ts7Q`wuH$CqCS1jh4!G=LrG3Co^mC)C+5CB0|lwhCvx(i zEpT)6!&gg6s~&lcPV`sp}X{Az;U;{Xnz{^~!y$^gvzsK;T%iM;^#8*T21Gekhu_=CB$) zg|olv9v0X?0^L7vpR)_l^XC}x8c%+b+z?3GwWJ4%^|48O-#OT{ZytBpDcvZaUYlvU zFzur3BJV!){A^$9Q}vb{TNu z8rtL%s+ij?55aSyTC9`GFb3WikjJ2U--gQo=w=MX(%9}ls+2i*BWGAFRVTRcIM8#t zIUm#2f635@B=+*nK~~?8Jq~YbM}?(To=u1Fjt~ezegkD^@hqJ@zkf|79QV_~@Pl#q z%H#iT-s)o}tQx5?Eooh)yWV^6b0{th&ixyST#8YPs1il!G0U$tH`VfI+YmpJN>%QU z;hB|T(N~voT`o`9;xj%~;Guu2v2s(d@ULIq_)iU(XgYQwpbujvG6;Aef*Td-P45>? zpASv&nf;aTzLt&Qe;E1U8(W6a18Ah}8lxY6G_H+-3WMwUE7{;t6>-ag$@N{Afjj}` zg$zoE`6IdgrjlnU!ER0xoE9oqXk!MGYe#Cj%!e9cDE9b_pZ2#@5SLUbD6G}IZ^EG6 z@AfSF^s6AijYg~*&4NvJ1xea5ZP91gk z*YU%q7Bd!jsN{@`2lXULFL+2#WdqMcKTGV62Zkoh{@mqIU;=8XPbAJ#l}9kE%SY5I zA9&Q@zK*xXjq66SoktTs2oLp{)GMfb*TDeQpHicQ>S~E&NM-8`KY);@up6nXlY>H5 zQvh9XD4b&7#;ez4PeD@5?bJYPEd(Wglhc#FaL@SND-easVe^2B#HuXiD=yJ*$Hvou0nZT{V59MQk#@nL)*dWnY$%xHL+0Q4 zjpvHq!ZPBwVqNWh)n8G%(0a>B+_crix(4V!H@8(D0qm!ite0BP%z{RATHIw%v*9J% z&YIlF3$;dW#r~YTw_Pai^)%kw20`OfrI=$*?@ z{{7*~+lEqXd7N56L7p^2*mMQz2>Il_exV|C=erSsFEOJN2L2&2q}x`yt!VaaOe-4nkj7~yjP?;^D%iBNgSD)0sun)>4J#}+@pi%tnQ4#P56I9Ep)Lcs#4t3G0APjuTedHu*JCrsXo997^&MP`K$F zZNX-$9v*L(ZLe6mo+j7i)OlsFwSF~x3=5yTge=EJ+<#aU`X}8Gf>m-bm0(S0=zCUcX_px)JSPW0s9$+MK zAviygel8Z_zIU~dV)*%Ls@XXcvGfp%-psP{1P)`k>$Bb)hfDT;%6gJd94soe_~q`R zc-Wf*Oz{lEDw?TLNWFNR{e zS7aWO)4jwfVjHfSe|Pwuuefgr#goTy>sezEvc$m%ZephzBeD(h@}i^U`-4uha%Xgw z7&>ehzuUuV{aGNd%oDSx%;ebC|0)D7G&KPDdfQ+?@|ao8s%FB881|<NvFn-IAtHKNRmI3;YKl{Er!C86;St?FG*f|r7eDI~G#XA3?=s)#Ovs+9Lzn156UH@vi7E5{WPpd>} zpdNqVijB|eiXHKL77%OtK4Jsy@Nx#HS*vC>px15{tc`Rhh!Kg|4jpOklBrFFppk${4qJ z-OzW%mbcebY=77qSAG6!h-G3AgLD;+Er%X-)b62ARY%o7xh@7icT<%}Y*Wm-8waQc z8T`n~V}gR@mn+CSX^-~Gy(jePH;*kZj^f_GOsg6knMkDvB5pla0m%3X%G<>yAwlAPufY*Fc?u;5w_u0-A?gZP#iRTYJz&s3D~Pbt`N84qizC+>D9*$ZM4 zcfk;fQb&oEPxd+g=gPpXV7%9>Y@z*yf7=_l-lk;}ROiO2fWf?vQI32L)VO9~}*~O#a zj;#*7SBQ0q;XFWS9DB@dYj#c_vT|Pa{YnkwDOfL1X*NEoBipSqC~!fB`9Z%ho3GNK1+HEj z@?u6Pik#JCt3Qvl>kWEMI3%Af=T9pz(OSjM6oy)E>Iywu=dN>$-49M=*B04p|2V?8Z)5inaRS1#f0V>MR5SRk7B!= z>TmF&;KQ6*>lmA*;0Dd~fGo~x4E<3^$+dfnT}k$MW%hZjxFQ(O+sU?Az)hgPe+p&j zrB!mPnNLYDL_LK_4|h#bSnJ&Wvd6EQRjuuCI9t)#{|q7-aUfWxA-!qC3QX&_DX-fP z@?Z04#8rIg%WPg!FA$wq;^Pmzg{#Lz}UAh?2dL{MA}u0diZ}_ zsEK}|7MwBFdz<)URvrj-jt^rwq?1Ns7``hKxlMb00U?VQJs70*z3GlM1SRlFu*vj?Tha{ zegm_7;Y{sN7(4WXEZdsMj$BM+q{I2;Xj6q&w=@eDB2zl4z)a2;ctkT@-g)L~dz7=h z#^_E#D$=AIv6Lo}z0&`fe#`)G;AJVR6JK0iD(&Tz5N&Nl?fpK1EwTTUB?d&3YHBq< zadY#+Glygh2IQKMpljDTj&H0?gS^(`60&j4%whQ(n{h{%0N{Ojna>Of!%rU&Fvt!3 zcfdblVGk~ZPUnk8O316@nPAYq&aBpJu4hMiF@{@(CPwddRv!$>vbzbX1+gI36OBe# zdR>vAnV#YQo}D&YTG5fo4};^X^?x?;-V5$Yg&%GGnu-J4lcA~1tIbbpNWTZ0>2icZ z{%H_i$N*WlRjYlbFJlQYqz$hbkxJ0^7Xc1Cvp2>&HZ{m+*^H_`OMRegxaiZm(Cl91Qr9THbfFt_5It4xWQsJZs3Z zEeK;q?jtt|%6?pumHh-pS#MqKB$^FdTPf_+#1d9%Cn$O$B9G%tU8r)X^9CAk=@guH zY*q*8S7==%9suF(Zn*R8S%oPRBBEk)P$}~t+b=>(c_NHdQ-2PzkUT&W>LkEf7bsxH z{bV}xXk5H`Iew=wthw;a^$xbwV`j~JJl~~CZMFZ_D4NlKyfcxA9V_NA&JyhJBzM4(#}_TdtPoYwDR>#!yv{aGDe+1m(!TjMfbDw-+WTv;#@YmF)>XsjwLdP zZt%a0LU<&(@yjO1iOmk+b4Q$P^RYJiH+Ng#}GT~yvYZs4V8wOOarL!xT4Im z`!mIa^jbaPLLN`=_wUE1166ziluZvGD1g}0`H?%u&a$kp-QpmaN9H-9*M0-QX3z3< zR*b&K8+w&*%3VrF@I~GX;D2`VU1qw(Ea_ytHkCfoRJ#a<6L5ttlu)f{F3xwU)mg-^ zacpd_dUa@$zMJiGr2~QE@trj9WZV0%)?z3YS@A}JzfJ%{zA1)KvM`O^jdoz!=eFR{ zr6)=K^aftojZ%e@u+|Tf5lDEuXp|7EInqexmCm2V{cpeDGSOBT?w;%r%uDsJ07J^ouWS zF0^y)Ga)s9YtQoTJCNBW!}`T}6pV z6@|3-vE;92#djAvmwh(mt=qT4?MK=Va=%#>0<&{F1wf0_0AT*6Z3({vsDe+gduRtw z2Ch2AHW^R5S;J1^1wS`6Xpf2Mc8O6nuU=-msYl=g8&8}U+%ZxSYmHrq_6uA(+4ERF zmzoIzq80}`e^*OKjWkAYSxUzJC;i?@Vv7qNy6iOqk`x!OAG`^h5k423)k*x>CplA= z(~KDq1Iwi~AWdJGdi%$^kaP5i>V*buJX*^k>#4aXd-8>Ir~RnDN0TUx#UIQSudE!hCoGsua_wam z+LT^g(VH3ZS8YgaMj*tWZtYlnj2jB$3n%Z`k1hBBSo}kK)|&@M6-pFX#$2!doRfF0 zziPK=59z2X!prTV#9MCROs6|l(#u_7_A}wZ%WN6($u-?AEt_=q!;#AKauOV$vUi&{ zuL4W)9hQ-f25#y@KGQElQOT_tTl{J&ur>B6^;4cX6G*+OO#6Rth62kCm~04U1y`3f zG;By7C2TCUCXE{4Q?=9cLEKQ|ms0tfX{n)G4~)3?PQj&{2r-^|P@3I{&wKOxPw<&b z&4`WLF!=U|&1HXQ#L1L~?!PTIVOs2@}%QB-H@cC9W&C=GdNePYJDuguBC|XE7j4M%;sW^Rd&Yv)9(8@ ziNOaCYO(0l!4_Ae(;VX<#XlDe$I*vHav@S4p0TqgT!p7jpYsPNtXQ7Y2@ z?|u-AMD69fMuRl30}A8$FCQ4dL0Qx@`K+Q}XTtehz9K2V>}3De#_hAkuTT%;$H!^a z?=$aWC+~~(SD}M-mAOs-2V${NSpLdrcD7SndR+>h)}ZbSoMh$K3q7n2%9;8mfhKNv zvk6{~hvq;iUu^eSRaC4+ubNi91NhpE>#irH&BfhUvxhD;1b)a6$pk}2o40N&o(n11 zWJ;^XLju*TLH1Ey2we>S?a`MXUyWL1TvK^y!v%1|v_}UM;-Q`rxa50?&C|kTH}vha zy+ZX?XY8-M^@ohA(B$<$<=EDqQ?GB}<&oFw+SukZ0x&{IGU(;R%AS8kT3aiR$8_2TGl$O|Ns5 zM@n#qH04d-3W$Us=EVs2e#WilI#SHDT@2MvCu`#+lSgE;!kn}2q1B#%BSxZrm^pZY z_-%adJ;VOEl4(3z$L~V8mYaMvs?LQ24SrunrnGQ88TV&v`)}nL@DjT~C~V=SIg7a5 zyu4jO6=O1+@)8Qyyz3^-m2Ny6lvLp}2<~R2H4U=d&@u4LUei z>n-ULgZBi(P#cdvT%{`mEg@p(w%V6y>#Md!N->TnJ`4z;^`wmChtF&0+{jlpB(tWX zWv}fb7DvuLNnF41Ts?cjnggLQsQ6RqBLEHYexws5M+zT0 z)Y1u|O++W0Wc&$4paJxUF<&@pGs~BC*$1NcH+;i-IS7NL05G6G&BTpsjEDbAt==41 zJWWq<=VpUr#Vdd{$V`aNwv&zXJsPXpZBb&H}zwMndv;X*PUx#Lc?C+yzCEvH< zi7{N@>ZcVx^juzGX9N6oZ6@-|-4#Kqar}X^+h#H={SMw%kfE_$Q6M?DhDNUnOH+%1ZusKFEZ_)bG`7EdJcU z?#8lLh{yv9=y$S2h1MegYUidkBG?*%NO_tkg5%94SWnB6!=uwbr$qYuQ2+<@mWBWg z!3LC4i@KMO>fH?K>jBs5+sR({$s0_D$S_r+X4waT*eRQ8+I;c)$Cd1-NP)%mq~2<* zGJm@7wzx}fc2n>znHnB^YDY=jP5jC-| zB{SQD6P_x()@+)_JXnqnn<5ADW?AQ1N2i5k*i zmd@_&yh*`0`KS)^aqH*m4ZD?g4#eP6HW1fAdvtL)TfQI8kg)bU^&iK7d!I8Pa48F) zZ23__zo03nXjZbS9pXhtQlM$Lz{6vc@P5jrO6cgn?>iyqv-F7A>8caRy9f!tawW}^ zikT6Esii{d6!S-gTpJn5dE)iY1AwBqJ+>S0on`#Qd>L4bJQS7lVbf10yq_ijFnsJ1 z(*jlca;Rx^bK4-tD>ny~1y<$Bbd8nAT3{D%u^01do)+vD1HEe4c>5D~C=#X*vuQ8h z-2&c-JITCn;!AMP#ujmL(e>2o=j$l1k+U7_)S1}D%Kc&|_yf9J8Vv3XF?b~hY9*;+_CAyo~eK|G^ zV0@iWz5H}gvBPzFF6^b#f>~H`P*TiJzZ~(n`biNymnBW(_U#DXH2;DT-A;Bl?o+=! zWt6WydjM&Wf`!V?15d&|_Fi}LwLUmi6q*d>E$8Vvj&vfMbN`a8d+kZJ;CU7BQ>;(K zths%sWwb&t{>FY!`DFd3iEZ+lp;Q7Idbx$?=R5Ce`;Dsw)tISwBJBIyL26;Pv6&7$ z@fqGe!jXt(D(s)$G3*wU(O#sb-$L)Wh=T+;-RpQ&UFV@;(UW4bmBS>_O5=Dc{ zBGd>Hna(!fhAP4(2r38n1G0F9BYs;};Q<)UEd=prgWEe3;ss?@9~XASsdND;83q>Z zR%XLjAdahi)$A;{;l&wSARCn%`OqJ+MlEAP;qeF`G?wy9D-0(BjTe4F3B))f%5fP`$=U5Fu*U~Kq#a@5W81)mIu90?M6;}hW; z+GWjbE6Y-`{dFdX8YHKmE!j5blFEtKtCBNv4f!tlenEZcj$~zfaFF*#a_VP$qOW?5 zp~zOodr#kndseP{wl{!}GRc@Ly4rugxtr(j%B)~C3L5Ht5`W;eR{%>?$ZC;iBvsqlzJmqt@jBZ+ZNN0)nB0Zcu?BbTH&>5}g$?9as zi4gaFZ4G4N6~RB2cfTFiGjlrsLR%o%mMlrs`X8v0H2$|wCvBs!z&0;h;1+dF2?YXtRE(%%g^vbvr5*1X#fobJ1Jfv1X~4=*RYYg~;OU9Y@Pv&+o|?hAv%UW@Z& zob~swB~WgY;J7#6vA1Q;6MMGhpRM=Hre7}gVnuB}&lFu)#STAo`4v*h7V*1tkC@{) z+kDz5h_*8p6gfrZiRgo_rTJBS0&?M}8KwGm;zVHc0>|^|&W~PaUS3LAtj?P7J(gY# zL+UfDHHoFtaQ%}~E-cpKPwhCukG2Z^9GyHQ@GbuwK%5etWgh{P#TiB!1gucLOz*j9 zq>T&0vD{W`@|@{>BfyEmVJ(-)TR&&FcV$AG#xh8|z7U$g_4w51&w69T&g)3V!V z$Ex655l~BJ0WRx2QyVUcJQ67)M^T#sXIEb*Nyf3L?Jl)KfzK-c`=cQ@iv;F`ZduO> z-&pZbS{5PM9W&M$2+>P!GSraW=cN4;Nv5WZ2B#KpUTjDNNE*y*usB@WAdsP_U-ibc z&eA`O%lfQ&`sHhuzI4P^>f@tYm{LmDT~vaT7S+uFa{ns~ELW{5g&YPL zcSRn{0DyJbz4QqD`BQoCDju*0tqx{2l#av}LSnYjdL?tU=KVAG3mq-IQgL64 zyL-{UuvZH{4K$Z!)8f>LPQ+JFcv#q1qd)m&_0j+hI1}|Gtd?0#Q&uN<{%-rS`SEk- z&KD<^mmFNwcq`)$uFN2m-(F3jV6Tl?z+oR)pCR12AG}5Q@P1dOGzMET(j))No5)!k z0E|1B2}lY|jOI!B>jUNkW+Z?8hqr1!jnv=sz;HniO!)00K^Wc1cDNmv%L7noh1{Ni z{`X5^Y5xOVL*YPgc1f#$gOjaHFcFo_|3TTZ$@u5bnOa)`#H937JIj=={|96}f+DDL2Q}6I z138YQV;7w8xgEM0=sWUkr{Qry96ApHfZ38RERM>_4mq-cfYIjx*^Q&X*hYH)+h; zmX3}_j0tYm)rkQu{*NT2d@=<2`%_7SnNt|_u&Ly}vt*H2t52Y6{78@7L|vC|PqYG~ zy(~yCkbM`rFaM+6SQ+!k*#6wT#6uC#Y0SiK9P!2SE?X9G0AHUB2Fj-vwnGlFH7?Jo zbJ4mo+;SU-OKLfdfXNZ9d^1Ho)auL_5*r~x_nro?;d$Z^8t)p`vPre zMCUs*G1thHSKc)%(~akIHwR4rH@h+a-a};&x=yT&)%!uL>a{K^+hX!z5BNv(K<62B z_?%;qOW%3dWxz1nV}U{0xVp}@$zhx^Gd=hbb+C8Sbu8Ob>VI=v;UHy6_k8KA6e>Y-Q2H~>qc}4MQqDL z+m2}hhK6bq?u72D16+=TF|E%<_|WQSnLj5CpghUPN*8duI`LL$5(RoUO(p$dpqjp? zUBxNMtQ|d1Ac|1MTL;PbF=szl{_a!qk^R!I@mE2K6jmkNxOx2N130Ak$_N5R;D zn1_Km`+h6@x1O86E`V{SBy6ji_^~IJ${;q zuEEKwaD!!1Ba@AZ96GEjZ_b?Qje8pmK;Hj>*2*BD5OOtJcHekZZi5EpMhguiieUi^ zfGU<^FecHPc609nGVbIe3Iq=mH&utYhm~zoj=`ZijxE^E4)~db-SMQ5-w*=+yQOAh zU`5I`f|?9f;gUkd^va1BkARMUzunC2w(=>LpzCgb73Ad>(7&PH>1ObQ+p;s--gU2J zua1G!WCzRL6Sb-P8coW?v7A>q@H>#>?%7eoZQEPvBVhr#t374usm@sh$>){+D>_$n zUsR^F#$9`9;zC9Dh{WnNy4+5(<$o*;G^A!VI?pz2RjOp93$WhBDQ=0!O z2nAfM6(35t>w(@3AD6+$yphTywhNB?lFx<23Sd zFL(7)p~oXmZG=at*s2MpG_mOn^ypR{&8EA@%Ui)#@lyJkZ4 zCSUaVUNAhi(gmqmubHeoE3U|z*XXiyp8*OvM)lQ~AaUuh>HWE@ULEw?^7q0}wr8Zd zNaSr@yJH!Fof8qiD?$$|UzlP!H?tF z?PLj1%4;vu?_BDB+%oc~bZ6o|Pa;WJWdn&5P!rTdCoBdHq5>n}6T{pYLbC>47e1QwMl@cWXS_NGVWJ zwH6fvd(hqLCG|%2=S+~s<%hd!T^E9!FB#gOKX(@eSTr*72YFmbw^+z0B8E^NZP>Ga z8wU82J*fvxxehd@X$|AtRq2tnt|W?qdi<~HJnLX-6C!&cdJH3fe)P~s`C8%W8)gA2 z6KYR1zS&mj+6b8<_AE`EpBUcMQi>ComIPEZQf#_Uzd4JZS>^`-al?CoEXr@ox$NF) z0^Tz#`PjQ_GekTGtjDJoZ0W5y%mO+Xa(2W$Nv}F!Q)Kr{4MP9H+MN4y_%g}UjZ54L z=Lvwe^yNl7&&HOF3ZG1icJtWJJyMHxLvMo!KX%*vGoEDtOj8E6#8= z+x*lWReVbyeYfy<>52&u!pv`G#&2R+l7qqs9TIl1Eg*b`<{P3U-Y=q2D@*%1U|ryH&OP!*D^ z(f;egv+8qjn?Vf5+BlVQ0E-{ElO5*5GnY4M_1@ikC97|R!AjsWQM;r!bD-_6ksx)R z0w;JwLB7F&u@*H|H}XR$7{Jq*#v*gZ*3X$L$AJ4V$uj@-O{(V&iv#2h=H2*#31>8}f@fm8)&DFkyy}YvWP{%sA(m zB7q=JLI^xm7Ej|zEk~&iMeX0ZvGD-1U>Djl0_16Sv0u7IM+IBn0^o2XDzu~YsPtms zBZUgm3|5S_JY8M_1e;&Xv&8WN-GV6{jA!a+e-FDj-_>-&g#q~@g<8l;J)t@;$2*~u z9Qv|TRu#!6?G*-{d1^#-=E$?{0lVEprQ!-b@iD$=)CYOs0x&fJha#(gAP~8quK6Vp z$B%VZ%$UF~Khja(ju0y^O>8DhRuy#z@zBF81kpD(oeaON7?4Jy9ExJ)LT4qH-KK5* zJ^o`l2Wj7fd-i~R^n{7!g+K09J$W!Jm7QjMHNAm&+&6By{lXsZqQd*7mSCsIvdv$~D+4sDDP~NNdWoN%Ssz`uo6#in*Xm1f@OaviC>BFB~$ z7cmq*7ThI}lR}aMBT0cz7E8mwi{DiMZ@Tk`d43mS@p3Whp zb|OqKVGiRz6PI{7H0c{9cA_ zm{4OHfSLvNdG1>TZtPg|jV=da$D@9=dRJ{LGZli#6TXk>82AYaxL08>RGjBzTjb6! zyH(L+lUu1Ok<+uy@V}G&Wbkf`&%2DytTAs`r8t*1VD!Z}6^sQ2glyu2aeSLBL$(z<|`3GrW>lFup6@7J!y$6e(Sm0US5hnFmm!#Q*_ zSe~nliqyJqqI`{N+_+P9zt(PZ0S9fb2*X~*$+lsp2L68VE!g#B(k8zgY?&#^c!vVJ z&M?}NHZLzsprw;>Le4XiJqfZ6UCuI<-N7U5!dJVYA(GjMj{vFo!)_n7-K-z6VaZeH z6u10fM08a%%nNt_Rssnum7=K1F8IpJ4IgRm_g;s0K^L2K;E{L*{>A9`1GJu5e81)$4;46(UhKKnJVgdC*vI~(4#3(xQ zn&i?#CUmFaqfN*yD>Cagut3|^Qfmb5&1IG9$paQCq)&@XlyeFRGO;|}Aq2*7AggDz zPk=+CTa%4DCjV(FT};Ben6{SL&j^3Y8%sly$&@d~&jL46x`7AWHmi)DB#!92DdL%K zUELFva~y_pPvAZv>bF6bRWtfFCNO>uuUgL+c*tSdIr`wI6Tqb9og;?yLN8T?`oS9@}tT zYCw9qy$Jz6ugUal??%o4ws_*aJ?_b#a`Fig0d^{h|$K%-+kCHB;QhvZlEJc74 zX|wBh#Oq@?($zE#(vIWcziN1{!GU^zqRntlvP2@&SpFzRl6$IY{=x$$Z}M<<+fb7Q zG^9ra7q5|!9t=!yn>Wv<4vaJ)KxbZE6yM)WedM>``D>h3FIzX>c<#d!Eo#*NK+P%v z{Kj``B02+r5OnZdUX#m;calb>f~>%0Y|oUBGI!kuDCGp`KB{E%V(xial3`Ub(_BS^p*XaZIaxUs?r;cwJ%M z!abes?fhlruhG{-{f&9oW+R)H;#-<7K=WLIYY=KM*8GZ_{Y*Y?I+U$3@A@=W=vI5F+KTFw)ZvcL#LPj`7bPt_uHsj5P8CEm@p3t4G z+0v}A0G(^8PU!TZKk^$#fa2puQWLi7)F)D zNrURMg-RaR^@mPp#GhV~mI+2Q)JwRfIc?Enp2Pmgn$O-p{!XHbI{1{Th{jJ24&NokG|aPGLcK+EXkYnHZ-^}!6*1$0Jn;944|7$*jQz#%A$^^qk_Qz9h3O(q6;y40~4vG|e zbI3KA3bU-#`~M(yL`UtQ<8!f_2u23YBn`+F*d0(jFsOKYa)zVJyBO=2MEc=Z60&^GXZ{iz5fG6(xry0^>RHIm$JUCNl%{DCDTQbYAh7H zatHdS-1Q1SBjBZ_DaErt-14p_dgc@>ELA?NBD@G@4{5wLjU70_NX+J~%e_Cthz4!n z$gJ@)KaY5H_j~dMj*#L*fi5S(m}oo>M4e@D=!nrKd%n4wD_lV=^xExXEb>>sgKVzRJixdF#vs z@Pj1e+I~o!m4784M2{hlUXWC1*f}U#0+cY{SOUs{w<_A%1(m;`Cf|dUQ&7n29B+-} zYrd}XTQrwUo@n(c{qGd5uVE?)!RJTM)l#%P6EoN%@hr96EIoI+>2D6_^r*%kuP^u$ zgM(q%)Z|J>)3?A?#TfHvBPK+mxX`XM=yyfOeP^ai;p?{(gW=0+uNylFERhFRh6z(P zB#d`=BftyV_$wb?BxkpiLJD9aG#6C4*{>5o!E2akg4>ihl&=~@HK6e>r*E0-*wT}_ zcK&P(HHp&T>-ulBNFd-#jlD{KUE9l8iZA|jEU1CU5My5tl@8iRGxoB)08V0vb-yF| zQImKXv|Z`|ZJ!W41gI&dbk{=4;ExQ^$}R;BMW&fmR9Y1ZK_vf_1)YXsFkc)EpCf7* zp2I1gkJ#35vfgtGj{)=?zFZ3Uf?qm*VjuwNyyqf+YhWv%Egrqk7+&TPs}?VvojA@j zf2sO`g?GO+e2bJ#GZu|1JbZSt5fdSo=nh9p1rPxHu^~?YLVt+FM;nZhV-3NcQViIU zebT*wVdX)5uUe@49pIR6%6o=`DM>dpqOl5Rv)i2+_)Y!IF4D_#a|_@WhUK?qnLb^+ zYWca%FJya2^6I*as<-o&S=YrgnJ709v$QYekB(^B{dbOTFB6ir6JQ?6J7xS9(@NO) zdHpq)yY8t(;^#lA4L6C(kom5k0Z6S;=Djr4RO;8^m%~z`v&1xL&`!*V$dAHp{79Yn z5(2Q$-SVah8n+nrinDDqi{9rBtxRx;)i_lt5v-JWfHdLcJdW6i?onMRIMSJfT{jIC z)3(RaF>7`Q?q7jYV_r`W60I_1fK(diEA#8;E zuEA%cU$(yft~X4tD_4+VIaeteFDK>)B{JIzZN}lPeZESqnW+6L-fxdMd>9sgK}CP=aVFOh?}|R1H?qqglWd%+ z$rg>mm})cE6uce-faYA2R|X6wscS42(7WRMX|ZYTVzmA>_7=sC(JX6~1!A;nj~Z@X zXOyRR&{n+Gyh|Cl0`0#~kRJ-~ic1%OL07SW@+hBrNS zt21c6eLSY<4Pg-HFnW^P=lNNw$WAm-jP2p2g$1=ARaPfr|M>s%bN z$?nJZ9f&eUJNiLR2pO!!$O^9{z(1=tks=J&4Wxpg&Pnr?A<6m#w^O!$GhlWcUvtPIw%v9eA_X2 z19Jh;)vrgPSWa$=bizg7yF$q_P1kyyJSt~&@y1N%UGYIj z++utK;XSKXLq=2~QeR1#^p_bA{l)jrd}40^Bz(h z{t#CnJh`e6pY&lb@nvt|nbS?b_KQ`XKQfSbcME|HJkD5Hz>ll#G+dGU;r*%BhY27`<9?Cs zNPXYp6+pv$#ugn5Cl8U*4285?^{-Thni#&+eTS6h_|8 zh+0idqF-p5=!D0?^YY%mu1VigW{-iOAeAPnLp#*ad{f~KpmlC0&>&>|7`Yr8AnZzs z3{>CMnw+H6lqVXB1k1kKd1f7Z>sk%lqxwjy26NAt z%e^vNwgZ=ld%N2h@bnD+U1fYbPn`r1HY9DbaB!kiYQTQf>biR4p6XpVWlPFuMtg|J{{S435oA0I?or&jOIv);K z#4?Wel%(F{IjEgly&W8F9Nl2#yFTjT1~b^pd>bwZykAt4XX41vktnE1qS$c~mK!d*bvGq}dNk=jYotV5S zs4c#6vzY;qj>ujwLT?bP@bql*k40W7_G1Cqk;Kfl+-@mD9Vji(EE@=fv2;dP7%|ztZl`&XB-7NJZE3X1WEnKULFwY zSEMSB3Q<1TWno0qfC+Xx-n8W33BZN^PYNJ0DUTd#o0+mHrE|-qkW&fi%0{zH#4_C3 z2?BaXEvYyS;#Lo0e5dGMpk6?L629B_bBYT+=BF^FKy>>?6qCmO%ko{i6E|SNG0co} zjV$wvN%WP_fygix0788)X>yiQ`LqZpdaOytW8q3dq}N}O zt)O7X!6U7HlRQ^BQ1XE~aFHj;QiwF<%G<_p?;JLE+m;qj8 zvcKJ5=^VgF%AAN?JHVg!?j2Thxl54*C0S9 zE8W0;k((XK$*blsTftDvpuM8>VtJE5c#&027HF2{4hS9Ysm85@C0aGt(6k;cV|$p$ zg%Mk`dE@6000yH|@Y8ueQjYOoCGM>q4|(i)^@Fd%@k1vxHr0IY1|V)p?FODa5er!1 zfKCGf(ex_S)OM<`>9wvYZjT(7>vA)2E<^cAG@ooM6FC1FV)@fWX;`Cl3YKV}m`(cL zQPY_UL{2Z0YdZ#vdP+)k1e!?%tEis#_Lb1m;0e9W_E{1Jt1H$VxNUz=AqRlLL`_KU zGK^J(ZJ-nq>{H%pU&UUC08y27AW}6Q9dvcYX&(MI0#^4hU4|#UXTNwuy1@U$!H?H{ z$+yc&T!A@enB~kz=JO`bE23nUp^^h19+lt}w8H1ZRzRhFHOm1sRL@e~{fY71g=w5h z;eKyP+?_MWN@P4nD;!LOm!$-YLVMBft;(-FV9Su99~BR2z=;%7^)4H73izO0zTRsU z*(FJ>=8G=uRlg`D>IcHzJeJQ-5Q136tz*K+tx%#;3o)jMQ9-{aYfjE_b{(R;#s^U| z<>@0b6a}Y>7ne>>&?G3|UI*#s13QducEMefS1yrX_cf;;xyO77 z;WDMv4?Xh~l;U$pBS;PulGHX+srXgf;D~E;FJ!EQpSWk2kh84%qk1eNj;o6ajCDF1 zzSaK?+maz;bsmE5yqHGsMy%A;03_5mrlfLkAc*h8%60Zd+W}Gff7e4y)x{)U38xDr z6(AZ!I2%)LB$X8Fd#^Sb%jMa5x;=>^GX1GVw~n5i~%{K;~VD{)-V4O z$q$8AL*_763Qr%o7;68D8YaVMUS8^jN@e+*DhT(YpBz1j=Es`}@J<5mDx#Y=XA|1; zvrAGp`?)Ube2E#{D<5}L2Y|=s)%yb|DOWDL6@K@L@h5X-?+Ddc2%Jdfd$oFlTq`NN z;0~^?)K(MMe@mv9LeN>>Yi2V$G_|hyOOG??Wx|CEo+UEwQ*q6}{_N|<1P&8|0N568 z4Fm9WI#c~S3uF2;h)iAPf=`CjWz?SCV!)ox73yuXf5M6W!gyvw~)`>{kj z!Y5+Y|2)mT8ajx;tZ4*JcTiDhtMY==OYx=muki-aCpkr zKYn^D`#r)MFfuT06#et_A|>WLR3uzV7l^oBrB9Chq)?!uR^XcedD=eiXj2i@9>fGIQ+dErZ1bMnJ@B zhpxXc13z5sbc@GaX1N4Ia4QUT`b=zA@N)+B>shwGd|m<=Iv1X`XFpqgBxUoyL2&Zq z4#>ehnhjc=oM=SpobcHFqKXU$x1>gmh$bX!}Fbsm?$*>>l-xN26=Y&+xk+{?mw{dUdcqMYd@tRdph5}#R! zD6*6oYTY7U1vKxiSI-2IFzWz^tpWTv>3DdKmO)Ldt@hq;wVlTIDELmPd6r&~WW8AI z>}Hh7iDMMN)1|yFx%o7#k5A+0ENbM9-RXV%)gqhtmM0-lpP21)cd$l!-*O zr;@PazkL}^uaooEYlDw$l|;3cgKa z`^e;7U3#mAVa@MSs2Cnl)Nf9Bg?q<*ILT)SFVeUs7_k5;Eq$H2E&l0Os@D0j-YZI< zQYS{;F1$B0>el|^-v>;TTf+W9131(I-p+llNuFPdm}7isWS$M5APC-<;_X>ZQ-Rd$ zV`EW>85@@Y#*8hFwgd+S4qS1xB@Sq^r4^)R6}RxNm}Pk^n$%RkY&F`0fF&|d=(9(v zU`LA7BVM{J1K{|ko2y2%F#JEmrPnp5xZ?&*+}f;vv=~%kE5H?-U@>?#IB|QAT9Oc{mVwGc`eN+DDmwQv!Mw{a*k7r{k z&UPOcijMldz9hC3u0+ z)D3>;Z?qQa+U@|pjaV9RAK%{&`X&4~Ff2Ow3IKxdT!@;e5NKpXb&KB1H2cl7H}lta z&k8VnQ(i~Ene>$TMbE-3D-bDUHwsa-r9}x6ARbx-W?ulpAGdR>bN7M88DeMz-$3R$ zN_6s)`3HapoKpA^7)O;&g8e}EO^KA;nDHv@Uqd0tg`+do(t&5J*%|xYYT=ce ztHx8&GNQe7b`pP)_J2ERp}otkYGctrX|p(vCs4m{$>|dw$WWBndaF4nDM`#ThJ6{! zIH9SMGiB46<#osPW%~CZU+Sj;Y%Ny zXwU)GS3$ZGWYKf3`eB}daGgRN(V z|7w~7_3KJ7H<96yO0zVx-UW07yKL>QDWS`i2m=`&0>S#^-5Z`VMKLb`b~^8g5ZLBssPx+$Kb5viIU<)Zp+W_#$3&B95X zA+uZEg5Gw5RTNlO`7L(0Q^{2&LZ{@!c<7_xha%am(NuaSM6_pn?4|w3Eo%b; zl-HXS9Tu8iGM2R9j)H;#y|l~*LE}sh=M^QeXm7ECeCg`J$Mt6!vFa5)^C zSWo;pY===!x)8&G1`mWR7hRk8_^<#S!B-Yr?m3Vvc=(9Pv$gb2Az`Pofhill?VTyejT!vN6VYctZa_EKgs3sK* zVa>Q+V;kzZwspQeYlkwIMGL?n4OU~h zwW?^q)fmlS1Qud+nM-;1OS$(9m7`kT6T++nGGOAfG#780>G8U=+)YiqF)4;fc%m-H zZ$77qUGFAe54*Ye|9xF^Lal#M??5fSmYn<1b{*qS_I)%`I%r1nLAu2-B|nk(40D7bcSbH@tQYir#GpawV+t34yR5 zZQ8F|`R}YD#Y>i)3084pW-4>71KzDJPvTUjpZ>xcEy_{xEf{>aqG`&A&_)li;SrS` z&_(4=(7$Cw^&{ ztwIZ}G;2Cw{{xAb{8bvY?xpka2jJv1!uxj_u1n-8S;tM3R|zm+*A`Q>j3n2(RVmKL8>55c5QpqJWNI8-Inz z8C?a1)1oqG4mDU!>yDpqR{KADFxQ0PbTJ_j^d1SjT>6pnKRXl zQl|CLaKBdC-3j4fOR~*?a-%~))|dCs>oXYc(mR8d7p%N@+WYtAVw8K%o3UJa)f=k@ zfj@ZLzhB(=Uj#&Afzx2~ns@i>mo3SPtfe-CiN0|YR_H73n^Pva-KFxiYi}C66($U# z_47c8k22V?ipjoHL(W~Q*Lmb(8|?ysG>jkl4(KjF6<{bOc^4_HsrBEUtdsv;*FGK3 zRXs>Ib>glhpWpC2E-IAuLfp|yvEd&A7#q1{ch~NHs)1-q&d>6VWU)-YXioHa@40OU zmj4NRadZDxKJPDhoKoqQaFDZGy&Z3l0|#VuZ!Ar$IJQxW>{RTu^moH8iqE8 z3%s3D(Y(ss^07CKmy69g+Vm9%#xNw`^oxt5zWrOGOa>3Ll;MU80HG-g27O~)M6c|( zrE!+y+`rd6YMUoNT$|T~!pMK*h1{EKRyMXYh&$h@RcIYt={7AqOJp zaypPj7hnty6+?n!#!240*Vj)e+SLQ33G+!?z1J8@TCDCM4j^Lk1-*x#vw2!MB~jb% zj2W(Zap0~)2sE0wn`C4qPOmhZ%L&mg!`In#Of16_T0-+q*JDtdzpNGI4d^|)Zh z58Ylhovpa7(^hy6dbr$g=qQ%EUdV1*?bH|@WwJTdvCIPwb^%ys8;S4xtU4yc6i_x) z7UsFp`=JwH(#tZl z4Zi@_33xIN`1v1p2JWl-`U<%NfI6TfI6Qvme3pY8n4OLEVaG+~YxbA`tO1EYpm%i6 zv4NJsGT^`JQmETN#M|;1F$KU5k=Bs$+9{No0fHTX!hT>1JrZlKuXII;27JF^(AB@tb)yFX$3B#GFlj!wn{A>S z0cu~W2>{hrjS{bxrEL6cHfP6C-64JJ)=eUd^S?2=K!hpiJ9QZ(6t!u2-s_bV1XRZd zk-?h5xo)B^#}$tWc|1m9q6Q!+b+FpnGH(YOa9Wm~s064xrbwu88cm9XTs846gkCOz zhn4%RQL>*uim=upG)kHHxXi%z}%52^xhO@TW2y7 z>MYQ)2C=qCE4N`Xmh#7g+5d_0c)Q~kZKWDSk!1#-b&wtC@fZfd&s+SM06EQopd#Y5 z2tiz)cXZAdOR0RvM#7Uu^In~nImvk#vZnk6BM}4m2aXDno>*TMqI4qjd<)JP02e6} zKf25qXQ-i<>Gx&bPLz;4AEtu4=WX6Z*u zjtx)knh-SJ5c+9DoD-2gmvtOiwv2AQ)rgAZ3&|B4gpk_pquOZY?Wa&Y$PGCs@-p#n zrhUull;O1|b`sfgNtT9Y75}IV@ba=81!iyXD<^AkhU`*nT`JilB2tiFpOif8vRv z3ZK-XS4u-s+=n|?jlVDbcp4uQvt5^D5{&>ut zG`se^IrcT&DZ{-1y=Qe811?1^!-bu4r)ownxH6XkHSpdD+H*wx;*H=@J7B6FUz-Xr z?`e$!jP;b;|x&3RfzzGUe`G^LZASvkTje=u5rP>_lC{aHKKe#i=hAfojtim+YvqcQULV+kstM`B=Z=(!_L&2k76o^Foh{&?|A4m>R{K{7k z1)Z4%fvfN6N+K|;Mzc4;K+9W?PCL=tu}NVTr0;RS81$m>=(!%&^k|4Hk})iL#6~MJ zc^y;}DGh-|@?S9~Mw_FFJ5;&%!*z1XD*SW0B&N3haK!MFq?Q)9w~Ho8p#*&0xpPQuV+?s^1n|-;+ z>2|K-t$2}n`SapuP?zhL5;v3k6XU}wqTOTD^BZQ_LOxNL#H5o`hdnUfR(ZyDF2D_q zZq*nc(EJj0bU;7x?IOF}w)Y4F3z4`@9p?ouhucdN zf6R*nBA_1s*}DABQqf2O#vG|{$VowX1K*J9y6>62?(`*=SpO%gH@#4r`ls;S|I8^ zg279t3W5~U>@(pMZ*;YyNlpuy;4#{POa_SMt=*QoDAH(DU5d>v_O_e{t^T-8 z5{;bfXi?&|P9)gfKkAAUpom?Z5Hjc~NmEgdvX|Ko;O)rJM8ZcBO&BRLGJmhcUaN|K z4=~DG4ab5?LCZu6X7!rc?Gt1Qa{IQ#NbNe|N#gXUn#pAU`kUj}^)2th!`9)i^2eT@ z78Rw#>kTU-+v8|{S;2N3n*I)cZe0fm^0&c_$?*EeDoXsQOH{9=G$+n_K=iy#-armK ziYrLj#%U_`Q1Q3V7Y;YAPv`{o2&bl9Ig`$%x7UmImmI4nF3LnjqGUYMN1}#vo}m2j zYu7!d&Gr1)PSy!Xuyx!S6)JAkM~9> z)B~Tx1XCkQeVVZFCzOl1m8bxzsf5!tp~1DcVGJhDDp_xsf=#%v>Vl2H9{b1t)XhkeE|9+zR8GXd=|0SZe=yUjHhPm=dTE*#w{{6c!(bQChAprfCG&uv-y=x}6PQJ-YUsL*F`klD@O)h?|Me zGkTNx;{1~E>TViNu69apQSlCB+YhZQuApdiL$5mbe0H;I659B4=($GWG@YT|noaDt zAbhH|@NkP*nje6XFUh_=IM^@R-K7N~(ZIH)g3)w~i3v*;!$&JYfXHDlBkN@4q2*F! z$#L&nq_yrS^ncX7NP>{nRV>aj(!DQD7p`9DZ2e8J*YtNc-A{1=4X(52cXOn_NQXJ>a7v8k*>*h26twliU zjWb)1eS$i-Ii%re^za34~KG_IVnP?$UxaxnL!U~? zUL4TcFXEoSubQrG0q%w@v!w$3;O#D$eR6Q^jNWHM8P~`#iP4d?CNvK{tGDvTjneTP zufscTa~~d-nlfJRADWLqD3!KbEctP^kneSiWX}mN<_?3vPaLy4v(Vqj7{P;hbb zYg)^qr9?vzRU`dN2(P#Mup{rTn_Gb^55M!@clqLpdZkouOK;yY`{DMJr5A+ALA^yl z0IRA5cF67NY9mI6Xc*#m5_vw=qR}~GC&0;DH#@^MGh)*n!K6uGKYO(tD1jyf_2Evv#G*3*4j=p_P`ppFD49DnnfRf zCmre*bb!bT&JaH4K*7oFX*M2Kp%$;Ps~%G(N2Th`=sVoE(uI-!2TD>66tK)_HRhML!Bxb}i_86WQ8D^0=i~&@c=Fhjt zf5N343#t7gr(J3E;40uq@Sb^SIEcfB z4ELGDL++BYb!G1GNf~gWZEBPZ47_P}V0ZndLqN(7CHv26Yy+U2WUU_#X9Rvt$U9-> zRASyTb2O58>|=0s<&C%klm88G=y0h(E=QA_@T3UrZ3I-|R>8!|mBkCdjs#KVm70#6 zjXbeA_j>5H@>SAgw-gEviNq;+-!s9`o)Q$A$923ntQr2TPC`ad;GNV)K-lo%j!Ay6gC7hdWe4#FG0Un|_i0y2tkn?D*;LDqtq=rqEQ zBGvX?-kz`SY5fD+t_loi8>YGSgimK~94GGbs1V+hqr^8Pi|%26V!`9@&xLPDiNBP?i@A;7z*#6)2N!k z(}cTQ8f`spYQ!;NIgW=I&8;vyQ~sw)SCF>!OJbGkKbIx4 zPxu&J{N{2^sG#bEomFUcN;s|hp}ur~__Mu*UC8;u_jMFIi`4CYSK`Q%siEMZnupV) zY+1vmZ3QLuaK~Qo@rQxyBgZHw%1W~ z(={n^#_5IKYJF|Ywj&Nv!0Qh;;^W@}f}@Uw5v&al4S+k$FL(RzJDmZa)B~U99b!DJ zxN`4pnsnP{{tWg21+y3}9MSnFgC2c9k~Nz$Uy}hl)=QQ9YZAH(T%&PsUQxJzXkH&) z@nrKJ;YHJ}HiN~EWhgjC$GzbJnh)P`EW2KJ6z4qSnC6CHzZ0k0Ef@B>k!o9b@4Jx; zbHusfeSy1+T;6fx%mF_BkxB*Q&*had4UJ%A{*Dm9xH0`7$HQ=iEC5BVbrBa>9e*#G z*!N51=Iy5$hm*r|-Lt}zpyAiJN{$SQB<}L^IeVfQq<0Gwmp>Y#tn~<-?GKtu;Am3Z z>&2MgS7mlqjcB(ZKztCBgUA-iDIRiVn37lmFP)uPNIb+$t~UhCz==t@J3Z?ZZ=2Fr zJ(i<%j)rHxRu08TCkSk>8nVy=Q8C9OX3kDE$ zU_;|wv7q1mEo|{4YTseggFT0qEy(JZR%?W2uCGQ^T@Z!vR|H(g$;U~>(jHV^zFVWf zBYi}Pz?$B}0x^RKirnoRB6sv^5v70Y&pW{cwU~v)KVpGsPUhWnhIfcNKrS@VW2WEQm0kFZ~0v8JW* zAmhb6Nz0~u>%`%E*4dZJoP*l6Qxr+Y#KfIZ>_CLi2Ia}&KVW<}T#iHOs)vTXsM>5l zIS)Q6@Fk?uJ8$k16cMu);Z4NhBUM5~xVA0xghA$3tr5J)Q(UUVKVJUrG!J}c4+2~T zP!y5Vhn^x;2?;=JXW1!ykZc{47~K%m`ib%FBus2Is>D4=A0g5c^%5=IlW1h3WnYJK zVHtSI`Do|HyGVmJKG_C+<+YM4Vcf*~MKECH^3y;#^dB|CD)!H1L_mZ;dy*!5mp()Q z)(AQ+k^6%j(fT93gAM1?8YglUjjW6YLSX`iojHz#+(uV_I62C6I#P>IC4ztW-?8Wz zOHb(o|EK)B{lV)lmp+jgECmG^y+p=pAvN~*AmO>!Wf??VJE~*9B-A<5eD*i0)VsAy zu{&@;i|=m+b-L$MOv6V&wb$qj6kE)5>HlcD>xsVMT{|?`r8_PX@%j2Xa33-f+KYM2 zWwEH+i{2qx%=;|++)Ij-%=`7N zQskAlB*1i_hdX_?+uP5@6MQWU_j9M=%*qT z4hF*>3A3axTao#wAK05EUJLBB$ZHT`Y_^j!_t3;3n)5freQFMt&lW@{FP}|Htp(-w zF_Gm?&!Z}T$UEfPqTd6dB|q3x$$&|<$eB$n9+L`S=I1g9X$X2LtCrMGTy71y==hmM zj=dnjh9J`+yTTv60cy%z6rmno5peeC+AD1fka-bCWyAIQS?zIMKmm` z{~0f6zk?SQubptFbdi$*GPvpa+!k^S-sOpxOWmmSoCzkg8I z{I$ir>ud)CO@3IM`p&%?YxO2a_7|~zdeD6?3*Rr>i%CF!@qTFguT0l!N2#gfq8Dd9d-;d_9EOBM`7*48b(=NxY)XPBOVUDye&~3&{bAm*{$dZ z{kQTIz6kFE2pFACUdkV~G|9j2p~$v6p7^5w!lXpzTO<7qU>iHM5%WY3WNmtrEj&Xk z4CKpjcE6WnJtp$%$`kkZa*=`tRiTiv{4wvd@llOra&n~nk2B6HSOxcK4WTxaKr>83_Z& z!|O7HP3H`kTd@}B!>=`(;S+JUo%K1{bUQ2@_`LV@oD!t%{yxFN>`zjb~T?1@gwmx-F>5>ITqRefG zo!JX-Q%R^R#{VsHBJkr)$|JS~$4e4)dqn+Gd_GQS-bqc#8whB}uRHFUY3Q}~?OjEy z@K)Izbo3P7){cp859msCKKS|~cQL@e@p54pvrG~%5z>nm8cvYO?f`_ETQ7XhYt;G$ z5E9+~FIEVt_o9*k;PuUXYgc-1dkW*2@<_`L#-wQWV6ju4M%L}`RMSfA28P2PNcEub zjq>hO+t!bFVG5uek`K2%>B`efqPWOO*18wPDl56#(j-arsVH&yNeapoUT=%n5Rx$WFJ}qvIWoY zm>*1FAFVe@+Ub+8Q6iSWxH3ZfM+XFCijd8v62~?sWLzDDTMx&^FGFBq}wBFYb=m&RAZwBI5gv?K*5?lLOC`K zyK~NJIVvToT;d%rc9oSL8E{6@AC8{1*=%NtEXc8hAmLPEUS2d(?Z< zL4`PMoaFcV*7occKmXD6JRul!^I#Au7_RfTrtPFRy?n!yTeH7H`agciC zW{bh@O`k*m()4w_b8al#Hsub4_Z9;JvRy^w}29DA7He7I0) zt*FT$E9FLj<3Aw%Ds2r}NeHr)CDn3$dex=8x5|@bm_IY1CzIZ-D9d&Z;P)0x%2LzI z1Y_lX{<$>^x|5ioF%j1doS#}H6tuZ9^FRO7@Aei>HxhCI6$mUFKQYPYiurzZx$L3) zu-O>LUTWQ}Npz!a#7e+}w9uQ9(3xqeFWGS`MAOH(bGRN2M>VU&hC#K*Ktazno~z;} zxbG(JYQB?sDMRCn^CWng$K#YFOkIT0_WaYKseF=DvcjK%;wZowebhDKqXig-B1 z7LD}>qd))F^uINC2M`6%QQJ77W=_6RzB%T;X(Y9|>D*ByMR|;ZN!^1`7G1*|hdoK+ zn@J5^dY*IC0n(f}IN?b?v;!0t{`VQk2AqYl*CQFgIjUXQv_JpX^s?P~$Ro8VVpoyH zeDi4@lO7q0k+`rO>M%%hM?CXKI@n8b%G?}**EBPA1f1iJDW`X#Rv^yMa5ox~KbtCe zz`(^rchQZX|IqZd3|NA2J-umOXWN!?R2=ioeEs>fJv$E)GaQ`#O*}CQK~d^|3KB?* z0Vg>CV2|^~F?uWP3~`;qJ!#s*xFZa($2s(%h27Wb?@6S!27mw2^&Qy*zvDBO9nK>NuQYiVkZoc$x!H+4h<&y!1 zdf@$O2qg96=~@xg|I+o3De`zEbjMs%NF?%mU~|&EQ@Y?z-MssZ(;ta4M?LdW-i09v zUf_Y$9<;wMaxii;xOb_0*asoYV0S;ADd6%6Be1JTO#jpMY~iwTjAsvE4X#WDi+2_B!CV$uNl6_dLva-T!teZ z0Hn_&o-_BekaJMgi_}o8P_a4Zk_Y8LW+U$?=bkCX%?MK9V<$A$VUXDkp5w8g5&zco zb^x%$at=YyLs2{I1mT#HGtVNtJD!pZsrEqPCnwt#;6^UTsEG@qJDg;Zv>m?P>L;Eeqm#x67^_HH++tm$kWRb{4@wjO9TcC`0oRkF5^qq)bQG+N;knGVSWelSEf?5C75a zS0jw}=xG_$fyPKY`&Wn6SoJ)`7}@APwK5QS=M|o=;570$10$Yzq+yUBqByMncRY;4#u2N>`Sl*EH`t{C%q`=7;ZVKO|%UE)9j07 zvUAAoONBTkvJH4{Ha$yq0=tOdg&mI^Vx!yYxgcO*^GWD|0N`LYeW}EJq;PoeO~`HB zM^dNmj#oVY06J?hKA>&m+Nt+I-OvBj>RM$+ATDw`^Ti`v4l&OM=3L^uRF#qH+1Nb& z+=U-uLt@ z{KDsH0f6fd)(7$FKRi?*pVXf^9l^5FAZ7z;j37Qr^}C(}9)}R>U-j&R7yt>Rypaii z|IhQISG^n6r-z2N$0(Bi8OIuScjsBj^*^;d7wg||-T&a%PV;y8k>&23uun-? zWCs$0erwTw2mliQU=~II5P0@mLMSMd@E;s`pn4<>pavsJX(>r)jBbWzvMa4>mbkq&_C@3 zHr>D4^cr~gzuMFa#D8y72-WY}o^pcC^#{`&bQUmBfpt^;vX8MM0!06o^B~ty|GL3t zkV6e*qy~Es06YfkKCse(VGo3(`h!6TK>voRseW579^|L_gOfo_OGO82007w_hEY-d z!et<)r-J?J%QYYdSbnzv5yX%`cnZW+fAAuRp?~lOh^blr-7+*FK9K7m(Ej0}J`C3X zhv$IK@Hc$uZI{11H*&;U68U?nOI-~`L>XI%xXlZ)kddxAv)_}?)1 z-!RYLFz??m-`_C*->|^nu;3rNJp%6~^e@=};N&0rGmw7jZ*<|m;nV-Z=OH40Fa!vI zi2lI=5Dq{L#0Pz#46H{S#0Pdbcu3Ct;Q^QcK;n-)O)vmB`v>>ZfKTyXJRrXmNIw_} z2f=z|K-_y!5m*-SHy-6b7zz=FsQkggpwU(T=yD!>Ce;35eGnf6(>&8$@BpuyVEmf~ z0Hd%&oNWB!eL~5MddNX<$^}h!&|3~p0I&tHX?(Sx()nsZU0}JijL@r;O{x{BCsYE=J+Rt= zwdj5w2mA-)|L>9qMvDAJ`FDIk`zQQkfqyLU|CR-$C8Z>lq@B6&mH6&N{H)UIS-sukd`zx|BH=}4;)iv z&qzti$p4%49}(~$lm998zsv`KUmhfA@&iBTz&`^(eI&tg>cAg5@G|}i2maJw;XmEy z{|~}nH~$s?S62V8X#b(me@YyT#>xMQ`ftKEg+e)aD~f}Rw*MyodhZ-013;S`(Ba^D zz;|G+U;Zu_|M$Yb?R)Sxz6hQNGW``FnEb$FJn$3`e8XQ&1JeHI@K@6u$Z~L&{*miC z<;OmhVnsPj5uluxm6Z8k_soMg8CU@*#KF7nAZ9!e5sWtvZUV-CNqiNw%|ZHq4hMEP z@Yw#M{{{!X)PWBLdJK{XSs8?ayzGD7XZ|Yoe-NLCu;@Q8p-Elt{=4;5c}& zf$|*qJfK4eI)yBtcn2~bcqxCqqyM`%;{q9fmHqD)_=oQw3;bh&e=P8i1^%(XKNk4M z0{>Xx9}E0rfnOF_`7^KZ{1YFn4*q4D-z$H=uR{mxfZ)M?a4j^=)%=r2?CkG4IJ!M__we-cMh8EB z@-*bx^U&y+m$7lL;$J7Erln_OW@YE(zAY^)uc)l5u6ft;zO@bC-qG1VFgP?!82L2% z&NyExY+qy9q{^Fqrd6H3hF~eO%0`n9q0q0@;unjV5O$v zm!dtQX#}%I9Tkucq+`>HN-1fk7nCvn#(vwikKve*?3a_P2P*y2=)b2>(EpJ}|5E5* z`cRqxRyx27NQOfA0V=T5uu@S*!O1bWb;Al}1=N8bMVD4pti3&W!_dM3D)+eSH>9>- zKh>eS)-f3z7&Uw0(UZHEVhfW9M8D{{wz3mCbvHh1ia8NoeKlv|R!j%Za2@@u9kH~o zFeTOz^s?-d$-6K`-L=*e$2?hXnm@OGSpB5&*_AnZ>X$7MS}yl|E){-tXf5k7Vf3i% zY^k0XeeY_>!=>D`>??N7jXr%#9Pe4Ze|LR@-Bn!_Y4nV|j1wzRlo95!e2x(=CNek5 z;vZwf8*kpbm>D$fiD9B^{wZ45i^WbIZO5bM!Zx_u8O4#8e0AYNp3m1iLwe5L5Zkb+ z@642>5_t&NquTb2hP6S}CeI zvx5WA$Lo%0b-(cX-eB%GtgB1QE|K?JZY4_!gyhuHfZA(yVkPFdA9^z0#_Z>vziq=8 zP*B-G-{qLD{CX`#9N%cNaD7V%?&_-)B$g?z6N{H+WfXV<&FsUNmOczp$xqbwChrhF z>REIzZdISL5j3gAXq)RyeK*1kGuGr^4)V16S|cdz)ctD#jNd2)Px)j9f!6FTcv_+o>-LtfF?Qh})z=|Jth{gONq?ypqU5L}g|*bLxE z@ByZ!PnMk;`>a333dN&V^~css;ppHXk^H`DqJ@ZRx7&{>b7EXAd%Bjwoe=dXb}c`t zHsAHo;Vo7z>)JCI=5zaUZ#)8m43X6^3Q%OpYFqKB);CXb+5&Pt#w+OJyPQ{iaB{iV zYG%UVj@mszh0BD`rs{RVgoK%LC);z7s3|^NaJ5{%wZF${Q_)-(r$yHq;b$kyS5@P( z+;|h&>)s5Xn%msj+7nx948bW6lwb0(Kl(h-C>|R&5m?yy<63t@#Dlpcp%UX*U4zZN z2*>^+@uFCR0(@6Q6_Ue`JxyFAunxxiS(w^S?hB$yw{_W5#LY3dgZ~^Ylk!tR^+eG~ zCZgnbKGa45>x~F03UH*jr$|3EQv0oK;5s^|nF1^f;aylRVE0;|?H^OVX2R)#*nX~h z4*sa&=e-<9)pq+Zt}}^6IIo2bJ~#d7@l^^SJ_8Huzyxo|mFeC&eyw|2S0xp;%9J!* z`k?XCj7-RmK-uY_~?RdC9^-zh|?4`$8D9T?X&nG<-kq3lCQU(c;ZfAK6|0aH6{8b z7~ange*Uv=!P~>_ z303H)WR(qf@uj(ME^^hC0zBL$ z;Fo>Qd?qUvdO=%8^9P78-0t{G5-TOE0rksc=%Y7HzSeubZ-B>%`MN5<+33f-V(p|i zl70e_CeZ|VXld>*Gr3vjnu@jx{hZEijH?;D^}bH&v8ckCd?>sY3mXwA{DMYy};%ptOFY^%xtOqMUhE{Q&^c`qv;42vt-`nM?D*D1JCTk2E z8R;H|$2#lK)=I(qb3|WF_^)s8+p`H&vzZw#>_d75)2l>@QCnQtpUmtwoivi2AC`%J z<(TsueNh}XpCOTH{@zIXy~cM@OWWH4?lzGhs|CN!?GUuMJ4sI=F9RU({p8?%xul0p zc@pwG3wuKTn%l#xT*MF6cb+ji2iE(2<5*@_|a8 z0=%v2X_)wye}iyUD2KDnyD&a*yUNv2ILQqO%+xRoUS00d{h>VR6LD-oZ(t?lVqhT7 zdWZsy+;ZD78{;|AzqDA8TweLay7GyZKwI5uZe_DBJNN3$oHKFcypRZS3*6#j@-rRY zbKs&mY?~n}jfiZC8P_b5yZ^xVl1JxphWF$ONYC8Ole=1$XTmeM+tTiEe@{AGHQ~4m zwYVWAa9^1@HsnKDa21dD6wjhe`NfgY@IL$KAFo>F(GrO~!L&7}dL_=pp5f_w^XRUp zJm;0Cd{6n?0C7cNYA}iVk-xwFsz96Ar!W2Ymzyf;qRFQvTneVu`rg|=vDlc3`P>j| zJ(xWA?o8*+gnEC=2&tj1mn*4i3zZlZx`j#{lCF8@MDN=p_{6tU{_bn)J=3=o*>h&= zFXc|hi$?{hhCpY1_FvAOiRvx0b?Z27sQ!Fzv+(7Hw5RsNFIxzS?p%a)#8k_Ud;W`| ztC*Q9LMV(aDR(T5!K80de88O8Ce{mgR?j9 zyMJ4Y$x}GxV>oV+Jrp2y2pctjyy(Z3`4m5^_dJ~=&3lGF2ztHkJ`xa{YQOXVv8W&Q zDHjTV$ZuS@_x;7l@s9x*=zj04TNF-tcyL0zP{A(0CsLL-#M3-o>E%@=_wFnw4w+7V z3*85m9-MS-U#0zS>bAk;mT$toZH2h|(>p|XI?>ioX*t=yE0b=CdUEI~InyXY8@3#S z??u*zoll!CvNKgVsT8kZF7`RN?A4ZK{{C(eE-U*H-H`L`H%8wQmqu#e=v#kxxMoE( zuV9c@y&@fqr(W7WvE_5aI_fds`h;MFBc7YSzVpOR+nIV>rA(|Tx_tQTswzJyaB5n}vnOkNL(cC|##NxqwowID)8Kk$ zYmbSXljbDR0gDY_{(Mu+h$GTaB|O=AZ{e*n%Ll1qsKXs&Wvc+cSVxXxnZ)t$I32RN zSg;}mVE^IqeW!br)bfgTZZsBCAbVHx1;2Cl4_NRDzNj+V>*=s=Iaj^mIn0j-ZS7gR zI-2oL!KS?L`|N}8oOtC3LE)Hjn(jKnl=~Nyx@q3Wp|2a!%Q$l2J#{ws*X1R7v%suU z@Qt6*K2_XxpNelN2ex0`uAaoMV+mvGZ$nzIsqxPyeL9i&PV?&mM>9?uxRD*mAgrO} zs$qqo4u5Y}`aGGeE$zH4_N~@GZ}8jrwVHv;KW_BzZr_@UNQ>(?)w&)?&2)~6A*PuV z@l#!GyQb?cHBB4S#UnK85^5pgKh^ct?(ZgK<+Lswdl8`bl)*JChhyXCy~v^4hn{?j z!A!A6`!yz|d@o4zaKC_UND+K0bgajuRyY)ob8$VPZS1|>ureSVIv?RWDzlt%o-18k zm4mb$`XMn+TM@&8mQI?zm#WM<=vE_58=tx8OpoH;7PrR;&t@koMWTN-kKfzMO`<`I{SF;kqr1w0IQ*@mHIdn43(D0u+6u`X3 zNI|w>YgwzA_$GwW1ml)CwTJ`er#8dOsUu-W(C0Y(o>CXDO zJ-YSH>{%J}@p+dI5B72Wc3HsHs#g+rkM7eqfBWv^Bx648p2%KMw0XG1 ze4aqP?baM=K5iPqCrvA^w#u=8VuvB7{xDCR&g%~j<7vzEWjSZ8&YdiYB+;ZQKZjx*vf9n|)fY7~HEF+CcNs>M#)2v+*f zSr%94gec4E>0cWop4IS+O;YWQ<^MX4t5T%^JmqE1pEI2A-f&`IU=4rF+Fzt8AoWS$ zNLCso>K4nu(b_XQz-6t9Ayv95KhxU+P;ZlL&PmDL%tYMt(5216{_={o@ryKOwIX~G ztL(iumaj`i`rWDz(oriPqVB)#T8%!_jMT6W5B1(^UYY1G9Mgj?eGKsT`L+^)FLy3{ zKi>7>yp~p+3O1T;&2XE&Ha*>TZ=L-mb6uSkkK*RS4M*EC@r7H=duPACmfan9yA)wu zHDK!zdUxO?f!E^#udiD-WT|N?c=@a_p;=|@&^I| zYjm_Pw0C+FrYRyMp<@i$u6MH-PWHQPA{Il)RySV^`#ilVRpht2IB9bd9ihK^&C@8y zZq&s;sia`Q_Y!g@xC0}72kF*xmED=vc64$tD;qEOEr)pcZkA=JTYw=!POOS}1)K6y zbg2DCg84)IgXn9CX(6+ykzImjR_7#J6!NPy9bT@FzM@b+jGD@Dd~yat@FwA?h?WZU)#sr|vO|Vt zvQ!6K>SsGd2dBw=KBTFq=%&=oz?6AUXKLQtx~D93E4vur;cs+Rj$%k>DtXHLI2~OL zEFIl@d|73*J5JO-ni(GyPY+=7{!+_0Wy3y$Lo|48@>4%nvXGz6G}(Ap+;g~z^MidR zqA;P9tjp1Nb9Ks(|8CT~FPgB^t)7gKZeZ5Xg3(=VlZ^g;`55tS4jgX%)LV!J?PfxY zMk#W&V#d7-gx-8-zjJ0ya?u0#(_1dYQ(#$<3{|crVILOG&O5jXEDZ+trff|4kjw87 z-%lG;%8l-u~d)WpTUmx+sA7n^q%VwP?ki%#rh zC|1=}Rqq+D>3bs^$1xrFTGtE)?C?I&>l>K%ed8*HIffA8%>iGj=%MnA-k1i=Y}v3F zVwB4b5}nJWmW)lx5{?-t@Jx_M?bZIkInDt+%sR=m>-H`RvvWj|FQH#SCN8sex%Ti- z41a>xgdN9m+fjy1J{CIC%GpM>I_Bq_l2P#qU$VF)3zYUcU1(kD)Nx8b=ZEI?jb;)G z2KSAO%7LEcAy22x=KaL_i|ieaThb*l)9WN4nvLWjjAJ$*J-w*IpYyivJ$G|eQ-_7G zSYo3Cdr6D^ZcEIv>cU%*S8k`gtM1(HWJXOaTwkvg_r&Z(_17Ga{C-yUolUnPprm5Jha{AzVPC?^r0x6i?(;c-5aj4yZevjJZ|JlHDvs7z6OkQr8qBb{=BC> zI$0WqVJ*QbaPIJCtN(Nyy1RJTUG7Xei{;F5P%OZ&Y0~vD@w}6mH6SL)D!!~LmZ?R7`yJ|smyShzUQ^;yxoiJxkW%p9LhI-j&N>UOZj&n`S?4=ggDxPREvNP9P)5EFa5O+Sa@ zC)s+F0-R{Ai3vWnsDRWl#P`?4%*!ZE(Q2-5+n1i@Ex25(hzUWcf1Ve+^1g@ispqfz z(Zol&CapswdPx-EvA{yc7RHwwEq8h;pMjxuR3eD%!KksGhm zY1Y{)h?|O+T*e z5&I4=?|Yr(oO42vRoIx zK)uMGeO{WMnu>8I`G|3ZK1*oFi;giRzQ?D)kEfxRI2i6KM4mg68cC%S2b^wF0WNIL z&~tEZpBD*XVF};|0_e*nz|WRe>FEc#=z+*-fNsj%_`J~RxF=fL)IbR?h3|1F1o*5$ z6?*ZBl@N58hAz^9MWcl8JiVj?0%F1O?Af6$Zh$tjiOvDm=HhNgbxksm+Bk7I6bJ+^ zUNV-Z0_bwJWJ%Sb$&7bc0t3?n81~CVwGgbA1CAH~z=bfOfKw4dfLs8($g`uG0EZ() zlVu<>o?b*CHD&i`vIZZYNC=%{FMf!8JZL=cXpaAg>FiKEEA!U;W_oY5`(9?M3*aqj zD!Q7=UN1CnDj9lGnNzluNHNScOL=(ObbEcpXp89^+hNXm_pI?;Zc=luljO_n%L^WA zrdd(*rf+s@e}=jg!dI@HGA(Gy(^=oX=iB2OdLaQ?qs}N-=&sJI%>AV+ZxC@lo11y< zM2p_#Z1xYx!ijvLLbD*w+$)X~g;zwTpT)9eX1BgME@~=3ZEl)|bT-YqnCl+lm(Tr~ zx*_(u!%k9ZNhm6*GVcb1fSB1XIouTAB#KhB%sYPMd8+Ap`M&8hk6hLz^;|-5=i8wX zUx_HG`Ru+kd;6OWcXJc1?vD&nK2ZF@WA`WcUEHrQ0bchh6foMN{2?y?%J_ZRI-vhO z{QO%IEcd%e;{nJ1UparpzlaBy11|8-f92P$eo-imzsX<>=1_JijbN#Rf?#UJAB+#e z*1v1=@de9+qv%!73H1Pa= z9Hf39q5nd^((eDx$z}hG=TH1+XAj)UqotvN(bCe=(ZT2#SPwCPft{Jzl^un6{zxMam-ff#+y}v}7+sulj?N{=I)}v}ajeIw8 zNIz{#UDDn@X_DsKa=OI<(IJb4r@jm_JE`j_K@Yd*gb%dPP9tU7u8<1smV7wt7oQ8> zZj_(2zyf9`?=t-$7P>0!CJdNyz2|-JPwD*lnr}@*yVCGp zS1tIl!@|YemdU{z9J#JeuF+*^UP@o`^D?In;cD5X3@_F7o3^)Py>YY44>U0W;1riF zj2YS=W-{n(PR9}$(T}SJBHDwE7MD`dpxuV_5tdujKWh7OXq9l2Ef~qsnkaA7tQZPK^>2vZ-9vZeMUNA=K_zAO+sd#j55IeRmQ5tflPLA!1F_0EZYX(Oj% zT?@)!ZVLjj=XO!ar9~BwUUk>4=-z!17)@(fpu(b7-9A%BE_>8_FPBH?Dz$r;qI}fK ztf+|x(+&QXm_Q7vQP1KuH?5(K8CPxD&XGRKki&VRM=1-F2Z=LX)D*VycxpU;9G` zXY2V7#k4B6lXlN_PnUD+eB9xs3}-h{AwT=hy~gXU2~6KxMxQ9-vl$Q;F3_!#|B8;e zl3=!|?2x=q*AA}8$6f+lrF{mFeKmqcpGb%{e{0Q;a=)pnX{g>vX54sW1F&a$8)An`^P8Tzlu@hMA!ttGGq(Ut4PAddp@)P zb=+RPNVE2r=!>&b=Pp@33ZV2_P@%BoEN+JhX;K{Q!AG~y^$m1s&GNe-FCiAGTAaxK zJtwZW+K%p?%VI<$k>)0$xF*@j_ck*?5?WS6*&B%JQNcXSk~vz-iPztfwM42d2YFOe z`Wl!`$&nm62roqW&fQTT^Xhc8zHJN=9$#x6(%$JRzxHos0DkB{A(;IK4~ zZrvP%GuPaUlX<_AVOaN+Z%73=Pe{FlgEG{7)}PW}v$uy|rr9o*`O&WwyPJhj_08)p zbvWg*;CtcD6lN8}6;4V(BG1Sw&r`dgU0qQZ z=?@QJYzhu%$=1MbBvA$`%b27hmE1J+dFpsxFN%FEE8IplGn9yX+Cu|q5O-dEY?Jh~ z=Ph{fF@d8R=B%qWlKzmiebuR>v$?o8%|TUnR;t(d{tk=coR-I1n|XiA;M;s1KKE{i zk#_2EG+Bi}9dp%Fd1=t}!WbToowQoloA|tdH@eba&9r!dy7F5j%MSN=_8Za(xJxl`0Nfr5}4r^`b>gwP!7SOGBpGV2p;qG$p8#AuRx)ka{9YNW( zWL~3i-=DKFm#o8JG$l=f35NA8z_^#q^&B^;5enh0)GR8z4U6|sI>L-zzcSsGt4iAn zcd4Qds*5l`$7$@=yYoG_wo&41!(%5-;h8^fB&8jzWfrOS0@iVk@`vh{Rex}cR3!9rl~DRh!qXI+yHQ=L z;Wv~$A>D^jpN$>3zm!RJGhkepE&ACwIADUK&u_ibv|QFHw&x0mp*1mB1;HY=(VD>r zX34O2XjBXaC!>uDxH26sX&`a+bK2VxN`J9rzS~%M8K(AijeYf80;wU(^YVQcH0n}R z_@}KEUCU98m0o8B7nI&{2E&`mSL-^|j4D&OT+(q(-)KZebw{c<+Gldn1@hc+WXeFC zJbxg!52Oo0KK!YaXeMpI{r=IkD?}BgHO)M3)RXCzbvivkKkK$s;98~0V1%B>8fx(S z_k45ITaA&QX>clOK`Q z2an>?MB*5s!A7SR7XurDoH(J1qy%TTXt(em3kDZ5tDlZczUEw6&>n8*?AYisJL}>+ z2KGniuKI9kQrCx&rdJj(9BOlw zk6LIIQ{^;&$Y$empGo~z0poGbQJDp^;l+!TVR|BD`;xuzbSroze>kNBUisl)BXGY_YuAWi`Fx zTIR8Q-UWgn7x4N7R%V^+T}7ftTb5jwT_meHTKTP#-Md&Ff_g3Md>4PJo}OG*?50&R z)wKMyY%pd|>3gOnh4bRfuEVl;b2o41m=J6#Cap=yvR|rD#ffREqGomPBw9Y$k^)ez zQqN3*;B~jIlXrwdl83sx(gOQ2iB(Nw7~AkoJwolVd`f>#B&rA5Qm+gL_t@mC6KfJH zIOv#TDg)31sF*E7RRe~(GG!^fEqH)P3hW~@uwz=;S$HOjlglt$)lF~YG*Vi}T2FVq zqPZ3vz6L&W74o%t9KH)Ah26A~v4bmusowK#!u1YAqDnTq(QpmnQ z|LatD*JU{NJFj_!qE&DTc`u95Yp*3f4S9v4k(SF_@7 zpZ6=99?h~QDYgp>3Y1_Y6D;Xd!(!Kipq}`0Mbho&&~LO&Y$Tp3ldR>+lOtVsdnkl; zQgr7fcwnfV2&C{&GV?)Xo%SNyq3(n~sfk!v}|IEE;Y%Bpi{DCvm8y;($@KJz{6*6p8sEPg-faEci*6Fk;8l^6p55 zI%U}IXD2FJ$HF56&TEAGxzPD|C_y=Xsgnyax1d!4Q<+IpE)VH-QEZ==>M1`h814=2 ze~~%fOkW91MN46JF6-Px8uIO&=o>YlF6yNaT((ic1KR^_TBT4z(7i5H?^2bECJ_~3 zjx?l+;Ms^VC!V$Xw5PAMu7@~B5T#X%MOEbA#x`iqA&)rCYR>hiXr7#9ehUtjWtPp` zuMOeOGq`+uSWc^Vv1?fOaP6ULOUZE*;qxmM04CVrP;hf<`|!dD=6jZ8GtUU%4mXvJ zDc%XTa_?yG){*UJf8r^)`Mimvqg5<&&&oz+rf$wsZ&jK7=}U=p z$!))v_V-*bax9DK*h#8L32ms@WQcSlrgp9fA1gm%+2Wu$YgqjC)q`&sKzYE(U{-#! zgwkI<5*diPx5Rurl>y=yWjj>oib3t#QagsIlhN2xd?#}dMHP3^hA=~YnV;SwWSir z=N>=Bf500>nRpPU44z%zoy53ki=4B83Kq&N7}VD5RkSoWwSB==bt$??D!_5+1Bs)( z$FeDdHEMG`!fMrB+D$ZEZ)p*mh3qS5-4h8_X^?o|N#$s5M7p~Q5B6csOk85{;5~_f z@HEb^PxOPsrF~4UUd(d6z@hE~E5@5cML0UT(F`K3<$l>Zl%eWAkL5O>UPD!x3AJ)^ z8yP)#H-Z7}zJN`LJUrnkM4IWC8oJqIq?;_N-3y~$({Z$Ldbbl%=zy58gUU-$2godn zFy7IDC)UC3*|1kU4kb~BvHXn5@_=fHIeG(*V?wW6$n?#k4-+3fiVB`b>eQ=h!xty8 z_QL(o)ZQ0AcwOCn1Lu{=KDji?Va452u8>xIzfe|UrH1}~On^wB?rzg+ǒyplA~ zl}uiSlPU~VjFaMX@E?`Tj(7~?{f)jftaQ?g^~i#IiFotNuQU|~wE!13UgvGuj9@A~ zY%rmSJ$<^M`SZIk*Y13yvk78eFaV+|IfY1k?t6~j)rFBOzMP;>$@U8B>|4|SvNIBu zWMZMGtDM+_(wu@Mre)4^R`LLQqGS4onqlisK*gjYDZK0*t=qx~&e3uw_ASd(wJc(P zZk#Zm72~>rLc#k4@O{}=5}*OmHZ*ofw_-u+3OC%t$uCq-4C-T8Yq@h=Gh)$G;l%ap z<-+VAdgZE8t{o#qEonS>=BfK;w#EWj&fDEJ1e zby_4<9RypVw$h}#V~)_*Cq7ItMkZ;Q9q`+g`ot_8&4o8FmKALMDMKvwir^=i=}mWF zL5p8I8}aG zM{y(legLG+a86HRdDa2!sScwS6)lx2r0~JMrY$_5N4{Kn_y$613>%EA;>dtfPer4! zkSpm0sl3|!$j3WVP%RfU5BBy;bG`SQhm$995ZU-PpFrYPPU*ea$F)fm!ZSD%-S@2R zd`%b(in)4L*U?j1DnF&c>HRV8S`)6js$MF-1{OzLtL{p;T*Py<-PQlOjKUp07PhT9 z0u|v6Q+d*D=!jgsi<~1;dYwHP#h4%UTfrtpcoOrJoaF4QM|T-jARQ{c4xTQEg>Fag zB0B|kYy9%wCl34oZJMC!su_F1qL>(?D4?>AbI9W0BM&lujp>`EAEET6OT`3^4F`-x z2#-bSsULq$1cI0})CQBIg2Qz;bLwMTJ?}}vx43<07Zxz+A=$AtuBxH1s%<236}Q2o z>@HYtCU)P_kkX(0p*EY^eJ1Vn2&qt}BRwuoIr8I|z%vt3qe+o@|1lB)zL1TE5hG#+y}j*jx>(zUJKg^00T}4X=c% zKZ7aqkh^+@u-DGh59cU@ZBS<~;qc^vfJgSpsCD zoL_!26Cy^t#q&C|6ib>B^7S`~LzS6i#Z4=e4n6-4L!x_p0aO6HNyXWHSS;~_U(A3te zcGH_@(Ai$dKAWtX%XWLT@ng>*N<7y^ngbi;MXonwOEJ4U9@TUTn^b#uvpB((NEu$= zrsEVpN3)@N7|LH7;MF`+?fK&3W=t__$T$bWcDAc_HA6pp`ne*BJ2&PQ)8ai#yp{0f ziydO?o||bNdyjI~8sQ1AQU|~ZX*Pz$NPDA~#6jK@`Kqh%k_fc(1vm5d=ejspM#KtI z2qaQNj2qkBtf5~0u}rG4DKcV;04tp#$6!!am^jI@8_Xu4zk$ z6`p;Azxh*CP#kCHO)jK4EMfoglIMl?aLt7P#SB)=a*uF-PNz!6v35#tzNA{&mmtZs zD1zMdrwbD)#FeT^7ko5Qoxw&OHlQkY^3+uRliK5iBtH=(F&ZMSuGDyUx*%{R86Toz z8-Skdf5HiP{5+i_EZo_)3od4cc`{*rLN%n3mw2YW*1ep{u&LDi%D+|2DjDt4JB^rpB_MFQZTDj~S&Ux0ASi`g5G#2X?3ojw`}UC7%+-}HJN`^@MiQ;ov?Keq>T6#fMNlkXMs~Y*QDT6jT z1Sp@+z;#5c_f_3$dCzg8^Kf(XQedk z;}a-~tcvK!4%IEg7rWIq!g6LEnmvw(y(pol*Gm?E*Uonl_f zFlR`kYN=L<(BZ1hB8AtkpAx_K#v;9}w`!vKP=H4BDV*TzWdV_?>%EO49dCGm*RC$nS;Ha+X9FJHqfMzQ2 zx|s<$Q-SYR2MjP z9}_syXl@$wKv-Bc!ZBp^8ahI!$N9BTV1H5-3$3eEN7|du#mW|xzWVBr2RDIqK_btO z9`qz~j=O+Ku|vg*F-g+^eiUN^-!;B;BjvszBV*Kv3+xu!-UMT>ayzH~h=`-W9V9a< z(9oz+`5TiLxu{-0eK=q@jnZFroZ;HBZbbH*L3@F!mx?jf#Q4e9m~53bRgvv>-m_hm z*oR$OHPO%Hr3NwZqwDs;&6!F|DwEO53^`)8BWDXj7;X2`VBsS3YB#-9=S^cAzvPxs z2C7mTq5Ur-wG~x13fT%u#+)7kGF2qp-hl39+LfD`xw@TAo4pp?)ii-U%GzEVt%A-R z;>V%UG7OKjbeYCM(Hngn?gPy9oKh-xeby|fHE8)eN#afA77WHC_e%q@B9hf1*S1;i? z+YF(?^@?N3*GHJx9#uC@P0d6euDY%Ka5L9RA+*n&z_BW9*KUHpS+?0C zLcKl#%dkJZalVv7sI7g#0T0K$v=`UmUQhTsal_H`@c7Gl-8Kzg(#5GTJRxdHE4)Cm zFBqq00bLl5^16@NO9y;?XQ$&Tc;nwFu-tHXVA9c)mZe39STF@Yn5Q2CXW+%&b~H8a zGm#OsfxR|Kdeg4e#9(K1Y!mlR|57so&Wx7(L^JfB`ZUJ}G<^SIRuO4{tvqbRVGz<| z)a*=7P@wX=$z0ETAsZYSlOpAdkQ+;%j3VX3n;!0o#a;aoM@F++1Zn$WO-6$7;u?aY zQth&D%&I<VKJimb z%&KZHsdSvnJ7c0tK990UC^nPB4HT@}%oGLL+z=_k)}2|-u8QzTi@u7P*lp*cQy30}l%(BB4ysxs>^Nl@}KJ*j!v^vLyWLCI0w9?j7bk2Bnr~R_`6qI3iCg z%}jklFm3B;AvlYeavQ@KFGE$POBVL#^hEMPQYeHMF5Jh9ZaTCEHdEixp^ngNo8J+v z%^tqo6?u&WL!@&m3glVFAJOUH<85pr7w}n>!ZB71IFC!`uDgu^8?xiC4>zf7$)yss z506lK3(BS@2RL0_brDO~6}-7~cj>3&I60f)>z8V$RUXcr|4B|UATlRA%gpI+`Q7$G z4;ZY?i)|fltv%W!TWdDmG=|rA8_qRY44f?kmwu8ph*wx#F2ES4aIZ;h4%K6C2aPz| z)K-`D*KRzxEYs#_Y+xCL#@OPC+)5@5t40%rjtPz*eI?+*RhYne9}}cHPg!QP`HPG` zO8-Y{67~9$!4+U~eKS>JDY3Gl$yC>U?8l%Zmh9&>X`DG8a_n;u_UU0FQ%F_D)i(cn zoJd}#LUI)+w8A^Xu;I#NSheDuo;14RT>Wd%t%TWm3k$@y^P$=^Yp!z_JrtuAT4RR7 z*oHCiC_>c|Qu(tN0Ib!8u{?u6X z?b`ZJl%e(Pb|P?xvu)aXE0FD5$c)gWeW7=u-`&nP4{{ciGbJ`UE{}A}2amp#&~i~K zA=m56me<7kJr2#Ome$t5BL@p#tMk!>BifWUQk3hpzT4cCq=Ri)eUo;%YXfdK1!!>R zRwovIr(TYr3{SE?Q(vGZk^;LFb*-*9*eoiW30>`&8~Hw*OWMQRZ8JMJY>F$q&7s3b z$}~3#6zAx+W^W!1b!>|*|7Ha}f?za*2b)X1jC6)k?-~hh#o>iwpAQy|cWgp4sKb>4 z=dS5ysM(3}QV99=9;-1+NYx0nuXPRAx|K@H=i8h`>&QH1wc&J=F_)vseY2*KU+@LW zuFD;BOC~~ZoK)#)gmPmBcTCRF3cK{Ey-d7T>G~>)()(C3%R3-AY|ID#?OumTKiSRv zIo5pvNo^CJ?2-Mr%wSoPIPQ&5IjV2H4vj?h4nMEhw7z$Zz1W*`C)Dp}PMJMVRaSb& z#|lj+W=dZ~_Wt#BPGJUb7Q9H4G=Q^tHPap6Yz z_cpio?^!wfE2{UdED575mt`x}3NE#2yA6~Z*LlpI)zqN=Ru{9-oIaaY2+QfR{krl{ zU4}A%;%Y+d<;ZUcL3=ohPHG}{@vePgYu|L7>r1siW?yaQ8OqZWoz2Q7SQfk^LHkqV zRQ#D_bd}pyZkyO>G))CaA;Aq6CNKB~JJ$B=@&~a0K0YGtjo_w1MD^5r%bj%E;dud9 zvkp)y)RcAN+^(?~JoWsMR{hgpoH82v8Owf=teATAm4eLCDmEyBHv(-EP75K2I=L3m zmV*mN4maImFdpzwgTk%}f?}&Ty`{y5glNUEN=z$47grY;1fjwfjyX`Ld0a$7W__j@ zw5drPZAzwD13B^l8<}%*U3YrwDLK@*_N^$HGHlktU(!1h^f+AAd$Z__&a2PF4Q0lb zHCmbQvLU3EZhs7<+`pr7A<4UrjevOtv(f zQAj%&w>BCB?qWA*=Oq?y@ucYJCmHEo+khzwyUTgnGMl;3S3UW_q$n6;^qANpoSpT- z9VKj?sTu-IkvMr??m59dxLbv`>!)PF^`bh{Yqn&ehnd!Xv(1SALD9MRGrj+Dd`yO1 zx^S{%LuX1z$1S#)OA1pqx9GU#R-(u@xlH5|oiuY9U6>)aB$vwl5-NtW&E}R{%f{T5 zOHR7%_x=3^+k?-(pZELqdcI!Y1qZxlQ1Rrvnb!3`&{+MZrwn^bzrd#)If zYrXt)$B-YnEk=_LOK?kH0+CCTzluPkuf81JRj3qk8Zo_COVylW3hylfvRQL%G8Ycy zPdqgzjplkU(lOr_T|TT9<-4)H0MD|b2DChp<&>ixm?s=< zuCQ#crrU6zI*Eqx|EaikVny{yrS?U;_^Y_VFrte@)1yYApokAm9JGuIC? z|LF*>s5BpEYAq{8Cq-Gl2f+5kB#0#Sy-Z{-j~7n-k9&XgLzKS(p{Vk*ZXdYJ1d8;9 zAk8A5T~Zpb5RJa#s?kXTXMMk)-f>k~YotSmblHJ2E(3*c(;U|Q-T3nM!Y~X>5?LX} zk|2}hwHqBMql!i9dK18@-&(bnJ(%3Jtyn{ylfMQ?MlbCI+JOo3!RN#h?7r0;>{wCn zg9S+t6zo-Kfy7nMRX@TaUQ)`@2E9i@{N1__K!!iYdG4tJeZE^^Z3#YZV3?Km=bEaW zi2bsEC)HA&_tgPB28A<7^TC*_Q85(tI?Zf!&(Y6JS9yX%kVbW&={J=(e-^9y5<|p7_J7TK;LWe+SP*5fJj*O z75-iMDLyFxg6hS2$m2TX+RCLI<6RPLq zhuPRMQS)V0_S53i67G=k;rmI_NDEHAr$jFOu85mi)JvpNgyN3|qO~EAy5~>UY6Ci- zcCH6e@aIw8dnp*cTG?s$D7nlELWQ;!A~RTO>J*q9G;wDK=X?5KRy|)aKSMnbylT(H z)Gb$vhApS91lvX8qz&LN+T(pg5OvYUi}1R|Y&OpT8_nW2c$GflaQJw-m3m_Lpdm56 zQxDPE5yYzgZSB!vn>y7+x2ho1v65JP8cEYnx4{B1!`E={lcxBJQG0H~#>}fCBO82q zjh1j!f2qWd#?D{Qv^=IE!-p(VP<5u^C>STKPi1uBifgtZl^!hB_w9*+`!HZ?jwWOq zxFZo2w_NJPzc^fe5=5-KoE8S{HcMW{9-mBH0KG|enBKkjBJrMV3@-wHw*#3$Tt55! z&9p>h_i`eo_mK=w*hJDjk?a&C8oLAHqgZ$c{U)dW-7ng(R>Q{KRBk$OpKu^Amh%p{ zUya&dqLNW&HEN`vOib)MMx2;*#oZi7m_JXk(v zwuB)IahZ9D9(`I>C>k2Te=<|Ecl};xwfhw_ty9t#dUt;oW03y#Y5C{VtHRp%WO*&` z(#-5@R{1u>Y@6Wc|5@mv_{gnlpGLpfItX$xgX9{ILS5fX{70uDjPDQu$mD&#&_pvL zIZdBjj(f^KiH&r#4Xn2e43EDq1oruz3?LCxR&$9o+uG1g3tI%h0PX5A=t;f#C8KQ8 z{zr$*BA-INGTZl&l438k4Xhjt5^*=OS5y0@3)@&8ZtA}4VwPPj)ND(~Oqgq{>ePkI zl~gezS)LEAknCN5v_br5)40L=&8KK-tVCd8B|3QdC_#CTmjJe1P93`Kli2)Oc|t1g zZH-uko}13ATyt(c;v0%v|P zU20*oIp-H?HcP4^rZZ4(Ti5Mu76qzk7mHQdDI85JF}{PJc$-+TcW-vAk0U~Zbba{?Tt)k#=0pT z4viZ7f~tT!TrA%_gz7(eEDN*9_J8qe>``|KK)aQKsY$_tU4Df!x~fmOlXHtQr#kyW zVdF=T>aaobhfO|KMs3zQfdLReF?t?zGbn!IUdHu85=p!ipGo2noYMSWlYt*t zlyLM>mk@*>+}G|Y+(%QGHKX6*Caw0*wJ_zR%iv;dz*rwHf$q!J5qAc|jY@PCy!}v((a@xkE!_$I^ ztUIXBuPCMR^`&y-U~sK@L;3F|trt`H1Uz|vT9)U$@>Wk!&`opROwxUMrxizZ4VkI*76ucF;kJHhRY&kg z0!@mP&%Y2PVv>6d=9?;|m>NB-OsJ3$>HzZ?v4YW4@@v@6l7?74w zH3*gj!33oJX}Q(;s=M;Nv*ravJDf5DXCJ!&$BBkI#}Kr@->!FjzeF$h*QgK*+QK07 z5}Vn8(GlJ+N4bNaxL>u2PMQFTwRA(KIBP0Vgsx2v^}z!b+5Q|dAK1$3kZrs%C+{AcDJ74+~CJ=i@2>956A$%47Y9jPJj*4ePwc>d zTGP>$^89Qu(6*vxwLoSR7%Cg;^whHze6;LuUg{uklpf5RDJt;(HNX&_~d!u%ihc?V}o?-b}DGU^h>NXbXwhl0F ztt#;=&$f8LdKiBJs2yq`zWM4=@J(e)khpAzLYo9VMc-{W-H^J4h*S>>R{s+b&rm;z zsp?$_NEY}~6Q}aLc;S+9OZ+R(5h6B~D6hN)SW}w$vm>(^XD(dU{iL3%(Qsb8 zRo~6|BX4Jy&As<$QQ(jFJIUd<`%0xOyAE6NDMgOYxuGG4RO*DoX*L1bru3o_oqjKK zfZ=$2si30l==^a*uEcE1#4>`7(S0}B2YvsoA6#3F)Pfw&c?u&(J#lupSEohJb~qEi zW2RQ-(omDA?(B}(+qXpGRQl|#<9&6~jo&v6$d<-}Pc&a5MFdJQ3HQ;%AUeS(SO5+yGa_+3 zEmtMv&8an8=9(bU8KJM+oXk>&YyBPTKK%cy-52F-KwO>3JYZw^-Q6H6GKkn*A`t{T zm~0n5n1FL(op-Blo0b{W=j{V6Q(_duCDjV8)3BBW?5^*8DrJi^z92Q9O=(q#QxJ{Z z*^rdlM#0#UYlf`rqta;R%tK3CU9M)P{yJ7AVU_-I)#2!x+`aCBT1NnT%CmY)q*4F7 zV2imJx?B|6-TxC)p{3SC4tUCwdGN`w(-KgfM$<1`JOOF05LcOlE?>kz?EPopLGLOdE!dSP8tLQRVly_E@&U<6sZ<=?CXqQ@}&9Bw)y`%vEr`i?Cv)rXB{ z057MkA&nLur$D;kZxVQr79gIJ9}91Kos|9huHqeESYV+a=Ry0Ekv6%TlkBx+vI};F zf-2K8qH2#u9%=!@b~(NT{p~?%;#Cd6T4%E^_alXQ{;Aevik$Q$27W(QKfFr_3xI+v z%O9?Vc)FiGiqLcGdJ77-E7~V^Y5k^TdgRfWg|WK{xPTrNed@AXS=qbNJOD!P)1((D z7=00Z?PI`^rKXNf(WqsNX`B8L zKY}F-wi{Xegm$SSTzx6Be(xXX8Jo-LyFwqjO!5+l(Trxhv$}J@4Hqv zkD{pa{g-g|gz$FII9hqTd@HRE4e!xvaLv}UJ-?xamC5aAU`ajN`qe@KAR^WjV5~k`NqT=b9nAi z>ftnDl79Cf8fGkIn&{Kft9@Cg>g%#P#!_686u?Nd zspCg&4D)K#Tth103^3mJpHwEMkKeE^^k8avK5`qH5{)HZ%H2bWL2J!~wD6lWV%;-X zWzR`+3T}PEiVhh|i7e`5-nF>XcHli=m?HqvDl_qv^1DYOd*;oKU1lt&oo->Fpe*~& z$i+P@5!(_BgMEp0G0y5G7$#kNe?O(5)$sL-6D@#K*n$K3ZfguLAGy*X_aF(>mPF3B zQqQ}vV3^e$c%?q4bY!(zVM<=!#-hM%4_}^`a0py>q$895uV^T%?hYxNB;!!JFZwM3 zdu`A`rxM<${Drs)(kDCh+MvK`1+F!Ba(BS&z{kELvo~8Qs1QL()aw8FuvFZli8$KVJl)=4{9X$=@zz{IyI@^R4f{q-c1UCN}eJ* z?0tYv+3(2ur=2&pRx%^=m02C9-Dyw0i9iW^twkdS*l>lg@*I?`o*fFZ z=yRrY>Lyy{Xv$0!3DBW&(_G59@@(soD><3ZsT!Nnf@9zs1*23)u-I}p3o=u#^Ymg& zCJfKotO!$H{scanqSFy471Adf?aZ|QS(-rlYOkk)&RFz`GQ{Pq`O6yQYNOET;M3XB zNMV-4r7PBvQzmgJvuv#>--M5QGC-WE0#W3E?SK{JJFTmk~o&tSBhfJ z=tcLhIz{&{>5AEcj_P60%H=ZG6zpHOmjwri*z8`z#N@?fW^kFcxU%XU7|i`(YsTY` zaW;Q|Hisji6-EPAe6#Jvf8IWx>?>`hxGy&)uTG>-firCYNcI_aHI?s)_m2V7iB1RN zZD7WdMEm!Cz)DZ+G^1CbDi64%mkKp_v+dvNZDZZfJXQSZf#y{UBAyry>oEzA{ zGBDgh-Z8_|Hm%c3G`_A5;y<|uwBb8Xd{LQ)OMCcO|E-Zx`&VUk&7UhKY9~CEA;sGz z5->ykNiM?7%>%QkzGNl~FQU>PFFW+AT}6K%^lWqU%>Y=o(Oym#PCm0e8`BV)|9!iFP*??MnmJ57kS1v~U1O^r>EVBp}9L!~?e=DCznSFZ>*nx60!MSGpDRCjjGN?T* z=s`b7<;)uRnDLgdO#8LD-+wToixUW`B}-nWv<4}UK&4A9W)e@oI@6YTo&GbK zRc+lIgvMh{FhvcyfYXzyr$GZxxV=o4p3h7oe(Q7mV|4MMHQpA7vJySYWA{ zgnDj#eGR+f0(^e|E+Fg?@g(&A897y34W4|0^Zt4s zkp-<7EMsSgIL>Vf2nl+@q8>`kI7+odBd@ zwbLM7$-}8t*kdZ&zQISj3B0iRq)U-2G~X|_N+JE!CqV$C{-N7a<(ybQwCG%)I{yVE zZ2*G`p-eOIO*CSfV~i$@qQ81J|NIU7`8!`%9ePoazY2AnI`No`BnsBN&?C%#Z4IAM zW^#0`b^IC;kTrQ5hTOcc5s9eXKhsCDKEA(PloGdrS#L)Zq8z;U_N$4;n76j3*+J@?PDuta$1#f-ou2Z@ zs_gwV87nfe_tcg0Q$L=bKpfKyHcchvo%c<$__J31-^a?@-@DiC-X%^og2eAHJl6>U zbWMi)6lwu0Z6pHCu995`b%!P|$5Nc-dGh!%dd?sI5ET5_IR|U2H)p48zZ8ZAN70TQ zcYswI_c!71fb<}W&0We+|7gDE$y*btjTWMzjSE04+re?VmdO{gp55mJv-wx`7r4Lc z(N#5}kO$7CjjMpRic$Gn9bPfkakhF#u95LQC3O}8Aya~Fb;giDgq8L9q#(25XOlPy zf}SB=ThV{<(}(Jl07SG|n~#qxm1l$-&BZNs^h=m?xic+SCUPITOkXu%kZFk&1>_)V zrIH0_=i*p z6yCAaQ~jgM{rHFGWr~A;o=2E*%ahxp;lZYY69<>HC*~5?AQJxVEoXHuZh4%;M+VBb z#cp!)e0f=8W!6paPAd<=@DzxLrMyAumsrwp_0eHcx~KoyKBy*j5N*E(qPNfH;$r@h z9|Qc#4AhV5IIU<{K}pTEftOCf1qUcERsqU1iKebiTzlYfU#3RI{MKqfHo@GsCgDu@4%03CIrtZ{%+K8x` zwKoY^Q&|Al0fWLx+zBsMkqH~ME3;^MJi6XX7pH1R;9a&fHh* zC*CK}ZE-E?NRccVp9a#{zta)DXzj3cGPFmAVCRTmwYNfqcg_Bb={KduCU|8lxN!28 zkYE~#8`@_3^cUcj-^it9O4{BI$=DN1`h8*UBgT}T7UfG)dzf&FBW&6%6+CQ4Gh~y= z*$R%a&Z(vyFBZ?`>1H!v4|udF#Wq6R>ju61NnjBtdVLK2;@o>wzkrsv`FC~Jg6>6w zudL6B4#xmcD?fY69r}uP05Y0c5l=Yfs>}$g!cS>btw!4<;^@SblQK|r4KkSku4Mz`}gljIvx<8KCwk=bcra%zi@mN_) z3v)&JM^DB=t7|lyS5m^#80H0wGIbUP`ye3tHfG?B683F3O6}jWe`2~dB?Oz;$woOZ z(a6h}H<^}jJ?T|W?nVCbH_b*3qYG=QYV?oYy=U#nNG6UwOY^Q*N+2jw`!&@`&VmC{ z2&2;K>U;i02pOsGkNC?NT4Ltjpe99clL$0RG|HASAa3FlsT^t~k)e&;sYRW0jl72M z%00|NqNQf3g}1(ymqpa5CbvwP_}-e@9Hm7|@XaeY&xT(5bGpgL#4MeF0j^z;IM(sU ztEm&WMPppwnC~%WyDs)h8oqRCV4JR0cgsn%`YnCP2em%%3 z1>W)n@rk<{T4{RS!df)M?lw!zjQzo-c)#{Nw-3Ybh}lTrHq9S>6STJpXu0z^eX8It z9;JzP@WALTfRB7jh}zH8`Vm>zrA{wOb2wHmCwFy24=WjG$~9Kw5l%UkRUShkPp&A#T!#r zdlPJDR_%Ak0qCvK4z*;+sBwVEsz4-E69V%1aZaC#<`3UfW`MKUoUjX5SOXy8uV&t!NkbJu~LEq#8sEbHO=sacY%Ncn2w#U4E{9KTmv)1;gfsW1JH$VTIodJxkh8SF3F#%!BHh8%!&uG1IugV*_nVq8>vy!# z1O9b^K-XKP&XIvXfU7?<_4|EeLiFI_u$Ess5J8lAlByI^BS5j@%I$Vr@ba0M#H@p3 zg~fx%nE19-vz6=r$<}@i=;~hwm^R(x_eem3_qpZIRvz~sFuY5Q1-tUH^_q2O zQJD6-l)ouRpbRBlun$k(D(;;)Y`+S!I3c~baLlX@s;rspU|j9{>hyuP;Y>8TvW&;|bJ$+|*sR zKZIgg8dz2zY`Jkw3Za{1V522H0hA!~i07__;3fdKhY9*yIe?lqlhUggm~D%G;anRZ z7l1O;mncS3`aQsgB%gA6O5ka(ERe-llcYcU$E4 zdS1bSKhjG?WBnhSkP8YhNAquDH?a6Yjhac@%agJMb0390l~Ia3A}~z2&McZIHvbD! z?$;nuu9AUaKy{lCwwk_u6@TN=Q=wVlpON9V%3eTZArISE_%cJp-Z&CYqAn&ohdF~B ze}_p&DuYM?sw4eJ=X7zwRiQ7SW@N(Yxt3L&OX~LmdjMqI2zfs7@^Xy6#RFPteqVfp>VgXJ`))7((?KZiooT0YWomA%0R zFeMd=0=UCt&bckBZukDC;)MwaC{sakA9faqn@SKd6N7(+0)!N26Ted@$&thwriwtw zib?@bHjCwBA;UZ8?ZasP_?Oa!@j%&YZgsa?1tPwipWa9qffwe64hOxs@mDz$Q_ zW}B*xn6+qS%0s~5YHccc;zfScgYv*KGDXVKRx@~~LLon?n@G(LZYK23k8&17Tz>Tl zvVl6&08bvXy%u>i-P0_K)85!pDj?GxG!e`8&ZF}O&P5#V z61J3wGN3;fkCnwjPMcf(sOP;qhJu2X8Keg}HSgJ?;q;4P6140XzEJ=vdF)HADxtn5 zYleD0crH%PND@M(PE-!!ycdGQjZqXe+vqLPG=<{%lVpNrXm=cGd!>P(O-47K2}kMgFP7QEMl?>`30-5D z#vayF9w)V{8#)-Qr2!EQg=d0nPSLiCR1JF_qGz_Y(Q*y2+N_agvjbN(Q4)bc)gB>E z=RwCnnZ!a5XC%y6-m0(1gS2!mYWRA>byjU>2w6|F0x|3~upT(z0mLszNzFpYGOn+0 z?b`d`e&eXNRFDqk@h;J(n=-sI(eTz+dUylBeEu-jE}+e#;KbEULS1aSuT`(%dB?6y zQ{td;*|igVH$bMh^x}PvS(A6TGPzcoKkZhQqJ8F^~&VC)F*y z6SA$8<{NXT+^t_v+eWO#Y{7#+*BO(4;RpA6JMM+GFs%e+;xDaRteoUtq{Icx%))W> zrJ`;o%7|Vg}pIa|NAWTs9RwAG7&(9fD8Zb*>H z2eg**>I$j>#dBn_zgr@4HSg3h{}v#u)e&yhxWXK3e$!sd8UxKg1ep-5dQC?>7EU&# zAm-lC5}7!!xZqPkVc|CM<#)IqNdb+y;QyKhYNRWW!Lf3w;%`>*44~R5yag1b;hUT% zzIHuA{Yo<;u%^khaop_@yuOm1Y0Ssd%< z<=JmU`ZtUpWZc5jWGoF-D#1;pkV*ZH8elkmP2926_~GJl7LqvDh#JJlRU4Z&bllYu zR}7*|`Q~{gDR@PyZ&j5SI)xzi{P-zUMk*38VAxL2`RBi_Dpla^+0noEPjLsb6~AWc z=~HsbR9+K+)NjWF{&C3l2&00#;9()vE7I+yY5jtUjAE$951~=B`u=W-?UQ9&uasrw zOH31i+9J0V2+TL*&C7z{hxMOT|2&hRaGrZ{UwV^q7G3i^O*MisZ!}bR(p^#1*0;MjuzKq9zb&1mfb^rW@h0 zQur|#H^J*bY#PSf>o^B+g5o!}?g;3_Lno|Yup-e?vs#F_B!@AF#-*l@#ImCXA}(I_57w~1Ri zBY=rzRQttO6c^1lOMs-!>qo!8dZ1b8lWWj^;T`);XLJ&hPn}4o3tSeS!;dTM3t+@2 zmFUxcmNaQ9)<#`9#08x7`w|D*GS25+2#3IbxLau3ZQUeg-<{|nQIZntYgS-8Mw*ui z7k2y-GsEQ-NN!*qTJF}O^+Utve-=QppKbKnF1`FMcz;E|;`BJcQ?sdIyy@0Js>8;H zG}r=X-%Gk4<)u6q_aZUN&aqNL(uv;8FbWQLv$L@#XZ=Q%m*_#KY?WCgdrlbtkh&n7 zgddc#@KDW@1dgH&Um3RR|FAMFK}fl#a1Y)(ZH$mrl@_>QZH$3RD^6wob^y-F_3L84 zS)M}=0RZt{J=h6o^F3W| zD8C%rUaTfF6veGH1bMo#<(hS)s9syuoX7nvP*8E%%~(BL(aILuCT2DV(?8RqNkR7L zc(3V%0jDnUKjx{Zl3L|ex?I4avI*0FyTmdi>VEH7W5KG;;4)VrsNZqcub@a)n07;3 z@2reTy`$V4x&a0rQ{0Q;Q6Q+Y$js6_U=^yE&;0bx>X-I~taJE{HQ~{hZF3Fg%&fh4h5dK54&(Slh5%PIGwdR38ALj#iw>nwn0n58|4+V%r{xOOi4?@UP;NhD?i} zC)bcvw-WKg+kDBgmLuU|OK;!u_@hZn@}Pc}(XjWn1i+Jxei(oSto@*G>33@;=(|M4 z$$xSJ4J40q?Zs%|jG7R^>X#Lr2|n&UwLa70)FBNTA55c;<~P0D&2YPOAOOhZtm1Ts z1xl>y6YU=gQ*A|KUq^8I%G73gd52TRGPuERC>0XFDeg zVcB8~q;geq5+?VVyMGu1es4avYz>JN49_e(RX^9Zv*)AKZyqhnY+F4{aeoSay6Cjm z`%Xr0|$^`>^}TcP2n@Pv9e^r+xHy-^liI_~UZ!>ox z@51sbf{N5c+;n$tnooOx>K#1UJ)0l2Mo(FT+t~Fp%KrKi)1$f8b}^@*2X4G$Mzuc_ zX4k!aveYXyh#hyfTemX-ZFuhO{+~~?TX&-Ni-w`bS&3PT^c+TphOw>vhT!3c_2!}6 z?wzgWb1kXF$ugV9W{;J4E6@vz6lCL}8$QU{CwdD_(uXJG1L(tpAA4tBmp3{z47~e4 zi7X{7k7jtBbzwpfqwOPyVlP>D`U&LkT(%M_O5IiN($u6*l=oxmk8c0zV&UPN9)p^{ zAM%I`Rx_U-Jl5COz1^!dVKQa%LNs~}_5z{$h-Dx0T1xZFV2pE;>FB#>KjkqMl7Q)b zm+D$DX0TUJ;()QR(a<5R9ZcSI}zLm_YgySF;A^#5a_uuQdX#r$?*BO`W z_3Ty%$ock>(y*vWrxX+fOs7SZpHlixVM98ORTWnhb!S>>5VWk0ljiO%U3F@2`Bd!Y zFr9XX;<+ACQNyR1XpTNv>@UBUTT2wNuZp!MzYkP+ld}c^YSPI5?TopGq^X0e%0Md0XZq%d>b2K;V0{8G1D8%7rNX1F)R4Zj7?YWd+`uOUqjebfma&gglrWHiC>ep;?!ZP4E^Cu~5OF(-Y z_aWj}rlmN=poL(5eP0PmY2KtG<4mN&3`l9m2qb0p3sQEiJ>ux@f)N5Jpazk@+E=GA z_=88E?5K>0J=!*kxf3KoEgVSMhe3#j3t3%4ZWeuOE?+0bG%6fjHP~jib^OFeG|(y& zRq5t1fU`&m4vIanecIp~)J&+^ar8szG9@*;`Qf8d*f!#6k7>-5$$`kN9Yw6RllNPq zXoTwq4i2p-x7^;Ir+JbOFm&lirS3xmHO%+=I5QGVz{M)A_A$k_XY*S_x*&B>!A_}) zCzTcnW$;hmcse0&U`UxhrlCJk5{!VtT$?oR0EiM7swu1BrB-&-Fjp8Fu2c0(7~0dt z#LY@GgXQg@Ui+t<@6=xD*6-0%2ulEen#mj3fA{=O_p;l{r<3m*#95h^a#>ttS9zx& zC63smMVMG10kHU3D-JtT|0JMEfGF;Xd4Y9rnbA5t{FP41!p>M^w< z1$<-GZS^pMJhFTK+1FPGt%n;;_GhtYpD=1aQwO~cG~A(Ah}ax;>VG?1r8jc$baYjE zRgG&@#=DT(9}h&UO&_~xfr zj++t-5IBL2ZbNv}uoz4s3C7HY@t?`Rq!*5bDQ+@2RFd&gW%a6n1SCW8IjUDOzGb#- zS?8{|O;%PS)!wlZrV6@IhD4h^^7$Ydenlzx&YLZm;y0POo4B;jn&^ztd-IzL-hmS- zwnk|0L^;3CNQe{H=$o3pcUJ-v;%1&OHgrVry{sgU?BPa$v)D z>zk-Zf-Ii7ev14X?m#H74q&UY`cOS52-5!HkdtNH``0Cyrh>?ID{WG8HbEi8qXK`C zwDle1lEu6#@yBJ@t}rb7&APx6OoG~wypk5?wndUo$AofMWeg#W0uE&MsmNlma&@E26`J-5Ht^U%M=NBGv zRBDLSo;@#31Fuw;aw7MHRRGWz>YYPPEpo<9p5U%j=HK8r$Zn^{v#AJvD0p7R&<65*YB* z;Jq=Aq3QumN-7`WO!ufGZhVQ%xLALha@-6_sQ%Rnxg7ZCq*eOiJ?q%_OOwM81g`b?fPu6TSEA4Ozh zV_m{azr--iM;tp(rZ%x!Pm!Or6ILVpHN#SLuVTX)vhHFDj;E;Bxp5uc%NZg4u`Gw3!)HHP^^M>99)0u5$f807de&ZXc_}L7Wb0>}ScPgE z^#57@YoTW)Oq$H=e-mQKZq8v^uZxGHX8I6&NHmv!(h4uY<-CEkk!Oo&*pVQ`udN0N z{@n1G_^?jWe@6~boxeLdB@R*h6;e9gatEPiRTnCRFYXNpGh7}#Ut3o z|FMfVS7LXaF_@Gw7tsjuZ$zysZT`_v0-iSC{@{CqZBd>W6haPabdU&2A6H(cggh@f z;R-(^`vX8P(5R)esEf%vZIMQQ-`vAK1PjXIW-Q~pUa%}pBcB+Dj7cMaumu6MMC|z+ z*rSsyYjvB@`#;sCU_lz>Eo6Cp>;?|CRiUzxwmU70K!5}aAz|!JEk2nxVt>l$(WFZ9 z7${*JsJ)g^h&SAX(S}_+YFcwXipG4AeqEqgXWBuQhAOi5FKv4VyRE{^63|l?ajO(5 zBMtw$e&#b%_quvcnBc2(V7Po_6X{6o#|X%HrU2k3Ax?vT3AxbiXK78XAN7}kc`-+< zNtuDJBrB`Nbg$2}_to4W*%m!YIACh55TX!}O|V3k^BS&KhuK$mnSEtCm{x9Ynb+47 z?Cwhq;84il>t%XtEK5neO}bI$*kjR9%rte$4lDwVSgYkffWZPYfU&(PsOYHJE{S6h zivM)u{GTICt&6_Ik#PK2n)63xFM$ZO4{cknW4h7E`D1nsf(F5DtBn$~Pg9}?y=wR$ zcE#Bu&UqRwv6)i;RZ~Wq0n6K%i)hJ4>vp|HTdm+HO6750U-syFJ#Red29uxHQ0Um@ z+VQfDH@m8wyx%1!Rn(#}^N6XrQuLLeXkc!u)r zU_)Z6A<();wT^&;7-1uMLn-S|$s`()o9LiJB_}Vsa%01QM!Q zH5%RXY9lclBYs!)UvHdf^mTx&(u+^wl3Az8{A4<)M%v_t_3K}IKs0I+ak2pvw#|zw z)4aKKNLdA>#PW#$CV$QtXT=OY8QA?|QTt^ho5afwzK|?lNu2DWMJ~Ti9EWc~KmPMg0n{z4b6Q z71PhO1b#?*&CbMR=GySNe7}Zb5qtOwD3Esm$%2*>JEJcn7xd}Z(zI5hLJWZy55-P7 z*N1pYBvYQy%Hl{VD~3s((E^%Uh)*<61S<5v8aQ0SPfvMmgF%_62*FD>>&4v+RQdEe z-V`7*IbNSJ-P=Y=@>U3XZr_%>ZIEX=RB#~NHegU)gZFFAz<8_Y(Cc(X&;hF-6=N1< zN0CdYALA8JW1#*y!4j0&{W^gSvWPf<>0)_}U> zkKRQEK-be!0{q@k8d!lt3tBQtcOe?WEXvk)Hw*b~yNdH}SALSs+g!yurNZ!A&)3;i zb)LBG`)uVu*6{BD5+!4PXNacM2fUoq=veH8eq)O7N8Xoc7zYs2^%OJ} ztrngPaNYuXJzAebe-Y8gZ@6UGSn31llr?>b-(RN3BaG5Y@W7kR`LJPoEQ8ol1FA$W z(g&L>&%(D#s-n%w?L7ZK;F zcy>%Tf^v%cj|uDxgw!7y6y^EvzMsuunMC=$#3% zBdyf2(Y%AO1PH3HcvEZo{)M^};C+bMID4yll%oR9qYUV%!Om*S6$M5tV(OEKw2@10 ze*mSR!yh7K-Oc<{$uD10%EQMRjm)Z?z=y$d#ge-jwJpI^FNBt z#h>Z_kK)s?$fcqp8_LQpa<|!5q}(sL3rQ#uvP~`%xs*yXm(fKt1#CkKs;bN%$k`!EI<|xl-IQw*3omG$(VW#x9 zWqITN;F`rMO6NDSr&e{Pyk0V(vEy#0y^CsLb$?r&Hz~q)C;#AgGMOmNpoo`?6rB%{ z7{by!40;T@6jS06d_W9h#)CdHi^37?3q%|AI7T0!@L0A`04tN3jm_hqW~+|>FG72l zPe7-WT*!pA)Su`eJ;6ELQow5t$}Qqkx1?HI_RY?M_-_vdc<*oLD^Gpj^>}mV>VG}w zOcqkQFt^SRr*!Zu6nnp^-}D^#AYM0j7Ly$@@XTW>Vo|ZSF-m7qc%o1Lpx zB2%hX5cF1ss)P7Wv*_FFuV(OZ6t7CHVtJ`dK^DcqKJ7)FSfiS(2S+%XAYxGX>B~1O z{SE;rb6ycqe8#7oKAfW#We?KjW3eT-=cw0iyj4APYi;sfp>loHBbPCm{oai)4(Z|N z1g2G##1k3k4SGZQKeEqGWZ6xIRtI+6Hci*3!`JT?)xQk(U{Y|($P0vrF+EO~Zrz%X zYQ~y4VNn0l6iX|-V968NDNQ88%oE8D$ig*uD`73IEua8>tIp|BRTD0IzJ}4-|s>7yP}G{ElKn zZu!d+c_<>;8&;sh`2Pf7>LPDcHDBSuCe3Vb7VLOXt=&7`_|Y$)2PAW%VA!5*tZ|gy z;k%s~!xJ3BA^mZ2v6vng5j}Er7#KEhInmLw_q-Jpi>3M=AWb$e887JLdUF*_7!umMrZ>@MqYLEz)UT}4ZhdxbeS zLli+25>7NZKH6u-wf86a5>7W*cwZ3UeZ!9z+?)Nz#$oI1?0sg*EF*DQv(z3Ia*o z=v=?ESKb0}d<&&&Mpm;o`XcGf(UdB?d-!f+7cpY`z~b34pZ&g&mNq;%Yso^OfkoBx zaDr$Oq!aF(RBIMMA0aYcx1t|IAmj`;y0*9IkE=#~H7Rtq`1BYFVngds2*3yAihZ{E zqYAMhQu_IM11CBnY-a(IKOp3&`g$DdL6}QPH<&kteZad1=LV;f7BKNR5%CpyVuA(L z>Vk*jyseAqIZc|u;+>;xVudw2wD=L~agB5;Q!dn2Gb63j6lUGUxLR`IEnwdn_}p*| zoH_XyYbC38RbXrL7<&UX!l|u?s)fsCh~I}{d#wAPP8fZy0r}vbcw)&^$S*6QMi3}Z z1lXzH%`jkT$GalY*d)RsrK*XJ)&9&u@^v$(5TN!P*lUAOL~8noEEds;Z?G)Xr6os1 z#OhZEMsYb(y&+c5?tKGwH{Pi?b%OpzQURxKjI{B;wF z4U8>iPwd#Qby(d|(DjIIV_@A>rX0J6;+f=Ve)X8qv{G1()gd*QqU&wJFaGQli_!0o zJ9jhAW`r7Ek53$FT}nyHE(Qk%G$>)IkxJgHDmqetFkon4S{8IGROq*+S)QU-jWprp zuh00#c$CMUo#ZtfkeC7F(;yV<%)FeFA)ez0eDz!9<@Y{{E*UEire!|K_CMyKW{i2K zh^=1~!7dp_KK=o;?*lCLo8P?({RF08u(sqgn2A5fir(zZ=Ye}1O(8m1V7ha^w6PpDTmRWQ?b+zxn7Iq9KdIj$0pxU~s(}^Y zOmc$n_uCG8(UuJhM33lZ-|4kzV6}SgNUVpD5RNcySLF~5nYANflnNsXS{HQ#t(Jo##4NrG zO{XJe9j>`7qo3eT$Q}@2CIpQN$gaC`nA!Pn>pnaN)&~PMAQro5Q4!MF$OIJD%ws=h zZxxSL-c>hskB*mEs+n^(S z+4ohaj4ouaW_0eo^h@AyW-_p?=(${c3v_zOu@5%Xd!RT_lY;M(Jy$53ijCDAVaWGJ z-WTU~Uv%g8N8QX?11wBUkK1=l$Fd6183r4w2#M)fWXUWb0UbQ}@31lEuR^dg9ZsS+ zs*ckh7JbPriRVgcy$K;bG<$Zo#w(N$t{&Lp1!q)K`K`f)+Lo%W;Q~w5a+#&cSQ=vP z741%^EO}|^3aYj!1klMvz`jDEG2<+I_iJ)7sk!nQU01H)wosFgtQ)vY=``<^Kk5nQ zcOO4<=;R@I|EuAIvSMnnB*LEOTSeMcFH*RKT+%Bx)Gmbjnq&Q+LqVB25E^jP-^-8M z+p(_@@#G@U0sz99ct5ub0Vx;g#}J+vX@=RF>Eqr>oHMH#Sy|yjD-xo_idaa|^Hmt$|E=Bk*xUsg9U;)(FXewRG`xCSyw~^u!MZo;Jlr zjIWE6Y;+4NRdaR8kwKojg1J?6e)osBcj!X9Qu--?Qwn>SZ}uEJ%+T${Rq?sr@sNUN zeHWjB1ZD?!+0ShzR%VlZ0iD3$n#X@FV{yGF@f7R}B&_)^RkKg0q9-7twfS`%>?d;P z8AtJQbdb?3`cL8K{G(3qujmE{*hIM{LoNVKJS(z;SzoKuSOMRJmK}sNatltt6?eWL zFyQ^?K(W6UV($;6&<(AthQxw8M5Vc>gc_8;N31JnYRxfTuhxzAf{E2VRjanST#s-_ z%+%85;SaAPwcyvTlVsq!w$?7V#@pWk=Odq2O$7>0e>7&&tuH@-PI5{2L3H#b2SMPJ zJ$uvkTojMqanu}h~eo*EaBwYp89m!*~~d5 zru4^|Hv!7~AgAN({r-EeCEzU9+EC)WdK?i-C1kA4I+>5*dw;t5zBa<)4les1y#@c% z;#F|vLfB8&!as+x{$VN3OmLw~P)d-Yu0elsqstoO+_Hk#pcS^|bcTRG6D@=tEwJ2u z9tzyIUScD}zY3!C9*Mb+fljN4+`j8iR+aLo(cS~kMfBO=+B;(*cN-qbVdqVA1CKSb z)`%gy{Rx2<=f^D@B|u*NFK_FkH@1_Sk3T%&`VS0zKMdXnN2ojbf}LS>T9BSo#%yGd zL6S{O6Y|VM=s*Xo2P(qTWqmG{x1F~V^^6G`2-To4laVySQ5-?+1H%FeifUGnNA^gp zu2{eRDcZR|m`vL^oOh%HJDJg!$|I9hp!_3_?ZC9@L364nhMI z2OvFUQ)cM-6yXFeKC+$rWdn7kv;0`yPr7TgphTuTPhXQKVmO0nr_%>jm9=aPCPbOC^@SP+3y|z=Kb3w?(k0%{&67kU&Pj0Z@ zjBr(g#?{oj!xs#Cz=ai8jOBxbSkNjK^@+TefZ7F5fJ9U<5rct9Vu01Yme@#?z46TO zO`sd^OJ)NW#SB|>bsAiVwOy&Ms51ckv7+-zqM+M}*|DZjDxcOEfxpy10=vmB*D{V) z(+ftF%*{SG2@ruspnIL9MIFz&B{|;J5AV%0nC%Fy35$9BnuX;WK ziQe!_@wWRe{SoT~d(?uvux&S*RTJOQe-P14_$OXF_wEd^V>0cwzKYDf_>2?jmoMG+ z3HSmB7)pdSN|gPhT_41>lOXXr>HCxcq$?Hdp(C(4^YSjAq%1Tzx4za0u2~yY`ug1OEGrp;kBia9mus;#cA9c=)z_evq$t z$U7ZKHSFT^X6b7-DkFkX)FQWJ9tlC3EU88_@D?3S zo+?KaO1U`>@*4enrJt{59w`sj3V`RSjpp(eqwlX8B*~_XLByw; z0>9uS?Dj#G7I)NRHG$qS0KQC%da^(KPApWenwuTh8@KBAOnEW1oJP_|iy)Cp<_!uG z^fOJ|w}j;r!Yvz}J^lls9x3A?XESOm;*)1RqKVR(UFS1IvHy`a1y$U?HUL_z-j4#x z-T2{hzIV=t!xnmM+)ADB%{gV|#Iu?vEe8-iFza&nJ-%I`pzyJJOrrUqdIP)M%uG@1 zM6Vm`u;FoDFs+uy9?XbHxS;atgUo(k-6BC~>(8R96}}ut^pdsobaaGrK5 zsToMJsSJf~2YgB^f+^$DRjO*c3kGcOWAlEPCf7L{q6M;9;<8Kr6src3*29xcl7N+P zh9Bn~VPu~XhGR=TJkpL9I;`H(4Q#_x8LQj_CEsa#@nW zp$UrX&mV7)M<-duzesRe6i!KrOXkOCfK~=z$O6t#Y$HH848j%4Po{z6$G@`c?#kc& znfqb8SNEnVn~Esv!~iOL_y0=gT%v#c=4si=E|~e*Q>Sz$zr5GV(K8tV;bdIWm%?cn zg%y@En*AT{_r7hBc8;*S9(ChoMFive2**jxmk--*I&JsFCKUiPY$ik+)L{+*T6f=t zC9B!0AY-W#pR)F0gL0zG8#EA(`#B6&`T|O}jQIX0`%DfnB+`fNgUl|y;o05jG7PPI zaxXhOHtdh4jq;ypK*G6ZKS^pW=}V1ms-B`qe<1^vQp)hL3l=VQr{I+HaPEqUk<6S8 z#YfxsOqJh@CqNd<13ODg${vmTxX> zS?A>2vmQ*Xc!%sdh7Md^!l47kVokx67!#v@Bda@iU$19317r8!S(-65o129@Po!`H z^5BfwH2>8_!kW{#{(tAnPode;X%j7ndAgYnXSek;H;<8^H$w>-Asf-(u&t|?RleWW zO0R_roe&Ha8-`;OjBvu*QTMI}?q&f+sBmjEy$zfUuz*sALF-~fHhI-;DCGUf7Ue^# zN4hYL5n6o0uZT`Zewiz=#O>uHbt(?H6A5$iip! z!e2e^OO_@ytwTzH2c8B=1ud-D%K4DT64n;Z)?!p&f<|OPni+m(;XU81Ogc-=^rnhX z&MESqB6?e$g=Ih8xaTxUX$6^_M_lhES=9z6>93-(Z8mGSf6m4-1X2xPvr$1-nk1~X zs{LPMFLz^1r8F(Oo`Ky-!hrtXao&b*fy+@v;i9RO4fK`)8LB`GDDfywkfdpWU zHvD7ierqf84@NQZ;aRX8kd!u9f;F2kvmbg1x4d+Dj8fG}Z+8RCdRXMNNMjK(K;}MB zdY)-NgSV2($Ej}+5?BmaKx$$%I;#ztKGS)4IE!^X1dvKCatDjbM)Jq4DBvw=vG#Gih^mpBl<^9l%D zkwSu@_nAW4{TVG!eEzo)kr9ih@=Og{( zj_Zl|{p-%IP)jT)#!wpzSR3in(zWE&4F9}}3I)&bWz51Cx74;+BY_rV(aU7uFh~7v z-1$I?1zgM#qIT{ULCP}#B5Fp875aeKa>